eliminate some warnings
This commit is contained in:
@@ -31,7 +31,7 @@ initial $readmemh("tb.hex", mem);
|
||||
// Instruction Memory
|
||||
wire [31:0] mem_inst_addr;
|
||||
reg [31:0] mem_inst_data;
|
||||
always @(*) begin
|
||||
always_comb begin
|
||||
if (mem_inst_addr < MEM_LENGTH - 3) begin
|
||||
mem_inst_data[ 7: 0] = mem[mem_inst_addr+0];
|
||||
mem_inst_data[15: 8] = mem[mem_inst_addr+1];
|
||||
|
@@ -31,7 +31,7 @@ initial $readmemh("tb.hex", mem);
|
||||
// Instruction Memory
|
||||
wire [31:0] mem_inst_addr;
|
||||
reg [31:0] mem_inst_data;
|
||||
always @(*) begin
|
||||
always_comb begin
|
||||
if (mem_inst_addr < MEM_LENGTH - 3) begin
|
||||
mem_inst_data[ 7: 0] = mem[mem_inst_addr+0];
|
||||
mem_inst_data[15: 8] = mem[mem_inst_addr+1];
|
||||
|
Reference in New Issue
Block a user