From 63d7d595809446d91e47b4a8bdb05e4dd7b01a65 Mon Sep 17 00:00:00 2001 From: Brendan Haines Date: Fri, 29 Aug 2025 00:37:59 -0600 Subject: [PATCH] eliminate some warnings --- tests/test_basic/tb.sv | 2 +- tests/test_c/tb.sv | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/tests/test_basic/tb.sv b/tests/test_basic/tb.sv index 37c1bc3..39b629e 100644 --- a/tests/test_basic/tb.sv +++ b/tests/test_basic/tb.sv @@ -31,7 +31,7 @@ initial $readmemh("tb.hex", mem); // Instruction Memory wire [31:0] mem_inst_addr; reg [31:0] mem_inst_data; -always @(*) begin +always_comb begin if (mem_inst_addr < MEM_LENGTH - 3) begin mem_inst_data[ 7: 0] = mem[mem_inst_addr+0]; mem_inst_data[15: 8] = mem[mem_inst_addr+1]; diff --git a/tests/test_c/tb.sv b/tests/test_c/tb.sv index 37c1bc3..39b629e 100644 --- a/tests/test_c/tb.sv +++ b/tests/test_c/tb.sv @@ -31,7 +31,7 @@ initial $readmemh("tb.hex", mem); // Instruction Memory wire [31:0] mem_inst_addr; reg [31:0] mem_inst_data; -always @(*) begin +always_comb begin if (mem_inst_addr < MEM_LENGTH - 3) begin mem_inst_data[ 7: 0] = mem[mem_inst_addr+0]; mem_inst_data[15: 8] = mem[mem_inst_addr+1];