ice40/hdl/top.v
2021-07-02 00:35:20 -06:00

19 lines
218 B
Verilog

// Author: Brendan Haines
// Date: 2020-05-04
module top(
input clk,
input n_reset,
output [7:0] led
);
counter #(
.BITS(8)
) count(
.clk(clk),
.reset(~n_reset),
.y(led)
);
endmodule