Lattice iCE40 family FPGA example
Go to file
2021-07-02 00:41:37 -06:00
hdl explicitly specify sources 2021-07-02 00:41:37 -06:00
README.md Update README.md 2021-05-04 05:36:08 +00:00

ICE40

Example project using Lattice ICE40 parts and icestorm toolchain.

On Ubuntu 18.04.5 LTS, the build dependencies can be installed with:
sudo apt install iverilog yosys verilator gtkwave