reorganize and add support for multiple testbenches
This commit is contained in:
23
tb/top/tb_top.gtkw
Normal file
23
tb/top/tb_top.gtkw
Normal file
@@ -0,0 +1,23 @@
|
||||
[*]
|
||||
[*] GTKWave Analyzer v3.3.86 (w)1999-2017 BSI
|
||||
[*] Fri Jul 2 07:11:25 2021
|
||||
[*]
|
||||
[dumpfile] "/home/brendan/Documents/projects/ice40/tb/top/tb_top.vcd"
|
||||
[dumpfile_mtime] "Fri Jul 2 07:10:41 2021"
|
||||
[dumpfile_size] 14906
|
||||
[savefile] "/home/brendan/Documents/projects/ice40/tb/top/tb_top.gtkw"
|
||||
[timestart] 0
|
||||
[size] 1920 1052
|
||||
[pos] -29 -29
|
||||
*-20.000000 739000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
|
||||
[sst_width] 223
|
||||
[signals_width] 94
|
||||
[sst_expanded] 1
|
||||
[sst_vpaned_height] 311
|
||||
@28
|
||||
tb_top.clk
|
||||
tb_top.reset
|
||||
@8023
|
||||
tb_top.led[7:0]
|
||||
[pattern_trace] 1
|
||||
[pattern_trace] 0
|
37
tb/top/tb_top.v
Normal file
37
tb/top/tb_top.v
Normal file
@@ -0,0 +1,37 @@
|
||||
// Author: Brendan Haines
|
||||
// Date: 2021-07-02
|
||||
|
||||
`timescale 1ns/1ps
|
||||
|
||||
module tb_top();
|
||||
|
||||
reg clk, reset;
|
||||
wire [7:0] led;
|
||||
|
||||
top dut(
|
||||
.clk(clk),
|
||||
.n_reset(~reset),
|
||||
.led(led)
|
||||
);
|
||||
|
||||
always #5 clk = ~clk;
|
||||
|
||||
initial begin
|
||||
$dumpfile("tb_top.vcd");
|
||||
$dumpvars(0, tb_top);
|
||||
|
||||
clk = 0;
|
||||
reset = 1;
|
||||
|
||||
#10
|
||||
reset = 0;
|
||||
|
||||
#2560
|
||||
// #2560
|
||||
$display("----------");
|
||||
$display("Finished simulation.");
|
||||
$display("Simulation time:\t%d ns", $realtime);
|
||||
$finish;
|
||||
end
|
||||
|
||||
endmodule
|
Reference in New Issue
Block a user