cpu/sim/core_tb.wcfg

427 lines
25 KiB
XML

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
<wave_state>
</wave_state>
<db_ref_list>
<db_ref path="./isim.wdb" id="1" type="auto">
<top_modules>
<top_module name="core_tb" />
<top_module name="glbl" />
</top_modules>
</db_ref>
</db_ref_list>
<WVObjectSize size="8" />
<wvobject fp_name="/core_tb/clk" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">clk</obj_property>
<obj_property name="ObjectShortName">clk</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/reset" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">reset</obj_property>
<obj_property name="ObjectShortName">reset</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile" type="array" db_ref_id="1">
<obj_property name="ElementShortName">regfile[0:31,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[0:31,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
<wvobject fp_name="/core_tb/dut/regfile[0]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[0,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[0,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[1]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[1,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[1,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[2]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[2,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[2,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[3]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[3,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[3,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[4]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[4,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[4,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[5]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[5,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[5,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[6]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[6,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[6,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[7]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[7,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[7,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[8]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[8,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[8,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[9]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[9,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[9,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[10]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[10,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[10,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[11]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[11,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[11,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[12]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[12,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[12,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[13]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[13,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[13,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[14]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[14,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[14,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[15]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[15,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[15,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[16]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[16,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[16,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[17]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[17,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[17,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[18]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[18,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[18,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[19]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[19,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[19,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[20]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[20,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[20,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[21]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[21,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[21,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[22]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[22,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[22,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[23]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[23,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[23,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[24]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[24,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[24,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[25]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[25,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[25,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[26]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[26,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[26,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[27]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[27,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[27,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[28]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[28,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[28,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[29]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[29,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[29,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[30]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[30,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[30,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[31]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[31,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[31,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
</wvobject>
<wvobject fp_name="group4" type="group">
<obj_property name="label">IF</obj_property>
<obj_property name="DisplayName">label</obj_property>
<wvobject fp_name="/core_tb/dut/r_if_pc" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_if_pc[31:0]</obj_property>
<obj_property name="ObjectShortName">r_if_pc[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_if_next_pc" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_if_next_pc[31:0]</obj_property>
<obj_property name="ObjectShortName">s_if_next_pc[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_if_inst" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_if_inst[31:0]</obj_property>
<obj_property name="ObjectShortName">s_if_inst[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_if_halt" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_if_halt</obj_property>
<obj_property name="ObjectShortName">s_if_halt</obj_property>
</wvobject>
</wvobject>
<wvobject fp_name="group5" type="group">
<obj_property name="label">ID</obj_property>
<obj_property name="DisplayName">label</obj_property>
<wvobject fp_name="/core_tb/dut/r_id_stall" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_id_stall</obj_property>
<obj_property name="ObjectShortName">r_id_stall</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_id_pc" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_id_pc[31:0]</obj_property>
<obj_property name="ObjectShortName">r_id_pc[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_id_inst" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_id_inst[31:0]</obj_property>
<obj_property name="ObjectShortName">r_id_inst[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_opcode" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_opcode[6:0]</obj_property>
<obj_property name="ObjectShortName">s_id_opcode[6:0]</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_funct3" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_funct3[2:0]</obj_property>
<obj_property name="ObjectShortName">s_id_funct3[2:0]</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_funct7" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_funct7[6:0]</obj_property>
<obj_property name="ObjectShortName">s_id_funct7[6:0]</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_rd" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_rd[4:0]</obj_property>
<obj_property name="ObjectShortName">s_id_rd[4:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_rs1" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_rs1[4:0]</obj_property>
<obj_property name="ObjectShortName">s_id_rs1[4:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_rs2" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_rs2[4:0]</obj_property>
<obj_property name="ObjectShortName">s_id_rs2[4:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_s1" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_s1[31:0]</obj_property>
<obj_property name="ObjectShortName">s_id_s1[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_s2" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_s2[31:0]</obj_property>
<obj_property name="ObjectShortName">s_id_s2[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_aluop" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_aluop[3:0]</obj_property>
<obj_property name="ObjectShortName">s_id_aluop[3:0]</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_alu_seed" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_id_alu_seed</obj_property>
<obj_property name="ObjectShortName">s_id_alu_seed</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_jump" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_id_jump</obj_property>
<obj_property name="ObjectShortName">s_id_jump</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_invalid" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_id_invalid</obj_property>
<obj_property name="ObjectShortName">s_id_invalid</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_halt" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_id_halt</obj_property>
<obj_property name="ObjectShortName">s_id_halt</obj_property>
</wvobject>
</wvobject>
<wvobject fp_name="group6" type="group">
<obj_property name="label">EX</obj_property>
<obj_property name="DisplayName">label</obj_property>
<wvobject fp_name="/core_tb/dut/r_ex_stall" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_stall</obj_property>
<obj_property name="ObjectShortName">r_ex_stall</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_pc" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_pc[31:0]</obj_property>
<obj_property name="ObjectShortName">r_ex_pc[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_inst" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_inst[31:0]</obj_property>
<obj_property name="ObjectShortName">r_ex_inst[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_rd" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_rd[4:0]</obj_property>
<obj_property name="ObjectShortName">r_ex_rd[4:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_s1" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_s1[31:0]</obj_property>
<obj_property name="ObjectShortName">r_ex_s1[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_s2" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_s2[31:0]</obj_property>
<obj_property name="ObjectShortName">r_ex_s2[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_aluop" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_aluop[3:0]</obj_property>
<obj_property name="ObjectShortName">r_ex_aluop[3:0]</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_alu_seed" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_alu_seed</obj_property>
<obj_property name="ObjectShortName">r_ex_alu_seed</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_ex_data1" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_ex_data1[31:0]</obj_property>
<obj_property name="ObjectShortName">s_ex_data1[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_ex_data2" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_ex_data2[31:0]</obj_property>
<obj_property name="ObjectShortName">s_ex_data2[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_ex_alu_out" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_ex_alu_out[31:0]</obj_property>
<obj_property name="ObjectShortName">s_ex_alu_out[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_ex_alu_zero" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_ex_alu_zero</obj_property>
<obj_property name="ObjectShortName">s_ex_alu_zero</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_jump" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_jump</obj_property>
<obj_property name="ObjectShortName">r_ex_jump</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_ex_halt" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_ex_halt</obj_property>
<obj_property name="ObjectShortName">s_ex_halt</obj_property>
</wvobject>
</wvobject>
<wvobject fp_name="group7" type="group">
<obj_property name="label">MEM</obj_property>
<obj_property name="DisplayName">label</obj_property>
<wvobject fp_name="/core_tb/dut/r_mem_stall" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_mem_stall</obj_property>
<obj_property name="ObjectShortName">r_mem_stall</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_mem_pc" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_mem_pc[31:0]</obj_property>
<obj_property name="ObjectShortName">r_mem_pc[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_mem_inst" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_mem_inst[31:0]</obj_property>
<obj_property name="ObjectShortName">r_mem_inst[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_mem_rd" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_mem_rd[4:0]</obj_property>
<obj_property name="ObjectShortName">r_mem_rd[4:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_mem_alu_out" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_mem_alu_out[31:0]</obj_property>
<obj_property name="ObjectShortName">r_mem_alu_out[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_mem_alu_zero" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_mem_alu_zero</obj_property>
<obj_property name="ObjectShortName">r_mem_alu_zero</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_mem_halt" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_mem_halt</obj_property>
<obj_property name="ObjectShortName">s_mem_halt</obj_property>
</wvobject>
</wvobject>
<wvobject fp_name="group8" type="group">
<obj_property name="label">WB</obj_property>
<obj_property name="DisplayName">label</obj_property>
<wvobject fp_name="/core_tb/dut/r_wb_stall" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_wb_stall</obj_property>
<obj_property name="ObjectShortName">r_wb_stall</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_wb_pc" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_wb_pc[31:0]</obj_property>
<obj_property name="ObjectShortName">r_wb_pc[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_wb_inst" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_wb_inst[31:0]</obj_property>
<obj_property name="ObjectShortName">r_wb_inst[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_wb_alu_out" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_wb_alu_out[31:0]</obj_property>
<obj_property name="ObjectShortName">r_wb_alu_out[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_wb_rd" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_wb_rd[4:0]</obj_property>
<obj_property name="ObjectShortName">r_wb_rd[4:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_wb_data" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_wb_data[31:0]</obj_property>
<obj_property name="ObjectShortName">s_wb_data[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_wb_halt" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_wb_halt</obj_property>
<obj_property name="ObjectShortName">s_wb_halt</obj_property>
</wvobject>
</wvobject>
</wave_config>