formatting

This commit is contained in:
Brendan Haines 2022-12-01 01:07:15 -07:00
parent f12d3be0bd
commit 5d3d9b222f
3 changed files with 145 additions and 150 deletions

View File

@ -1,7 +1,6 @@
`timescale 1ns/1ps `timescale 1ns/1ps
package bh_assert; package bh_assert;
int bh_assert_pass_count = 0; int bh_assert_pass_count = 0;
int bh_assert_fail_count = 0; int bh_assert_fail_count = 0;
int bh_assert_warn_count = 0; int bh_assert_warn_count = 0;
@ -65,5 +64,4 @@ task bh_warn(string description);
bh_assert_warn_count = bh_assert_warn_count + 1; bh_assert_warn_count = bh_assert_warn_count + 1;
endtask endtask
endpackage endpackage

View File

@ -12,7 +12,6 @@ module skidbuffer #(
output logic out_valid, output logic out_valid,
input logic out_ready input logic out_ready
); );
logic buffer_filled = 0; logic buffer_filled = 0;
logic [WIDTH-1:0] buffer_val; logic [WIDTH-1:0] buffer_val;

View File

@ -5,7 +5,6 @@ import bh_assert::bh_assert_equal;
import bh_assert::bh_assert_stats; import bh_assert::bh_assert_stats;
module skidbuffer_tb(); module skidbuffer_tb();
parameter WIDTH = 15; parameter WIDTH = 15;
parameter TEST_LIST_LENGTH = 256; parameter TEST_LIST_LENGTH = 256;
@ -79,5 +78,4 @@ end
wire [WIDTH-1:0] out_correct; wire [WIDTH-1:0] out_correct;
assign out_correct = in_list[out_count]; assign out_correct = in_list[out_count];
endmodule endmodule