mirror of
https://gitlab.com/brendanhaines/ice40.git
synced 2024-11-09 21:14:58 -07:00
39 lines
700 B
Makefile
39 lines
700 B
Makefile
PROJ = top
|
|
PIN_DEF = pins.pcf
|
|
DEVICE = hx8k
|
|
PACKAGE = ct256
|
|
|
|
all: $(PROJ).rpt $(PROJ).bin
|
|
|
|
%.blif: %.v
|
|
yosys -p 'synth_ice40 -top top -blif $@' $(wildcard *.v)
|
|
# yosys -p 'synth_ice40 -top top -blif $@' $<
|
|
|
|
%.asc: $(PIN_DEF) %.blif
|
|
arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -P $(PACKAGE) -o $@ -p $^
|
|
|
|
%.bin: %.asc
|
|
icepack $< $@
|
|
|
|
%.rpt: %.asc
|
|
icetime -d $(DEVICE) -mtr $@ $<
|
|
|
|
prog: $(PROJ).bin
|
|
iceprog $<
|
|
|
|
sudo-prog: $(PROJ).bin
|
|
@echo 'Executing prog as root!!!'
|
|
sudo iceprog $<
|
|
|
|
tb.out: $(wildcard *.v) $(wildcard tb/*.v)
|
|
iverilog $^ -o $@
|
|
|
|
sim: tb.out
|
|
./tb.out
|
|
|
|
clean:
|
|
rm -rf $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin tb.out tb.vcd
|
|
|
|
.SECONDARY:
|
|
.PHONY: all prog clean sim
|