ice40/README.md

16 lines
555 B
Markdown
Raw Permalink Normal View History

2021-05-03 23:31:55 -06:00
# ICE40
Example project using Lattice ICE40 parts and [icestorm](http://www.clifford.at/icestorm/) toolchain.
On Ubuntu 22.04.1 LTS, the build dependencies can be installed with:
`sudo apt install iverilog yosys verilator gtkwave arachne-pnr`
## Viewing waveforms
2022-08-21 12:17:35 -06:00
`.gtkw` files use absolute paths for locating the associated `.vcd` file. Manually edit the paths in `.gtkw` files if project was cloned to a new location.
## Attribution
- `logo.png`: [Helena Burningem](https://www.pngitem.com/middle/hRhxowo_ice-block-icon-clip-art-ice-cube-png/)