cpu/tests/common/axi4_lite.sv

5 lines
51 B
Systemverilog

module axi4_lite(
input wire aclk
);
endmodule