start adding parallel ATA stuff
This commit is contained in:
21
lib/tb/axil_ata_tb.sv
Normal file
21
lib/tb/axil_ata_tb.sv
Normal file
@@ -0,0 +1,21 @@
|
||||
`include "bh_assert.sv"
|
||||
`timescale 1ns/1ps
|
||||
|
||||
import bh_assert::bh_assert_equal;
|
||||
import bh_assert::bh_assert_stats;
|
||||
import bh_assert::bh_info;
|
||||
|
||||
module axil_ata_tb();
|
||||
|
||||
axil_ata dut();
|
||||
|
||||
initial begin
|
||||
$dumpfile("axil_ata_tb.vcd");
|
||||
$dumpvars(0, axil_ata_tb);
|
||||
|
||||
#10
|
||||
bh_assert_stats();
|
||||
$finish;
|
||||
end
|
||||
|
||||
endmodule
|
Reference in New Issue
Block a user