start adding parallel ATA stuff

This commit is contained in:
2023-01-23 18:26:44 -07:00
parent 51103c378d
commit 5cb8b7dd77
2 changed files with 74 additions and 0 deletions

21
lib/tb/axil_ata_tb.sv Normal file
View File

@@ -0,0 +1,21 @@
`include "bh_assert.sv"
`timescale 1ns/1ps
import bh_assert::bh_assert_equal;
import bh_assert::bh_assert_stats;
import bh_assert::bh_info;
module axil_ata_tb();
axil_ata dut();
initial begin
$dumpfile("axil_ata_tb.vcd");
$dumpvars(0, axil_ata_tb);
#10
bh_assert_stats();
$finish;
end
endmodule