cpu/sim/core_tb.wcfg

1712 lines
102 KiB
Plaintext
Raw Normal View History

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
<wave_state>
</wave_state>
<db_ref_list>
<db_ref path="./isim.wdb" id="1" type="auto">
<top_modules>
<top_module name="core_tb" />
<top_module name="glbl" />
</top_modules>
</db_ref>
</db_ref_list>
<WVObjectSize size="9" />
<wvobject fp_name="/core_tb/clk" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">clk</obj_property>
<obj_property name="ObjectShortName">clk</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/reset" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">reset</obj_property>
<obj_property name="ObjectShortName">reset</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst" type="array" db_ref_id="1">
<obj_property name="ElementShortName">mem_inst[0:255,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[0:255,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
<wvobject fp_name="/core_tb/mem_inst[0]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[0,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[0,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[1]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[1,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[1,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[2]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[2,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[2,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[3]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[3,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[3,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[4]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[4,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[4,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[5]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[5,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[5,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[6]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[6,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[6,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[7]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[7,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[7,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[8]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[8,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[8,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[9]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[9,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[9,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[10]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[10,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[10,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[11]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[11,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[11,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[12]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[12,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[12,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[13]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[13,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[13,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[14]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[14,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[14,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[15]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[15,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[15,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[16]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[16,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[16,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[17]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[17,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[17,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[18]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[18,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[18,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[19]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[19,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[19,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[20]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[20,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[20,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[21]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[21,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[21,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[22]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[22,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[22,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[23]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[23,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[23,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[24]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[24,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[24,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[25]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[25,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[25,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[26]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[26,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[26,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[27]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[27,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[27,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[28]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[28,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[28,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[29]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[29,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[29,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[30]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[30,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[30,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[31]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[31,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[31,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[32]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[32,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[32,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[33]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[33,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[33,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[34]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[34,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[34,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[35]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[35,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[35,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[36]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[36,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[36,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[37]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[37,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[37,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[38]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[38,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[38,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[39]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[39,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[39,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[40]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[40,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[40,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[41]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[41,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[41,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[42]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[42,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[42,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[43]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[43,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[43,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[44]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[44,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[44,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[45]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[45,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[45,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[46]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[46,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[46,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[47]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[47,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[47,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[48]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[48,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[48,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[49]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[49,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[49,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[50]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[50,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[50,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[51]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[51,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[51,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[52]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[52,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[52,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[53]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[53,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[53,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[54]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[54,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[54,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[55]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[55,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[55,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[56]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[56,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[56,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[57]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[57,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[57,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[58]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[58,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[58,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[59]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[59,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[59,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[60]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[60,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[60,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[61]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[61,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[61,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[62]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[62,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[62,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[63]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[63,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[63,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[64]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[64,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[64,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[65]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[65,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[65,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[66]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[66,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[66,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[67]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[67,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[67,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[68]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[68,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[68,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[69]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[69,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[69,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[70]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[70,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[70,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[71]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[71,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[71,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[72]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[72,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[72,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[73]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[73,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[73,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[74]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[74,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[74,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[75]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[75,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[75,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[76]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[76,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[76,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[77]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[77,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[77,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[78]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[78,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[78,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[79]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[79,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[79,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[80]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[80,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[80,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[81]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[81,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[81,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[82]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[82,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[82,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[83]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[83,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[83,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[84]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[84,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[84,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[85]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[85,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[85,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[86]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[86,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[86,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[87]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[87,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[87,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[88]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[88,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[88,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[89]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[89,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[89,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[90]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[90,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[90,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[91]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[91,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[91,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[92]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[92,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[92,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[93]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[93,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[93,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[94]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[94,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[94,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[95]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[95,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[95,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[96]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[96,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[96,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[97]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[97,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[97,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[98]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[98,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[98,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[99]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[99,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[99,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[100]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[100,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[100,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[101]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[101,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[101,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[102]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[102,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[102,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[103]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[103,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[103,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[104]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[104,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[104,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[105]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[105,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[105,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[106]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[106,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[106,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[107]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[107,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[107,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[108]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[108,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[108,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[109]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[109,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[109,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[110]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[110,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[110,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[111]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[111,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[111,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[112]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[112,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[112,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[113]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[113,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[113,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[114]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[114,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[114,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[115]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[115,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[115,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[116]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[116,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[116,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[117]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[117,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[117,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[118]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[118,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[118,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[119]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[119,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[119,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[120]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[120,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[120,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[121]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[121,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[121,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[122]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[122,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[122,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[123]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[123,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[123,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[124]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[124,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[124,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[125]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[125,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[125,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[126]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[126,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[126,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[127]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[127,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[127,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[128]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[128,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[128,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[129]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[129,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[129,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[130]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[130,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[130,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[131]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[131,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[131,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[132]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[132,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[132,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[133]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[133,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[133,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[134]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[134,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[134,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[135]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[135,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[135,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[136]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[136,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[136,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[137]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[137,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[137,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[138]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[138,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[138,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[139]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[139,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[139,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[140]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[140,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[140,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[141]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[141,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[141,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[142]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[142,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[142,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[143]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[143,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[143,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[144]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[144,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[144,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[145]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[145,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[145,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[146]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[146,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[146,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[147]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[147,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[147,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[148]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[148,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[148,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[149]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[149,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[149,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[150]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[150,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[150,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[151]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[151,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[151,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[152]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[152,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[152,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[153]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[153,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[153,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[154]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[154,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[154,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[155]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[155,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[155,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[156]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[156,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[156,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[157]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[157,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[157,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[158]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[158,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[158,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[159]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[159,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[159,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[160]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[160,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[160,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[161]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[161,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[161,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[162]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[162,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[162,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[163]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[163,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[163,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[164]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[164,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[164,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[165]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[165,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[165,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[166]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[166,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[166,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[167]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[167,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[167,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[168]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[168,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[168,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[169]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[169,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[169,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[170]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[170,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[170,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[171]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[171,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[171,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[172]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[172,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[172,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[173]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[173,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[173,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[174]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[174,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[174,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[175]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[175,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[175,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[176]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[176,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[176,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[177]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[177,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[177,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[178]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[178,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[178,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[179]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[179,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[179,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[180]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[180,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[180,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[181]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[181,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[181,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[182]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[182,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[182,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[183]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[183,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[183,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[184]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[184,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[184,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[185]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[185,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[185,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[186]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[186,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[186,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[187]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[187,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[187,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[188]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[188,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[188,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[189]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[189,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[189,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[190]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[190,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[190,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[191]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[191,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[191,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[192]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[192,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[192,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[193]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[193,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[193,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[194]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[194,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[194,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[195]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[195,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[195,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[196]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[196,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[196,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[197]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[197,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[197,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[198]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[198,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[198,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[199]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[199,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[199,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[200]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[200,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[200,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[201]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[201,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[201,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[202]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[202,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[202,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[203]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[203,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[203,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[204]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[204,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[204,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[205]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[205,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[205,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[206]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[206,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[206,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[207]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[207,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[207,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[208]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[208,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[208,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[209]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[209,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[209,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[210]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[210,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[210,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[211]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[211,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[211,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[212]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[212,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[212,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[213]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[213,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[213,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[214]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[214,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[214,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[215]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[215,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[215,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[216]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[216,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[216,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[217]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[217,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[217,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[218]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[218,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[218,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[219]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[219,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[219,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[220]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[220,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[220,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[221]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[221,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[221,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[222]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[222,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[222,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[223]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[223,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[223,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[224]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[224,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[224,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[225]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[225,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[225,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[226]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[226,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[226,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[227]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[227,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[227,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[228]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[228,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[228,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[229]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[229,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[229,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[230]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[230,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[230,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[231]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[231,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[231,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[232]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[232,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[232,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[233]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[233,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[233,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[234]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[234,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[234,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[235]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[235,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[235,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[236]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[236,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[236,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[237]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[237,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[237,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[238]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[238,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[238,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[239]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[239,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[239,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[240]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[240,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[240,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[241]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[241,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[241,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[242]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[242,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[242,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[243]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[243,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[243,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[244]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[244,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[244,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[245]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[245,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[245,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[246]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[246,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[246,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[247]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[247,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[247,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[248]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[248,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[248,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[249]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[249,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[249,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[250]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[250,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[250,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[251]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[251,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[251,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[252]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[252,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[252,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[253]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[253,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[253,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[254]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[254,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[254,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/mem_inst[255]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[255,31:0]</obj_property>
<obj_property name="ObjectShortName">mem_inst[255,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile" type="array" db_ref_id="1">
<obj_property name="ElementShortName">regfile[0:31,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[0:31,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
<wvobject fp_name="/core_tb/dut/regfile[0]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[0,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[0,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[1]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[1,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[1,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[2]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[2,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[2,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[3]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[3,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[3,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[4]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[4,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[4,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[5]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[5,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[5,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[6]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[6,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[6,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[7]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[7,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[7,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[8]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[8,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[8,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[9]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[9,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[9,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[10]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[10,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[10,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[11]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[11,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[11,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[12]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[12,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[12,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[13]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[13,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[13,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[14]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[14,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[14,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[15]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[15,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[15,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[16]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[16,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[16,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[17]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[17,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[17,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[18]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[18,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[18,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[19]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[19,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[19,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[20]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[20,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[20,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[21]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[21,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[21,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[22]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[22,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[22,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[23]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[23,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[23,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[24]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[24,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[24,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[25]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[25,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[25,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[26]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[26,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[26,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[27]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[27,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[27,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[28]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[28,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[28,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[29]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[29,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[29,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[30]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[30,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[30,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/regfile[31]" type="array" db_ref_id="1">
<obj_property name="ElementShortName">[31,31:0]</obj_property>
<obj_property name="ObjectShortName">regfile[31,31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
</wvobject>
<wvobject fp_name="group4" type="group">
<obj_property name="label">IF</obj_property>
<obj_property name="DisplayName">label</obj_property>
<wvobject fp_name="/core_tb/dut/r_if_pc" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_if_pc[31:0]</obj_property>
<obj_property name="ObjectShortName">r_if_pc[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_if_next_pc" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_if_next_pc[31:0]</obj_property>
<obj_property name="ObjectShortName">s_if_next_pc[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_if_inst" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_if_inst[31:0]</obj_property>
<obj_property name="ObjectShortName">s_if_inst[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_if_halt" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_if_halt</obj_property>
<obj_property name="ObjectShortName">s_if_halt</obj_property>
</wvobject>
</wvobject>
<wvobject fp_name="group5" type="group">
<obj_property name="label">ID</obj_property>
<obj_property name="DisplayName">label</obj_property>
<wvobject fp_name="/core_tb/dut/r_id_stall" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_id_stall</obj_property>
<obj_property name="ObjectShortName">r_id_stall</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_id_pc" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_id_pc[31:0]</obj_property>
<obj_property name="ObjectShortName">r_id_pc[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_id_inst" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_id_inst[31:0]</obj_property>
<obj_property name="ObjectShortName">r_id_inst[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_opcode" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_opcode[6:0]</obj_property>
<obj_property name="ObjectShortName">s_id_opcode[6:0]</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_funct3" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_funct3[2:0]</obj_property>
<obj_property name="ObjectShortName">s_id_funct3[2:0]</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_funct7" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_funct7[6:0]</obj_property>
<obj_property name="ObjectShortName">s_id_funct7[6:0]</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_rd" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_rd[4:0]</obj_property>
<obj_property name="ObjectShortName">s_id_rd[4:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_rs1" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_rs1[4:0]</obj_property>
<obj_property name="ObjectShortName">s_id_rs1[4:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_rs2" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_rs2[4:0]</obj_property>
<obj_property name="ObjectShortName">s_id_rs2[4:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_s1" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_s1[31:0]</obj_property>
<obj_property name="ObjectShortName">s_id_s1[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_s2" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_s2[31:0]</obj_property>
<obj_property name="ObjectShortName">s_id_s2[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_aluop" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_id_aluop[2:0]</obj_property>
<obj_property name="ObjectShortName">s_id_aluop[2:0]</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_alu_seed" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_id_alu_seed</obj_property>
<obj_property name="ObjectShortName">s_id_alu_seed</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_jump" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_id_jump</obj_property>
<obj_property name="ObjectShortName">s_id_jump</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_invalid" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_id_invalid</obj_property>
<obj_property name="ObjectShortName">s_id_invalid</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_id_halt" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_id_halt</obj_property>
<obj_property name="ObjectShortName">s_id_halt</obj_property>
</wvobject>
</wvobject>
<wvobject fp_name="group6" type="group">
<obj_property name="label">EX</obj_property>
<obj_property name="DisplayName">label</obj_property>
<wvobject fp_name="/core_tb/dut/r_ex_stall" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_stall</obj_property>
<obj_property name="ObjectShortName">r_ex_stall</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_pc" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_pc[31:0]</obj_property>
<obj_property name="ObjectShortName">r_ex_pc[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_inst" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_inst[31:0]</obj_property>
<obj_property name="ObjectShortName">r_ex_inst[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_rd" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_rd[4:0]</obj_property>
<obj_property name="ObjectShortName">r_ex_rd[4:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_s1" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_s1[31:0]</obj_property>
<obj_property name="ObjectShortName">r_ex_s1[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_s2" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_s2[31:0]</obj_property>
<obj_property name="ObjectShortName">r_ex_s2[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_aluop" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_aluop[2:0]</obj_property>
<obj_property name="ObjectShortName">r_ex_aluop[2:0]</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_alu_seed" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_alu_seed</obj_property>
<obj_property name="ObjectShortName">r_ex_alu_seed</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_ex_data1" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_ex_data1[31:0]</obj_property>
<obj_property name="ObjectShortName">s_ex_data1[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_ex_data2" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_ex_data2[31:0]</obj_property>
<obj_property name="ObjectShortName">s_ex_data2[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_ex_alu_out" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_ex_alu_out[31:0]</obj_property>
<obj_property name="ObjectShortName">s_ex_alu_out[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_ex_alu_zero" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_ex_alu_zero</obj_property>
<obj_property name="ObjectShortName">s_ex_alu_zero</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_ex_jump" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_ex_jump</obj_property>
<obj_property name="ObjectShortName">r_ex_jump</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_ex_halt" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_ex_halt</obj_property>
<obj_property name="ObjectShortName">s_ex_halt</obj_property>
</wvobject>
</wvobject>
<wvobject fp_name="group7" type="group">
<obj_property name="label">MEM</obj_property>
<obj_property name="DisplayName">label</obj_property>
<wvobject fp_name="/core_tb/dut/r_mem_stall" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_mem_stall</obj_property>
<obj_property name="ObjectShortName">r_mem_stall</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_mem_pc" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_mem_pc[31:0]</obj_property>
<obj_property name="ObjectShortName">r_mem_pc[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_mem_inst" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_mem_inst[31:0]</obj_property>
<obj_property name="ObjectShortName">r_mem_inst[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_mem_rd" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_mem_rd[4:0]</obj_property>
<obj_property name="ObjectShortName">r_mem_rd[4:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_mem_alu_out" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_mem_alu_out[31:0]</obj_property>
<obj_property name="ObjectShortName">r_mem_alu_out[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_mem_alu_zero" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_mem_alu_zero</obj_property>
<obj_property name="ObjectShortName">r_mem_alu_zero</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_mem_halt" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_mem_halt</obj_property>
<obj_property name="ObjectShortName">s_mem_halt</obj_property>
</wvobject>
</wvobject>
<wvobject fp_name="group8" type="group">
<obj_property name="label">WB</obj_property>
<obj_property name="DisplayName">label</obj_property>
<wvobject fp_name="/core_tb/dut/r_wb_stall" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">r_wb_stall</obj_property>
<obj_property name="ObjectShortName">r_wb_stall</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_wb_pc" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_wb_pc[31:0]</obj_property>
<obj_property name="ObjectShortName">r_wb_pc[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_wb_inst" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_wb_inst[31:0]</obj_property>
<obj_property name="ObjectShortName">r_wb_inst[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_wb_alu_out" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_wb_alu_out[31:0]</obj_property>
<obj_property name="ObjectShortName">r_wb_alu_out[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/r_wb_rd" type="array" db_ref_id="1">
<obj_property name="ElementShortName">r_wb_rd[4:0]</obj_property>
<obj_property name="ObjectShortName">r_wb_rd[4:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_wb_data" type="array" db_ref_id="1">
<obj_property name="ElementShortName">s_wb_data[31:0]</obj_property>
<obj_property name="ObjectShortName">s_wb_data[31:0]</obj_property>
<obj_property name="Radix">HEXRADIX</obj_property>
</wvobject>
<wvobject fp_name="/core_tb/dut/s_wb_halt" type="logic" db_ref_id="1">
<obj_property name="ElementShortName">s_wb_halt</obj_property>
<obj_property name="ObjectShortName">s_wb_halt</obj_property>
</wvobject>
</wvobject>
</wave_config>