From fc68d63979a6db6f45a03206991a1650aa89b52d Mon Sep 17 00:00:00 2001 From: Brendan Haines Date: Sat, 15 Jun 2024 09:19:04 -0600 Subject: [PATCH] add simulation models for opa810 --- spice/ti/sbomb73a/library/opa810.olb | Bin 0 -> 34304 bytes spice/ti/sbomb73a/opa810_a.lib | 356 ++++++++++++++++++ .../SCHEMATIC1/ac_sweep.sim | 154 ++++++++ .../SCHEMATIC1/ac_sweep/AC_Sweep.1OP | Bin 0 -> 2121 bytes .../SCHEMATIC1/ac_sweep/AC_Sweep.cir | 22 ++ .../SCHEMATIC1/ac_sweep/AC_Sweep.json | 1 + .../SCHEMATIC1/ac_sweep/AC_Sweep.mif | 21 ++ .../SCHEMATIC1/ac_sweep/AC_Sweep.mrk | Bin 0 -> 897 bytes .../SCHEMATIC1/ac_sweep/AC_Sweep.out.1 | 183 +++++++++ .../SCHEMATIC1/ac_sweep/AC_Sweep.prb | 77 ++++ .../SCHEMATIC1/ac_sweep/Install_TIPSpice.json | 1 + spice/ti/sbomb73a/opa810_pspice.dsn | Bin 0 -> 30208 bytes spice/ti/sbomb73a/opa810_pspice.opj | 82 ++++ 13 files changed, 897 insertions(+) create mode 100644 spice/ti/sbomb73a/library/opa810.olb create mode 100644 spice/ti/sbomb73a/opa810_a.lib create mode 100644 spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep.sim create mode 100644 spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep/AC_Sweep.1OP create mode 100644 spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep/AC_Sweep.cir create mode 100644 spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep/AC_Sweep.json create mode 100644 spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep/AC_Sweep.mif create mode 100644 spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep/AC_Sweep.mrk create mode 100644 spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep/AC_Sweep.out.1 create mode 100644 spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep/AC_Sweep.prb create mode 100644 spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep/Install_TIPSpice.json create mode 100644 spice/ti/sbomb73a/opa810_pspice.dsn create mode 100644 spice/ti/sbomb73a/opa810_pspice.opj diff --git a/spice/ti/sbomb73a/library/opa810.olb b/spice/ti/sbomb73a/library/opa810.olb new file mode 100644 index 0000000000000000000000000000000000000000..57b81357b826ca0e54e834f8808dd7718b88019a GIT binary patch literal 34304 zcmeI53xHfzdB^W;vO@?7g!i%{I~%ejAq27;-XQKHo3OescF6`&S(30oFpqV43koV~ z)jnt~)mm$bRcmQ!wJ-Xxt!-7r){0i!qM#u0(bg)hFKjF6?|<$&bI;72J9B4d6RPDT z|G9VW{m%ED$M=2bJLjIc`|ziae0=YR+MkHZ(nZmN=$ZY8MtSdgj&s4JWs$kJkT1{d z-@o5pjW~TS{TQ{tk0@&kowop}FI&Ok;3TjJ910eL!@v@-6dVhV0H{E8BsdBj4UPfQ zh2uCL58A*9pdCC9oCux|I=~sA06M{Pa57i{y1*%5C0GSkgHyp8a2i+(P6z8iFX#q6 zU_Ce!oCVGX=YVs;dEk6-0k{yn09*uK2>O7^EBt>=6VV?2-9TRqM!PxAMYqx_+0$`o zjB7jTOSRP7@|H`^d+_tQLqxPKrW}a2M%$xnqnTwd9<99jlNQZ}oE~omLt^ z@=pF;AAha;b4irT<;W%bNt3_si*Gjdc1K^xIQ5^S-J6kLtNu=|JNojcq8+rr&CSS< zT41PgqLKO!BYzt$pjN0)^Ws`8YoPz-FFvadBTXW87bV!!pbjjf|K&5K)ACKB^3VpVy!Vjmg)zp|L@}K9rnXcl2on zqg$d`T3#K8( zbJtn@OYFaK`L6%7%0C+U1$7!JvHynU8(*rJA*+1F3ofo4rM=bjH!}KMMgPx4Bk1BR zeX*76TUErWX?X+oub9PFzs&yYZ-W)cS4^Z>C~N&i>*`-_|MiyO6ufV}{jb=~$uGD6 z2IS|&Pi>mD{u(cx{-@c0)^eQQK>ZP4tp7&ho{{KMU1}&TZb1KDLjw$G&?HSoqv+sZ z{H1|U$(KDT_RiY=H+b?D`>H5%N3scxWzr3eXKC@jY~97p{!wMn+@MzcuepWh00qzq zmV=Xlcy)0+1=NcFHGj|?K=Hrwt>;*8{NGESKAT@WHgK+<*a$X(i@^|h5qL2e1|wh; zjDc~Wd=nfefwX8dm;zhCW#A>?a_~~{GVpS61yH^#Ic^2pz;@hVQkN%`B+ zn!d5&=^lFZsi!}7&odwYeD2EI9x}&wENVfYHcd=VP7HAS&dVOGxZN5}ZkZk%=I#eS z72lnGPm+021n0@Y(aAvw?tOnH!NO?c;K-#OZ$EcF8l2oP-QUmc@h3lSMEkc7jiv@h zrU!=mM#iD|$agEb=cBRlzH__RiT}P+-lwYFefw&2RMq0LPk5Q{d?+dV;%I7Ya(asY z10#?;-v0?x0-xmAXx+KfW8>45V0vI|WPEt=(!uGAyP)!W)C;4jxY-_l zUZvo;Wrjw{d*$M|-nAvIhx#M0uPj$Sx_r#`@KZY~Wi5(m;w_e7-92$X-2GJ2P_2eQ zWm&eV^6nDdrG12phahrF=ChzHY3mIWLmQkYNDS@t(LHm!w(ey0$ti)a*GClHNkSL$Du)@blF&HE<$I44 zgSNXq$rLh`rB(|Qs*o}sU83>{ZAkN%#QrlO3c1n4o&F|dAvF$HsXNIO@-s`V^(Ryz zC$KCr(1g%+k#C5`Rl^1*5gev5C11_iJQfd5>FAgofq+4vwQmtla{HiJ8zuPjmbV{vcu7|nMcRu^?f$-{N-9I@h#g!PJT~) zVvE$0uk}nlZ)AEaEvT7Z=E)zR_I=FOHK%VRHB$fafPAebG?FA=>n+mkto7gE$)BX| z(?$mxk*;NSN~75dNaY3z(&&H4b;CA z`QNkh2a&yj67=)0ktF$gzOHBMS?hm1^0$=9A8OD78ma$b$p4O&zloM_w*9rYfEjh> z`pZeU_8*EaX;EBWe_-oBh2I-N1LAfVF>Bh~&YX^Ep#JZH`v+|O zr)Ysm65HU@+H@$EcteM^4H<}6o07RuKojj zS4#|I6_*0Fh@SI=(rMWLDsG$#RE7{u`L$^-OxXHom7f-$BHz@1O&#(tX+Zw^@=Dn6 zs=sUdto0x8cNe;P3SH|9U1t{QSNr#;24Frl0P`VuN)U&&McOmMv{OT)g)W|w zk#$V@$C4Z+(s||wA)n(#jw^kR-uBRRdt!y_;<`>%|h46&<0A~ zH$FWaA0~>0TJBSuXIwP#Fhw65PD*~3Peco-;-*{TCUXrqHLe@uDJ{a|5z}Ck$^EVp zzPd|q(D|J4nb0kaMz`*o!8v%{3yt*RdgZ!aXM1d&Lh70ITK)`6nLo^(pxOIgcAmb4 zR}R;+9+KB1cBO{$lP|~QDfAGw_#h`2wOwq(g|@sqd6SXJ#QP$5qGbrBww&2baR{fb z^fd%Psr8^-v}%>cGCyfAg5rCZm^zu{IP1$sC>BQTt|0BMAniGfGv3bO$a8ieUCi~b z(7HNyvY}dRHI3vm8=H7MJcbNnqhlv~6=F8pvyhR^db8O=rO-lIT$(w{M%x@RvN_YR zQ7Ocxv^29^X^B=Y=b*IGDc8zGxm4`d===L~bVIH>sk*Sj-Q7T_H{P`JMeeI0l`xC^`i zyb)-6`%~b}K;5S`yPxLxGvH^z&w;za&jZb6-UfaV+yj0Iyd5adFLQh+_!aOj@T=h6 z;Mc&t0PQq%zs~W!;CTAfqw>%fG>bAf=9t);7j1k;Bg@OuW)=4>;qo~UjttU-vIvt{uTTi z_$K&w@GbCd@D%tD@Si|@zRU4@;QQbQ;A!wf@L%A+!T*3CfoBBhQDK}^)GkS?x*6MTL#=Sr-3I?wbBPXZL&)e+^j9`4#TuFn5BI z+x5eet&H4u`QsmFc)t6LmN;|U0(Ww|<<_>|ogD2>;+4Lm`0C_@cA|589cXfS?}b)q zHG^x3rq&p;#e62~drp^ht34y1klmK>=`(_0=vpJ-Nft(0P!7aPjd6?oEC;+oRUnY=$?g~Z|V@GSx8CVw50>XA~gT-x0f2h zb~`@y<2o{bvMoS8`Sp7n&wAda&LFkTxs%)C3sGB-J8}AAXD&x_-C0lIHv-(PW;{{? zm#oMlH(lR|nzTgiu8!4}RZmF_vnuA3nNzH+;v{9&u@k6_?VuiIoz1i+eFD7KK}zyF zTe|9hM^$Gl#X_eY)6ey2OBsej90=jor5;*^@ZY5FIPUIkn-ZO<3SP1k$kX>*^YsiA*7-7|#E z>mN@D4X4t;%h$j5{tRVmjm%R zMr%!pR5>xyu@k5->$TJAOm^x`o-q`sZU{7XTKtZk-bERdYT0Qq540tA>LR0*IkPXm zR>0-`4A)3;zoWx`T|kjvuELT+<40Ql$w6mmSZ}8@+832}CuO6@AzXL% z=E{`ti;6RCHn7V zIGf^Tn*LwNy;}NzArx#Z=!I0N+TfM8W?*&h+xzyCzt^y-%_uZ<+4W4rp^l+zjd|;v z#+l1OJl;IIH}>vonmSmmHLNt!h*dn|*i!A+BcJ)6rJLEu)5b4(KcJ6o!F9A|=NWCG zn1#aEXA8xgVOw|s43+Yo@iFNCli$xnl;fvIdM^XJtFWJ@@;gn(dLA=P#oXfocXF#O zP@8&MsjY1ESi&`DJ;fq9mfTA-o~(n%f~-1EOQ?KCK~v`Ky@sH+@x+6^)H7LdvsP$0 zWVOPbVHhUAct?LPuqDbD+(}^lsrZJBvi$M83eet_&gB=^ot#~_x#?E9_WHg* zDN)Q3F=@(sa6P|ildL)yTrDn9-hSK0)yATD(h~Cg4YCS(exFr#OF*fr?nILk*zILk z8A~YYjkLJ<1v|LGHbh6koj9%QNPJo`12iROaJJ2qVg|*0VUN#SVB@#qoIaXe|LuEp zOi7abMg4#4g1AU+*SHhbhfGSPSgytxfa~1zuqjuMg?XK_JlNQO@_fbliKcpx-Wcu7{4x9wD zuQ7L30)N)8i^^U@ku}gRjN16rgW~pWoG67Pv1q-gSYeHQM`o0DEETfVswHc!k>xs5b*o#J+F$j`;A2i=3u{bV)5o-% z2Di`fi|@FKeT+3!e2j~qYWNu6vc2_eH!i077@Mz^+OhA{?m#tTu6az)u2kD#YHzEu z<^Dgr|FJKBf>jRx6@PP~-h7O|r5sJKNmS*Q?&ro|8ix)o@5<1lXt(~THj}wtZjUmf zL@P4bMx)!ii!a@XZNn;2_VL%(I?pzo<4&~=n=fVjrS0`ECPpu#X$Q7mT+7O4hKhoW#C9~6gV0j1C9m90nuv!KLPMyFnS(15j-E9 z1Uf(gh;BK@lfeqm1x^7g!78vCoC?-}(}3tt=XeHK2f9HISP#wwXMwZ9IY6}MaXcSf z04@YC02hH5f?m)E`oRDY{UFDUU=z3)41pJc7Xvo?MI(TqCmI8yzl7rim;_8_qs?Fn zYyp>nmw?N`OM&QL&hZK`4Xy-R!8WiRDB_y|SA%PS=y!0u4!i>F1iQd)um|X2&ntnR zwOtQHeSr?C3dF@k)NY2}bhRB7g%cvnM5B0Hc7dK_*G;TP#&^Qjo@0W*)+P}1K zFQ2`Jpn93K8axnKKC;WDPv5^SJ0rUerIIAOJ}jfJszljG|3_;~TGaD)_WhV@%!J!& zO|1R)OLpzI8Q>%aamq!7nxntX7uNog;J!Zn@9$R`oc<>-9z_4&W-5&SYh+PstEvA| zw^IF2IC86+{;M}#vhs?obiJ-^8?;tP|J`;)?V!tUN#p5iYYLoQ+i2&Kt}@xZUmeEU zX0~lS?P(i*lxQ1Y(6;MLR)VI2J8={ClC>Ubjq71g+fK8YQe#b<&)=%yFCNcO9$L_F zsTj1f>z{o;E^MTKrSEQ>kNHqm5FQ`$b^!b-%Wok9wHesFwJYj9Z>P>7D_DgmTY%@^I`aH1B7xutjKcfHV znKodsz!*&m?SF7D3Wp%aFC_G8fC(@9c*Huv@{1N%*#S*ZIsK-YQnIRRmo6w>;#Eb9S~zEn4XC>a~NTptzir+pqWFy zQU+tND(A&BXT^D|8^dtwuRF=@jl$XWU%McTqm%xdFP{FdaBAP;PHO7^A_|h|e+fhG zr0M@b3SR8!ZuYfgbh_c-#p+qS|0kQunl}Ok{P%!W*+KPSc2=Q>w{}AN1;s%JMEmz! z?T?iv*8ccByY|QQRJLR&sHy#NwzR+nc1`HN8K0g07vD5b^gb{8Ut~xT|8MC3H%y@1 zt2QHfZX*!ARMr1De|Dt}ZC?1?UgNSKF^ebJSv@QI|D>n?qt^RNF-Pn0{xLl*SHJ#` zR`dQP9hu$#$A96-6sPR(AX6>Yx@+dW;|0OST%C58_{dem* zS_N#<{~z$^#|%|CiCAf3{~w=c_y3;4)f4^1+2-H>i?7**FaK}8ICY&b{m=3~n1dAm z%$g2tH-Bonsrbh!?QDHYTTTCsDP}jNkpFl3zZ+f$B>wUBKYkaC`{P#i>AzLDdi6j3 z-7e*?f5ZQuqXs4A-Mliieq;X@31qpb^l#Xp{ckd(9kX9OwN+}nDL%5L-<_1L-Yn(1 zi~dXbaU;$7yUkISXWYmhBVD&E=NDO;YCc;VT$QR!e6z9X39Okr6_bGZRxz_?9@pOX zi#)77MZvC?P*R8M(a>6p-=m&>of>CNn_b#m{#rRt(;H9O_0Rm3LkcJT)4At;o6x`4 zqOq?7uLpO5H-I++vD7|+=h9Dh3rO2b^@$75`5!e)`B0&2EufV@bY6V_k^SqVvHvk9 Vn%(~-7G013F}ZyIqjEKz{ugd5UIG9B literal 0 HcmV?d00001 diff --git a/spice/ti/sbomb73a/opa810_a.lib b/spice/ti/sbomb73a/opa810_a.lib new file mode 100644 index 0000000..1df2f82 --- /dev/null +++ b/spice/ti/sbomb73a/opa810_a.lib @@ -0,0 +1,356 @@ +* OPA810 - Rev. A +* Created by Sean Cashin; 2020-06-11 +* Created with Green-Williams-Lis Current Sense Amp Macro-model Architecture +* Copyright 2020 by Texas Instruments Corporation +****************************************************** +* MACRO-MODEL SIMULATED PARAMETERS: +****************************************************** +* AC PARAMETERS +********************** +* CLOSED-LOOP OUTPUT IMPEDANCE VS. FREQUENCY (Zout vs. Freq.) +* CLOSED-LOOP GAIN AND PHASE VS. FREQUENCY WITH RL, CL EFFECTS (Acl vs. Freq.) +* COMMON-MODE REJECTION RATIO VS. FREQUENCY (CMRR vs. Freq.) +* POWER SUPPLY REJECTION RATIO VS. FREQUENCY (PSRR vs. Freq.) +* INPUT VOLTAGE NOISE DENSITY VS. FREQUENCY (en vs. Freq.) +********************** +* DC PARAMETERS +********************** +* INPUT COMMON-MODE VOLTAGE RANGE (Vcm) +* GAIN ERROR (Eg) +* INPUT BIAS CURRENT VS. INPUT COMMON-MODE VOLTAGE (Ib vs. Vcm) +* INPUT OFFSET VOLTAGE VS. TEMPERATURE (Vos vs. Temp) +* OUTPUT VOLTAGE SWING vs. OUTPUT CURRENT (Vout vs. Iout) +* SHORT-CIRCUIT OUTPUT CURRENT (Isc) +* QUIESCENT CURRENT (Iq) +********************** +* TRANSIENT PARAMETERS +********************** +* SLEW RATE (SR) +* SETTLING TIME VS. CAPACITIVE LOAD (ts) +* OVERLOAD RECOVERY TIME (tor) +****************************************************** +.subckt OPA810 IN+ IN- OUT VCC VEE +****************************************************** +.MODEL R_NOISE RES (T_ABS=0) +.MODEL R_NOISELESS RES (T_ABS=-273.15) +C_C12 MID N45892 1E-15 +C_C13 N45974 MID 1E-15 +C_C17 MID N68747 1E-12 +C_C18 MID N68594 1E-12 +C_C19 MID SW_OL_OPA810 1E-12 +C_C1A N725398 N725428 15.92E-6 +C_C1A1 N701935 N701965 3.537P +C_C1A10 N789898 N789912 342.3F +C_C1A4 N709083 N709113 63.66N +C_C1A9 N704975 N705005 83.77N +C_C1C1 N821901 N725762 159.2E-9 +C_C1C3 N725214 N725836 26.53E-15 +C_C1D MID N725708 93.62E-15 +C_C1_0 MID N79181 23.5E-9 +C_C2 MID N694641 740E-12 +C_C3 MID N694487 740E-12 +C_C33 N406634 0 1E-15 +C_C34 N317950 0 1 +C_C35 N406794 0 1E-15 +C_C36 N894736 N892256 227.4E-12 +C_C7 N31014 MID 1E-15 +C_C8 MID N35813 1E-15 +C_C9 MID N38096 1E-15 +C_C_CMN MID ESDN 2.5E-12 +C_C_CMP ESDP MID 2.5E-12 +C_C_DIFF ESDN ESDP 0.5E-12 +C_C_VCLP VCLP MID 1E-12 +C_C_VIMON MID VIMON 1E-9 +C_C_VOUT_S MID VOUT_S 1E-9 +E_E2 N91498 MID CL_CLAMP MID 1 +E_E3 N112292 MID OUT MID 1 +E_E6 MID 0 N317950 0 1 +G_G1 N725398 MID CL_CLAMP N516723 -90.91 +G_G10 N73852 MID N55875 MID -1 +G_G11 N55050 MID N56119 MID -1 +G_G16 CL_CLAMP MID N894736 MID -1E-3 +G_G2 N10570 N10561 N701965 MID -1E-3 +G_G36 VCC_B 0 VCC 0 -1 +G_G37 VEE_B 0 VEE 0 -1 +G_G54 N694641 MID N79181 MID -1 +G_G55 N701935 MID N789912 MID -222.22 +G_G56 N709083 MID VCC_B MID -1.265 +G_G58 N704975 MID VEE_B MID -1.664 +G_G59 N789898 MID ESDP MID -29.75M +G_G6 N25816 N11984 N709113 N705005 -1E-3 +G_G60 N06456 MID N799160 MID -10E-6 +G_G61 ESDN MID N804105 MID -10E-6 +G_G62 N821901 MID N725428 MID -100 +G_G63 N892256 MID N694487 MID -1.3 +G_G7 N694487 MID N694641 MID -1 +G_G8 VCC_CLP MID N35813 MID -1E-3 +G_G9 VEE_CLP MID N38096 MID -1E-3 +G_GB N725346 MID N725762 MID -25 +G_GD4 N725214 MID N725574 MID -0.3 +G_GD5 N725936 MID N725836 MID -166.7 +I_I_B N06456 MID DC 2E-12 +I_I_OS ESDN MID DC 1E-12 +I_I_Q VCC VEE DC 1.9E-3 +R_R1 ESDP IN+ R_NOISELESS 10E-3 +R_R10 ESDN N11991 R_NOISELESS 1E-3 +R_R107 VCC_B 0 R_NOISELESS 1 +R_R108 N317950 0 R_NOISELESS 1E12 +R_R109 VEE_B 0 R_NOISELESS 1 +R_R11 MID N725346 R_NOISELESS 1 +R_R110 VCC_B N406634 R_NOISELESS 1E-3 +R_R111 N406634 N317950 R_NOISELESS 1E6 +R_R112 N317950 N406794 R_NOISELESS 1E6 +R_R113 N406794 VEE_B R_NOISELESS 1E-3 +R_R133 N694487 MID R_NOISELESS 1 +R_R134 N694641 MID R_NOISELESS 1 +R_R135 N701935 MID R_NOISELESS 1 +R_R136 N704975 MID R_NOISELESS 1 +R_R137 MID N725398 R_NOISELESS 1 +R_R139 MID N725214 R_NOISELESS 1 +R_R140 MID N725936 R_NOISELESS 1 +R_R141 N789898 MID R_NOISELESS 1 +R_R145 MID N821901 R_NOISELESS 1 +R_R149 N892256 MID R_NOISELESS 1 +R_R150 N894736 MID R_NOISELESS 33.3 +R_R151 N892256 N894736 R_NOISELESS 10 +R_R1A N725428 N725398 R_NOISELESS 10E3 +R_R1A1 N701935 N701965 R_NOISELESS 1E4 +R_R1A10 N789898 N789912 R_NOISELESS 1E8 +R_R1A11 MID N799160 R_NOISE 15 +R_R1A12 MID N804105 R_NOISE 15 +R_R1A3 N704975 N705005 R_NOISELESS 1E8 +R_R1A4 N709083 N709113 R_NOISELESS 1E8 +R_R1C1 N725762 N821901 R_NOISELESS 10E3 +R_R1C2 N725836 N725214 R_NOISELESS 1E4 +R_R1D1 N725708 N725574 R_NOISELESS 10E3 +R_R2 ESDN IN- R_NOISELESS 10E-3 +R_R21 N11984 N25816 R_NOISELESS 1E3 +R_R25 MID N28602 R_NOISELESS 1E9 +R_R26 N30136 MID R_NOISELESS 1E9 +R_R27 MID N30913 R_NOISELESS 1 +R_R28 N31014 N30913 R_NOISELESS 1E-3 +R_R29 N35669 VCC_B R_NOISELESS 1E3 +R_R2A1 N701965 MID R_NOISELESS 45.2 +R_R2A10 N705005 MID R_NOISELESS 19M +R_R2A11 N789912 MID R_NOISELESS 465 +R_R2A13 MID N725428 R_NOISELESS 101 +R_R2A4 N709113 MID R_NOISELESS 25M +R_R2C1 MID N725762 R_NOISELESS 416.7 +R_R2C3 MID N725836 R_NOISELESS 60.36 +R_R2D N725574 N725346 R_NOISELESS 367.8E3 +R_R3 MID ESDP R_NOISELESS 1E12 +R_R30 N35813 N35669 R_NOISELESS 1E-3 +R_R31 VCC_CLP MID R_NOISELESS 1E3 +R_R32 N38050 VEE_B R_NOISELESS 1E3 +R_R33 N38096 N38050 R_NOISELESS 1E-3 +R_R34 VEE_CLP MID R_NOISELESS 1E3 +R_R4 ESDN MID R_NOISELESS 1E12 +R_R41 MID N50645 R_NOISELESS 1E9 +R_R42 N45856 MID R_NOISELESS 1 +R_R43 N45892 N45856 R_NOISELESS 1E-3 +R_R44 N45974 N45986 R_NOISELESS 1E-3 +R_R45 MID N45986 R_NOISELESS 1 +R_R46 MID N48550 R_NOISELESS 1E9 +R_R47 MID N73852 R_NOISELESS 1 +R_R48 MID N55050 R_NOISELESS 1 +R_R5 N709083 MID R_NOISELESS 1 +R_R56 N68747 OLN R_NOISELESS 100 +R_R57 N68594 OLP R_NOISELESS 100 +R_R58 N69264 MID R_NOISELESS 1 +R_R59 N69264 SW_OL_OPA810 R_NOISELESS 100 +R_R60 MID AOLNET R_NOISELESS 1E6 +R_R66 MID CL_CLAMP R_NOISELESS 1E3 +R_R8 N10561 N10570 R_NOISELESS 1E3 +R_R81 MID N110431 R_NOISELESS 1E9 +R_R83 MID N112292 R_NOISELESS 1E9 +R_R9 N10570 N11984 R_NOISELESS 1E-3 +R_RDUMMY1 MID N516723 R_NOISELESS 10E3 +R_RX1 N516723 N725936 R_NOISELESS 100E3 +R_R_VCLP N91498 VCLP R_NOISELESS 100 +R_R_VIMON VIMON N110431 R_NOISELESS 100 +R_R_VOUT_S VOUT_S N112292 R_NOISELESS 100 +V_VCM_MAX N30136 VCC_B 0.15 +V_VCM_MIN N28602 VEE_B -0.2 +V_V_ISCN N48550 MID -120 +V_V_ISCP N50645 MID 120 +V_V_ORN N55875 VCLP -68.5 +V_V_ORP N56119 VCLP 37.13 +X_AOL_1 N31014 N11991 MID AOLNET AOL_1_OPA810 +X_AOL_2 AOLNET MID MID N79181 AOL_2_OPA810 +X_CLAWN MID VIMON VEE_B N38050 CLAWN_OPA810 +X_CLAWP VIMON MID N35669 VCC_B CLAWP_OPA810 +X_CL_AMP N50645 N48550 VIMON MID N45856 N45986 CLAMP_AMP_LO_OPA810 +X_CL_SRC N45892 N45974 CL_CLAMP MID CL_SRC_OPA810 +X_ESD_OUT OUT VCC VEE ESD_OUT_OPA810 +X_E_N ESDP N06456 VNSE_OPA810 +X_H1 N73852 N166377 OLN MID 08_OP_AMP_COMPLETE_H1_OPA810 +X_H2 N55050 N166817 OLP MID 08_OP_AMP_COMPLETE_H2_OPA810 +X_H3 OUT N516723 N110431 MID 08_OP_AMP_COMPLETE_H3_OPA810 +X_IQ_N MID VIMON MID VEE IQ_SRC_OPA810 +X_IQ_P VIMON MID VCC MID IQ_SRC_OPA810 +X_OL_SENSE MID N69264 N68747 N68594 OL_SENSE_OPA810 +X_S1 OUT VCC_CLP N79181 MID 08_OP_AMP_COMPLETE_S1_OPA810 +X_S2 VEE_CLP OUT N79181 MID 08_OP_AMP_COMPLETE_S2_OPA810 +X_SW_OL SW_OL_OPA810 MID N725398 N725428 SW_OL_OPA810 +X_SW_OR CLAMP N166377 N166817 SW_OR_OPA810 +X_VCM_CLAMP N25816 MID N30913 MID N30136 N28602 VCM_CLAMP_OPA810 +X_VOS_DRIFT N749288 N06456 VOS_DRIFT_OPA810 +X_VOS_VS_VCM N10561 N749288 VCC VEE VOS_VS_VCM_OPA810 +.ENDS OPA810 +* +.SUBCKT 08_OP_AMP_COMPLETE_H1_OPA810 1 2 3 4 +H_H1 3 4 VH_H1 1 +VH_H1 1 2 0V +.ENDS 08_OP_AMP_COMPLETE_H1_OPA810 +* +.SUBCKT 08_OP_AMP_COMPLETE_H2_OPA810 1 2 3 4 +H_H2 3 4 VH_H2 -1 +VH_H2 1 2 0V +.ENDS 08_OP_AMP_COMPLETE_H2_OPA810 +* +.SUBCKT 08_OP_AMP_COMPLETE_H3_OPA810 1 2 3 4 +H_H3 3 4 VH_H3 -1E3 +VH_H3 1 2 0V +.ENDS 08_OP_AMP_COMPLETE_H3_OPA810 +* +.SUBCKT 08_OP_AMP_COMPLETE_S1_OPA810 1 2 3 4 +S_S1 3 4 1 2 _S1 +RS_S1 1 2 1G +.MODEL _S1 VSWITCH ROFF=200E3 RON=0.5 VOFF=-1 VON=0.4 +.ENDS 08_OP_AMP_COMPLETE_S1_OPA810 +* +.SUBCKT 08_OP_AMP_COMPLETE_S2_OPA810 1 2 3 4 +S_S2 3 4 1 2 _S2 +RS_S2 1 2 1G +.MODEL _S2 VSWITCH ROFF=200E3 RON=0.5 VOFF=-1 VON=0.4 +.ENDS 08_OP_AMP_COMPLETE_S2_OPA810 +* +.SUBCKT AOL_1_OPA810 VC+ VC- IOUT+ IOUT- +.PARAM GAIN = 1E-2 +.PARAM IPOS = .5 +.PARAM INEG = -.5 +G1 IOUT+ IOUT- VALUE={LIMIT(GAIN*V(VC+,VC-),INEG,IPOS)} +.ENDS AOL_1_OPA810 +* +.SUBCKT AOL_2_OPA810 VC+ VC- IOUT+ IOUT- +.PARAM GAIN = 1E-3 +.PARAM IPOS = 5.6 +.PARAM INEG = -5.6 +G1 IOUT+ IOUT- VALUE={LIMIT(GAIN*V(VC+,VC-),INEG,IPOS)} +.ENDS AOL_2_OPA810 +* +.SUBCKT CLAMP_AMP_LO_OPA810 VC+ VC- VIN COM VO+ VO- +.PARAM G=1 +GVO+ COM VO+ VALUE = {IF(V(VIN,COM)>V(VC+,COM),((V(VIN,COM)-V(VC+,COM))*G),0)} +GVO- COM VO- VALUE = {IF(V(VIN,COM)10E-3 | V(4,1)>10E-3),1,0)} +.ENDS OL_SENSE_OPA810 +* +.SUBCKT SW_OL_OPA810 SW_OL_OPA810 MID CAP_L CAP_R +.MODEL OL_SW VSWITCH(RON=1E-3 ROFF=1E9 VON=900E-3 VOFF=800E-3) +S1 CAP_L CAP_R SW_OL_OPA810 MID OL_SW +.ENDS SW_OL_OPA810 +* +.SUBCKT SW_OR_OPA810 CLAMP OLN OLP +.MODEL OR_SW VSWITCH(RON=10E-3 ROFF=1E9 VON=10E-3 VOFF=0) +S1 OLP CLAMP CLAMP OLP OR_SW +S2 CLAMP OLN OLN CLAMP OR_SW +.ENDS SW_OR_OPA810 +* +.SUBCKT VCM_CLAMP_OPA810 VIN+ VIN- IOUT- IOUT+ VP+ VP- +.PARAM GAIN = 1 +G1 IOUT+ IOUT- VALUE={LIMIT(GAIN*V(VIN+,VIN-),V(VP-,VIN-), V(VP+,VIN-))} +.ENDS VCM_CLAMP_OPA810 +* +.SUBCKT VNSE_OPA810 1 2 +.PARAM FLW=0.1 +.PARAM NLF=1190 +.PARAM NVR=5.7 +.PARAM GLF={PWR(FLW,0.25)*NLF/1164} +.PARAM RNV={1.184*PWR(NVR,2)} +.MODEL DVN D KF={PWR(FLW,0.5)/1E11} IS=1.0E-16 +I1 0 7 10E-3 +I2 0 8 10E-3 +D1 7 0 DVN +D2 8 0 DVN +E1 3 6 7 8 {GLF} +R1 3 0 1E9 +R2 3 0 1E9 +R3 3 6 1E9 +E2 6 4 5 0 10 +R4 5 0 {RNV} +R5 5 0 {RNV} +R6 3 4 1E9 +R7 4 0 1E9 +E3 1 2 3 4 1 +.ENDS VNSE_OPA810 +* +.SUBCKT VOS_DRIFT_OPA810 VOS+ VOS- +.PARAM DC = 76.1E-6 +.PARAM POL = 1 +.PARAM DRIFT = 0.3E-6 +E1 VOS+ VOS- VALUE={DC+POL*DRIFT*(TEMP-27)} +.ENDS VOS_DRIFT_OPA810 +* +.SUBCKT VOS_VS_VCM_OPA810 V+ V- REF+ REF- +E1 V+ 1 TABLE {(V(REF+, V-))} = ++(0.35, 450E-6) ++(0.4, 435E-6) ++(0.55, 275E-6) ++(0.65, 150E-6) ++(0.75, 75E-6) ++(0.85, 25E-6) ++(1, 0) +V1 1 V- 0 +.ENDS VOS_VS_VCM_OPA810 +* \ No newline at end of file diff --git a/spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep.sim b/spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep.sim new file mode 100644 index 0000000..9718521 --- /dev/null +++ b/spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep.sim @@ -0,0 +1,154 @@ +@OrCAD Simulation Server Version: 1.0 + +@Settings: 0 1 +@General: +ProfileName= "ac_sweep" +ProfileFile= "ac_sweep.sim" +Connectivity= "SCHEMATIC1.net" +NetlistFile= "ac_sweep.cir" +DataFile= "AC_Sweep.dat" +OutFile= "AC_Sweep.out" +Notes= +@#$BEGINNOTES +@#$ENDNOTES +@End General +@Analysis: 0 1 ++0 0 0 0 ++0 "1000ns" ++1 "" ++2 "0" ++3 "1n" ++4 "" ++5 "" ++6 "" +@End Analysis +@Analysis: 1 0 ++2 0 0 ++0 "31" ++1 "1k" ++2 "1G" ++3 "" ++4 "" ++5 "" +@End Analysis +@Analysis: 2 0 ++0 0 ++0 "" ++1 "" ++2 "" ++3 "" ++4 "" ++5 "" ++6 "" ++7 "" +@End Analysis +@Analysis: 3 0 ++0 0 0 ++0 "" ++1 "" ++2 "" +@End Analysis +@Analysis: 4 0 ++0 0 1 0 0 0 0 0 0 0 1 ++0 "" ++1 "" ++2 "" ++3 "" ++4 "" ++5 "" ++6 "" ++7 "" +LoadFile 0 "" +SaveFile 0 "" +@End Analysis +@Analysis: 5 0 ++0 0 ++0 "" ++1 "" ++2 "" ++3 "" ++4 "" ++5 "" ++6 "" ++7 "" +@End Analysis +@Analysis: 6 0 ++1 ++0 "" +@End Analysis +@Analysis: 7 0 ++0 0 ++0 "" ++1 "" ++2 "" ++3 "" ++4 "" ++5 "" +@End Analysis +@Analysis: 8 0 ++0 ++0 "" ++1 "" ++2 "" ++3 "" ++4 "" ++5 "" +@End Analysis +@Analysis: 9 0 ++0 "" +@End Analysis +@Analysis: 10 0 ++0 0 ++0 "" ++1 "" ++2 "" ++3 "" ++4 "" ++5 "" ++6 "" ++7 "" +@End Analysis +@Analysis: 11 1 ++ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 ++ 0 0 0 0 0 0 0 0 0 +@End Analysis +@Analysis: 12 0 ++2236960 0 1 +@End Analysis +@Analysis: 13 1 ++0 1 1 1 +@End Analysis +@Analysis: 14 1 ++1 1 1 "*" +@End Analysis +@Analysis: 15 0 +@End Analysis +@Analysis: 16 0 ++0 "0" ++1 "0" ++2 "chkpt_default, , ," +@End Analysis +@Analysis: 17 0 ++0 "-1" ++1 "-1" ++2 "0" ++3 "-1" ++4 "1" +@End Analysis +@Analysis: 18 0 ++0 "" ++1 "0.1" ++2 "0.1" ++3 "1" ++4 "2" ++5 "" ++6 "false" ++7 "5" +@End Analysis +@Analysis: 19 0 ++0 "0" ++1 "1" ++2 "1" ++3 "10" ++4 "1" +@End Analysis diff --git a/spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep/AC_Sweep.1OP b/spice/ti/sbomb73a/opa810_pspice-PSpiceFiles/SCHEMATIC1/ac_sweep/AC_Sweep.1OP new file mode 100644 index 0000000000000000000000000000000000000000..9c2706ee7a84af417205395c174b9e785501f037 GIT binary patch literal 2121 zcmZvd4NR0}9Kc@zi6l22$kx`!ATcH$JkRq!pSSotuY?_U7YA>Y##yvdqVt?JY^kkf zf?G~Yn~9LxYz5jiwrYI5%3So^`@Z)a9K3hiz0dFYKmY&p z|2)5@X+KS{_095E3#EGj<@S$DLYXGd&jX32(eyTgpA3uKLql7FM0;0RV>YgnN z1mOB##lsUT))kc}^a=>|MQG!Z+zp^KL9t+@XSiwCms5H)K?wk4<>eKgsbVk?ryM~6 z;Phn6$Y*J@d}9=ys@9GTEon>mh6sZAw#d_~z>)W6Qm)gko>0?RNBK<306)y`c;VJq z%OfKnYVGj_N9H+`oHgASP>KvjtyUMb52 z&i$=DCac201!g*3)^sU*Z|5d;2U$;u(A0lV|G0k7lj^!U1Q1sbjXb_1R>HOqI^j?s z{9x^&jC1k^amaz7mA(C9_>44lqgglZz-n)QPMcN#3i&nd?W3>k%;~qK4422>KKfmj zd7DM%L8Ge1=j}T+{Ql2ZWq()}4B0ZlfNC1stbkZ>b$YRR+T{tlJQXzwrFJ+VL`Wc| z!KMUKhV(v1r`3$MUZ!(`Y8G@4MsL428uyV9&PeU(g(L_F(8fpBqCqHx;>?S8 zSIvYF5C%@_n=`LZB!Vd+g%P~Lg0}lESFp0adE82|&D`!_eiDV{d-`MQ* zNXr~2n*kIPssbNxKiOw=PStRL<)xBpNscHPRR6UTT^TpTvTkXRBP~Wfy{~l-#nK+v zE5`+uH;azSma-j_J_x8vC+;n$0DbYWarTi%_1O8eG~jtDNupjT#}i|!bL=cj${|x-VwJSnq%{9p z`@p*5MD1XKI9CmkWp;E!(C`ItB20QSu2Nhs=Vvf%_ZT5 za?jXgD;)@@$Cg_PJC7bUm%v3P<{u1#x zm@y!Cf!qoTj}Rc|5Y(XpU(oJz0&UtRDT<~+;5KPd9nz0=yj91>6eU z280QyeZVV$+krcPR{^gEUIXj_UJL96UI)A$cmwc8;7(uw*azGN+zq@5xCi(;AZg!> z^cFkcZ>K?|A>ggRFwg`>fKgx!7zYjj6Tl=e1!P;VL464n)FS>)K^J+ofOJ`%g~a^B z)vy?8`7)1cUwdYI_b0aeyTNi_ppgKwYFf>xhg8`*T=nnes?8AkO#L))1q!v%umD+5 zK7qfJ*5RsuFIR@a7)bwVME}nZTm6>QO=JT7UxwV*Lf@ zn$1>$^jlK*#e=%_`&L};7ZUJ{WJE~1EDLayA17bMm>z*Yg8u2NqJJm;woG5oi8vo>)PL!#q@*qMo%q`_{fhtl zrO$Z9xfNjyc1O*hh8N62|7A4_FIe*Jjk_R!&IdUM^h=-df=H;2zpIzQO)co3W3|Y? zHvX=bgV(7x#rec`px^$>c!?^iHvYCiU+bT9Z@=_8Uy=Sd@_)a4gwTJ|6=0wIfY855 zmEh+~u)C9b{_m%*yG$$Nzk9v<>!x4C4D=A9@Tf{d62my+ipD=&?Hd2DZBVV*{EM>i zi}lujwEbVk`j6{Rt}SH^$o1cTU@Pmt+feUz;2pp_fd_zh0SAF;Ap4(1ngiy6L%@T; zL%?C+VIa$lARPsc0mp$8z}Eu{z#^~&JOZ2q9tGY5ycc*MkoA8Pq36emH-f#E;niNB zv3M=O{u|gKDP|AlN7JR@tf@As?vEb&?_d1mzZvQuK0Py!1#dfoWCQqh%dY`jzE5x9 zz(?3%=)IqhAbaV%Qz?D!SMW2woK5EfN9Pu17tfpw63ry? zx%B@u;Mt)YxHB*r*t>Zmn;DsV00+zsEiRr~TK1%?lKF5l7>ndG!Avp{2_`b(L_VL4 zCz2`BttaOSUE#S(O4ymfF;^&V5IJwW(g<5Rio~OlU@V;;2&RUzL&1DH9FOK=`BWzS zbI`3@*O7B177fO-L-AlHn@k3W5|OwWiKipkBJ!mI&52m) zP<4rT?TLZf6N%~*T?%>*Sc;xoI91J8ougTOt^-r|T%LI%w_u50VEb5AvD$MyZiFvb zHMgkJ+^G}g%!$RBhr@`v6Vu1b0XQDGH!yM9Vx1T}J^w&?8F5%&F3!EVjH_vERO-su zswtFzH@i5?vv)z=xy2bQU#+H=%hRXIfgFA?t6>-!onD@KC=f{Q516S`T*{3u&dxn} z)+!fM+1sWNgz{swhl$KFGZBhRmBzuy4t4=SU3KYr@mY2&5GiA)x{7ZDDAJ&?+?gjbX=IY|a z;u%=TWh$5oMdIQ4qv+!BSkVkek}!x#FzS{^_Vh9sJB2dQP$C?jPul`vqjtSm5^)QX zGT;rDsj+cbLwYoiwo2KE{x#9{iSeWP0;E?MP2Yo3_&rw2k51r9p~O=isyH$}k>_!d z#~an;_(&;zP@kFPnN6xRK9Vn_VQT6LRd57s2KGb(cU3N)t6Vx47@SOx9LWbt**gbI zS#v*rs!C2Rgy!K3Cjyl!fdW)kxYG>e@{_0|1oxl`Q@4Rkgqg@W#)9Eu3CYk1wA!Jx z0kxvQ^jLai{Gj%XjVgW5t%Ynj4go}BiBSAOQIDCVn9YZA!HkCz(FJNE5{g8UOHw2f zW|35-$Z1-y8Bc_w$PKQr$-8pdbGa-{^ITweIy;&^crJ}YoeSKR&Q2Uk7xTB`*vgzk zV|E^$)uqsPb8>oy>K7i&sJ5bXR&y5)hnL7F?JHr1B^Rya5=l9il3X%5fYtClj()WR z?U_7@bn;{nKZ}9EQCQgs$m_sSrq3KH<_pECbT|@?gcH#zxZd5R@rkK}M@Nq7!o{ia ziFDEo^UCnSv18fcLiWgTsTj1pXlQsOUz`dj1LG5c^yow&J3cxwk}u`$T3R`s%4))9 zIR!=$crsBln~TIF!E_=&gkd?84JIQ)v0w%PCl!U-Of!BSRZ@&6+S|-fIBY^LaKXet z$P8;$nxT|-FPxO@Mx`%9c{mvhC2VJf_EV--x>GwI37HZ59GXWJaF$D?Gnf~|gV})r zGZ@Qf!@*2^C<4x+v4LnjJ76XT&exUoB1LV>+m>~HRYFcrOytLM#cW}CqGXHkSlYI5 z7(*msrXUhC37J$xlCngSN`}I;ZuHp+IjPWq8P@%i%k9bx(~R`7w)ErxT0@z31xd31 zVN7l!iEt*E3P%#bn3=|qV5ZW+cy1_@Nhjk2$!zRABE1#8Vbmk(46JH1qEq4Se4%tN z;t@;?rdI9Mmc^8Y8w_-IShgAoi!IKrEDU z&e=N6g$HucOfr~{Vfq$JX5ztgDiROI2NDBA(R6em6UQ(&-qhLQ+qS2ln_B2E4v!&D zjt>nnTEgf9gF}V<>jQ(O96|$5YVU_|tQ3Rb5d6KAW86bI#y!@;A=|(uxc(KkNCOyW z5nW4Xm&&*fqc>X-q-&n3BT3X87$7+?4qcmNS`ut38cBtr%y7u$*aYdq4^oy-nBfp| zb|8vIlcugWKno0qL*aStEkpTaKA$$D!GxKO2V>z_9*&Yt1*7?VIG-EBiXxRikBT6` z*6EKC+jdi0p&iPMkaQx?yT<&Gr`p6DCj{ISk#%EL$>Z2rHz<0H zgQj`}d8M8~UAw;e1oEE){tNIMz;6M+3;Y4_e}UcD?Y|xv0KN{m9~cJ4fJxv1;1F;O zSODGwoB}=yJO_LN_%7gQahD_Cg&RHC?d}3@16~2#4!jC@4e(mvb-){dJAr+`-M~G- zy}+A+{lFmbR-g%t0^`60Fa^9Fcqi~KU>cYO=79%+!@#?Nqrh?C>w!h!5#Ukay};iA zz5#d)I1QWumVw8CbHImz^T0*mBS2`gdRA@VIST*tFV~Fr=>tz4CJw5>&)W;X!ME9~ zsloSC$ACd@v=Ih3!cVN#77Mc+SJu!NOwt0b#$Xn(91CbF`aYw*zfjG0i(GT{vQ>pb z+*}mkW;!=D`Jf7c$Fw_HL5QJ-Lfn=Vh#rWwNeK|I;o8HbvPQ|0DyT^S?KAXdRNdk|p z?s4IBTb){8wo82nDQn5GrM2QiWlZCRzkU1I4>vr7#wkJp(jwHa!IG@Oo(VLs!ID%J z`ytS{21~LArRA@N$dz3At3fVUl5!F$^IT)DM53h8tVSrr9dSaB&CM>mh8#0Gx2eSk zE8=D0hYU8lQSIHuBfwsXyL+)+V*3;QY@fEIaEH;n=w&EcGVVZ!Jd4ts_i6oj7G(g~ zY%zeAoASz-aZ$@S{C6Hj8D<6ys>723#CJqLUGy^sVh8htck@xJp zpUB{7TfyL>WEMb{FvzFm2!n0vElAD*-wb3B6eYQtS{qAYwAz8lC+vjllHZG zs%%#W$8r@56-#{_8|w^8y?WsF2p*JlH_WL+tGfe@O{TMWNCGLq9$%w_;+MPOBIuia8Q)h)NsVhD5! zij(4H{FI=;^CEI&E37y*d6hNkwCBA#AI_l{9KAQ>ZW+)dnLz8ftDdVWU`Ovx>MYiEK8CI(hMAK22X7_#EZShf*7Wsoq zmqX!f)dG$x*lxvYc|Vqug*CaAYXkAqr=K}Ygj;R2HQ4iC;#QYS=HoUKJ-l^K=-H&_ zg|usW8q*lC-V^1w;r#8uJAj0pK=+dcqEc6nsxIwr34pa&D)d`9LbxvDJ3{FXx^rj5CcaA4J&)Z({6XR$~hj#W7|Px zO;&FhF<=)$jNZg1`|!-H%Q2f*=OAKLqfcAat-y(S2cWIFm(d|R5Du`hWpy685@ z+U;cpP{6MF25^ph8{%ed)l&w4Q(D*TWp?rdQ$)DgkhodDWNs5Tdkh>Adit$Y^=we@ zLH4~s8Kq>@q8kN(qRA?Ds~l+6%+i52yBvrUM2-VAyXNBoHBTf+%Dgb?xEkB!;LA3d z3al(i6QC0@ZEox=AvV{%O%&8^kw@<~*)GuyEBT~0IsIqqF1@lO)y*E!CzuMSa9bBm)UtM>t2&Ut|60L=$qYt$53a~V?Ij1MQ>j>o)w4~3h-qT5OJP>4H^ z`>Wk@)iZfnRKP6{h&S$*OhnvLo>A%9twjTaZC`^suA0f$+|dae;)-quqf*2noRZYy ztI{36@iXm?eSiH1cwy`AcxFyF6Tt$`&zQMR?zlzYW|2{|X3e+ifygy#o)@nyOXkO% z*8zGyXQirVMlxIUB=boeGDLAG;JT20^apnOPCI=UQo>VKqMo+XciX81T^WVN&Z_&l z_Tl!mMIr^x!H_}sm%2y{wL08!vcR}Qo2nk}I=ttkJ{O6!$FE%Cc1_sJYiY7y!35by zQCjs^u=GUHgvZcK!~e#m8|_wc0N~OSupb)_)&mWWf3MeF{wvjO_Y*M?e@z_xQ<8au zc={=_0qBvP0qo;*9{ey+JhPU^_2Q7xqr){R#$g3G0TK{CoRNrESc2GmQe0btH3=)* zly<}>t#XY@O1rdN0cn%R`|#JHdYdoIg1ROh;1$=xj3=#yS?{zifJ3@3!L?D${Tc!} zV0G~!o?~^7y_5S;WP=XmoCWqiz-oYcl-ktfVnx(LC`1v_T^U$>lDbAO3N$GhQ|ghz zcFf8Cib_d`T_Y{-)cK@!6*|PR3ElFd71Xpune5xDX;JQ^2*R8_MJM5>e_~AzO~@m z4XA2t)$@5-bgZERtEO4g0sCo~^_ridC(ELNdnHpx6*k!_@vVANXkdE4XL)20vNlC) zy0U5kwV)Ji#a8uX)ikwIoI4~HUor4L!)l&TZ2a(?469JkTSYJ2)h5*Ql?n^%#eh*~ z&QL&{XhCqU9bVbAMz>B_ol%{7iqfE423|W`8%4vt=f2*NY^U1!oZXF`63KRo`(S>v zzL87>WvIZ-Hr0R-3pimDmn2^Ej_G53XW+3Ggy`A?6(3tee_Qaewe)wL<0Lx%f+$+z zWIaW%Q=D}5{FdgLwh8jp8)%t(_>Zk`SY3t6*CDLBI__=apy%#)B?PX26owE!FY1>l zTu=QK7S=Bc^Ho~{oSygM2o0RRLc9ZoV>E`S?feFA?^(9Nc)DIO976eHiy^gB^j1$O z>k-2XB;>p@0b%U5NjDG%iPAXW585+Wy57@Z+-kF*utMpk^JOkW>?f?eit;|c{e%^Y z4(i!8P7yj)jE@}=zQiS&1=bqXx&=|W{!bp)|JmdE_dKru#N+zK`q#OjR)tW@%u?ofuo%}}mPDVcFf-hz^RSs`->nQFkxD^ekc3KMuS z_8`_~)*}klMg1JL^8LO2X!y=X&gzF~O__@GAyaedS917jrf+X3^=m;@S%!Uu$`Yev zp?cDPUHljGK9eR7xKyjSnIzsR?LGJnWc zs-UB8AF#Xy)azXU=Z(5o`f#PjHEoIP!WF!vUPo5k(PRh}sHYl+dAz#JnU2m4mS8yBSf;73$0{3!88ryVQzU5F-tncu8F{Plbt0{s20t;O`8N+xS_n%)R4S-=Og z2;EjvdGL!*6cQ>kaqF-m2R6yTRQBi*6{TIQ{tX+iRyi?Q*Y)2wDQyer@#LDWTUr0T;&J^Z(X|Jzt6LK}7PO{=WXYQPk+?N`WjC6v1ogba9tF4(WD^tzU2XkWg5v)*!Sf_YRR_-< zdC(`+u3X*xK3~J{1j-BFy0U~~U#;OqVMVdA_n!o^HKvq;hDvKM*(aLu##e^jt+ACa z19fX2tLne9%aIcSsMX&<^fxPIxnws|%kQl?FJYLkHPfU>lQMkTP9H@I@E8s_0?uOB zphk&)2=iTPAxv?TFjN18Ke>`{BkOVZm@jM#;U9wF1s5Vq|FNRN4nN%pxLRXN7lG0u zvOeT@LH*FL{HP#N9N#x*D5K(bVYnbszNX0(;Dhkv#)Vc0^CkCM!eoGNvg&_LClIul zT}DsMoT;Isx_itw>xD31Wo1vL=j^hhxJG6$he9GhzN60=N%?)zZjGPhvgPM$t&thH zTjSgQ?$%0ZNm>N~AKLh$aYL<8UP4Vmt^c}XAwMr5v71D<0+;O+WSj2d-EWtp2m}ys zW~z7b8pQ4yV{0wHjl}Nem!Cp#^GgK^-E?xY4+4S-B%B{w^Rusx_S;&A#v2!KGl>HU&@qFL|xRSXHv>@{0sYJV)x zhaX*3FV=RWk=WgK$3kWOE6UTO}Y-&Y7*PJopGTJ!|?_ERTrxeZ)suO z{vp}{GjEg3e^@6}*KWyRMUE_)ydLRuKx&8}gAQX0iB~-x#oetuKIZ#&^J_hU+4eHdK04e_n@`JXoY6H)BBxMIt!D$?#GOl;Us0rIEjIzakBEZMbls>Fe0s z`I-7lW7+tP+Hf)PKdLxTwc%1L=4yX=AN+;09)b@s`}UWI&@Sg@7PRay&!c{=zwkEv z3V-3(nDTJ1^Xl8`dCOnE^h@yf^D z<5R{U{(SCI`V3@)py)g}+jKfZh8gn=ymqD)bHv0>ngvYxA4@fiP41o=SYRABxZ)xB zP|PcM!N69`6ILZvtN0?$i{jhR{{050d<2h&jPFAc=b`SV0$`YY1rLpTu;T7&J7#Pp zgA;0k4_2IqzCBqP!h_fzthh%6d^XnDWpJh7lNINga8FitKrSAv%z&yW2w&wgo-{be z_00+m&vPH03fh7H48UTg@(dAtN-tkm-S2Ro<-U7mqFe~s}$DD`V|V#Rw=h_&`Kq8Oh(lQNW=yoQ=ki20CGua zLBlXp9R@C7g~PQE!AF?cjPPcej{PJe>upebIH(ZzY_U@6UsO*s;aJK|WPZf(X-qbs z!#CjXLoSSh9%OkF-}zWgANLLY)8hvdpT=PQocauYTcM{1G2i{;hZlLDtjqWjelNDr gKkn=K?;k(p_$*xK&osSf;XtdErzjs(e{10X0Y9)vRR910 literal 0 HcmV?d00001 diff --git a/spice/ti/sbomb73a/opa810_pspice.opj b/spice/ti/sbomb73a/opa810_pspice.opj new file mode 100644 index 0000000..a160e00 --- /dev/null +++ b/spice/ti/sbomb73a/opa810_pspice.opj @@ -0,0 +1,82 @@ +(ExpressProject "OPA810_PSPICE" + (ProjectVersion "19981106") + (SoftwareVersion "17.4-2020 S004-[02/25/21]") + (ProjectType "Analog or A/D Mixed Mode") + (Folder "Design Resources" + (Folder "Library" + (File ".\library\opa810.olb" + (Type "Schematic Library"))) + (NoModify) + (File ".\opa810_pspice.dsn" + (Type "Schematic Design")) + (BuildFileAddedOrDeleted "x") + (CompileFileAddedOrDeleted "x") + (DOCKED "TRUE") + (DOCKING_POSITION "61215") + (PSPICE_Regenerate_Netlist_Flag "FALSE")) + (Folder "Layout") + (Folder "Outputs" + (File ".\opa810_pspice-pspicefiles\schematic1\schematic1.net" + (Type "Report"))) + (Folder "PSpice Resources" + (Folder "Simulation Profiles" + (ActiveProfile ".\opa810_pspice-pspicefiles\schematic1\ac_sweep.sim") + (File.PSpice.{09528990-3187-11D2-BC7B-00A0C90CBF91} + ".\opa810_pspice-pspicefiles\schematic1\ac_sweep.sim" + (DisplayName "SCHEMATIC1-AC_Sweep") + (Type "PSpice Profile"))) + (Folder "Model Libraries" + (Sort User) + (File ".\opa810_a.lib" + (Type "PSpiceLibrary") + (DisplayName ".\opa810_a.lib"))) + (Folder "Stimulus Files" + (Sort User)) + (Folder "Include Files" + (Sort User))) + (DefaultLibraryBrowseDirectory "library\PSpice") + (PartMRUSelector + (VSIN + (FullPartName "VSIN.Normal") + (LibraryName + "C:\CADENCE\PSPICETI\TOOLS\CAPTURE\LIBRARY\PSPICE\SOURCE.OLB") + (DeviceIndex "0")) + (VDC + (FullPartName "VDC.Normal") + (LibraryName + "C:\CADENCE\PSPICETI\TOOLS\CAPTURE\LIBRARY\PSPICE\SOURCE.OLB") + (DeviceIndex "0")) + (OPA810 + (FullPartName "OPA810.Normal") + (LibraryName + "C:\USERS\A0232073\DESKTOP\GWL_MODELS\OPA810\APPENDSCRIPT\OPA810_A.OLB") + (DeviceIndex "0"))) + (LastUsedLibraryBrowseDirectory + "C:\Users\a0232073\Desktop\GWL_Models\OPA810\AppendScript") + (GlobalState + (FileView + (Path "Design Resources") + (Path "Design Resources" ".\opa810_pspice.dsn") + (Path "Design Resources" ".\opa810_pspice.dsn" "SCHEMATIC1") + (Path "Design Resources" "Library") + (Path "Outputs") + (Select "Design Resources" ".\opa810_pspice.dsn")) + (HierarchyView) + (Doc + (Type "COrCapturePMDoc") + (Frame + (Placement "44 0 1 -1 -1 -8 -31 0 200 0 875")) + (Tab 0)) + (Doc + (Type "COrSchematicDoc") + (Frame + (Placement "44 0 1 -1 -1 -1 -1 5 1468 24 893") + (Scroll "-162 -8") + (Zoom "114") + (Occurrence "/")) + (Path + "C:\USERS\A0232073\DESKTOP\GWL_MODELS\OPA810\APPENDSCRIPT\OPA810_PSPICE\OPA810_PSPICE.DSN") + (Schematic "SCHEMATIC1") + (Page "PAGE1"))) + (MPSSessionName "a0232073") + (Folder "Logs"))