From f978e6c38cf0cd92959236a8e406255e071b276d Mon Sep 17 00:00:00 2001 From: Brendan Haines Date: Wed, 19 Jun 2024 21:13:35 -0600 Subject: [PATCH] add a bunch of footprints --- common.pretty/2466267-1.kicad_mod | 222 ++ .../BGA40P160X80X68-8-TI_YFX.kicad_mod | 291 ++ ...DFN50P180X200X60-6P_TOREX_USP-6C.kicad_mod | 228 ++ common.pretty/R0201.kicad_mod | 122 + common.pretty/SOD-882.kicad_mod | 135 + common.pretty/step/TE_2466267-1.step | 2745 +++++++++++++++++ 6 files changed, 3743 insertions(+) create mode 100644 common.pretty/2466267-1.kicad_mod create mode 100644 common.pretty/BGA40P160X80X68-8-TI_YFX.kicad_mod create mode 100644 common.pretty/DFN50P180X200X60-6P_TOREX_USP-6C.kicad_mod create mode 100644 common.pretty/R0201.kicad_mod create mode 100644 common.pretty/SOD-882.kicad_mod create mode 100644 common.pretty/step/TE_2466267-1.step diff --git a/common.pretty/2466267-1.kicad_mod b/common.pretty/2466267-1.kicad_mod new file mode 100644 index 0000000..c5fda36 --- /dev/null +++ b/common.pretty/2466267-1.kicad_mod @@ -0,0 +1,222 @@ +(footprint "2466267-1" + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (layer "F.Cu") + (descr "Connector, Coax, BNC, Right Angle") + (property "Reference" "REF**" + (at 0 -6.35 0) + (unlocked yes) + (layer "F.SilkS") + (uuid "22b1b89c-729a-4453-a910-e62ebbc1d2d7") + (effects + (font + (size 0.635 0.635) + (thickness 0.127) + (bold yes) + ) + ) + ) + (property "Value" "2466267-1" + (at 0 1.27 0) + (unlocked yes) + (layer "F.Fab") + (uuid "12141e7d-84d0-4113-a369-6f106107aabb") + (effects + (font + (size 0.635 0.635) + (thickness 0.127) + (bold yes) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8ff17f48-5d19-4ece-bc7a-a4bc598cf65e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9560e7cb-ebf9-4a56-b9c4-d28f299dc327") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2229a43b-9428-4e76-9822-ece452ef15e0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (attr smd) + (fp_arc + (start -5.079999 2.54) + (mid -5.679612 0) + (end -5.079999 -2.54) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "a0aecd3d-42cd-47b7-bcaf-94906c439fc0") + ) + (fp_arc + (start -2.54 -5.079999) + (mid 0 -5.679612) + (end 2.54 -5.079999) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "b28cb087-0d7a-49b9-b277-22539b7330a1") + ) + (fp_arc + (start 2.54 5.079999) + (mid 0 5.679612) + (end -2.54 5.079999) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "7c866bff-d084-473c-bf50-aad3bd8c7d6a") + ) + (fp_arc + (start 5.079999 -2.54) + (mid 5.679612 0) + (end 5.079999 2.54) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "36bc17ba-61fb-4e2f-a147-1079b7e57e0e") + ) + (fp_line + (start -22.5 -5) + (end -22.5 5) + (stroke + (width 0.127) + (type default) + ) + (layer "F.Fab") + (uuid "8982185a-e2f2-4cc1-97b6-7e663e6c003f") + ) + (fp_line + (start -22.5 -5) + (end -1.651 -5) + (stroke + (width 0.127) + (type default) + ) + (layer "F.Fab") + (uuid "ff060678-a997-4515-ad4e-10977b387f44") + ) + (fp_line + (start -22.5 5) + (end -1.651 5) + (stroke + (width 0.127) + (type default) + ) + (layer "F.Fab") + (uuid "26c92594-184a-4372-a44d-1737fd5f6a9f") + ) + (fp_circle + (center 0 0) + (end 5.25 0) + (stroke + (width 0.127) + (type default) + ) + (fill none) + (layer "F.Fab") + (uuid "8be8be71-c19e-4ee4-80f5-87da9e7f45a0") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (uuid "4f4e5a85-ce6f-42ab-a612-cd5667d624d4") + (effects + (font + (size 0.635 0.635) + (thickness 0.127) + (bold yes) + ) + ) + ) + (pad "0" thru_hole circle + (at -3.3 -3.3) + (size 3.302 3.302) + (drill 2.11) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "4f7cb7e9-7c97-41f2-adfb-d9be05f5b568") + ) + (pad "0" thru_hole circle + (at -3.3 3.3) + (size 3.302 3.302) + (drill 2.11) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "0af765cf-0828-462b-9d65-8b35998515e2") + ) + (pad "0" thru_hole circle + (at 0 0) + (size 2.032 2.032) + (drill 1.17) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "ccd825d3-9427-4518-9039-89986996588f") + ) + (pad "0" thru_hole circle + (at 3.3 -3.3) + (size 3.302 3.302) + (drill 2.11) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "1e7dd8b3-7bab-4f2c-bf0e-9d91c4c25e8f") + ) + (pad "0" thru_hole circle + (at 3.3 3.3) + (size 3.302 3.302) + (drill 2.11) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "73d6f4db-dff6-4ec7-a5f1-ae9262249f39") + ) + (model "${KIPRJMOD}/common_libraries/common.pretty/step/TE_2466267-1.step" + (offset + (xyz -22.9 0 6.096) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz -90 0 90) + ) + ) +) \ No newline at end of file diff --git a/common.pretty/BGA40P160X80X68-8-TI_YFX.kicad_mod b/common.pretty/BGA40P160X80X68-8-TI_YFX.kicad_mod new file mode 100644 index 0000000..f3eb50e --- /dev/null +++ b/common.pretty/BGA40P160X80X68-8-TI_YFX.kicad_mod @@ -0,0 +1,291 @@ +(footprint "BGA40P160X80X68-8-TI_YFX" + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (layer "F.Cu") + (property "Reference" "REF**" + (at 0 -1.778 0) + (unlocked yes) + (layer "F.SilkS") + (uuid "0954aee3-da56-4c12-a6b3-4d49ddb4ed38") + (effects + (font + (size 0.635 0.635) + (thickness 0.127) + (bold yes) + ) + ) + ) + (property "Value" "BGA40P160X80X68-8-TI_YFX" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5593f0e2-97a5-465a-bf10-ee17f3479bf7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6cbb546a-dde0-40cb-8566-d7250e758313") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "efb2b894-ebc4-4044-8731-8e7c72f810fc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "697f1580-7465-47d3-a0bb-89593769a805") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (attr smd) + (fp_rect + (start -0.275 -0.675) + (end -0.125 -0.525) + (stroke + (width 0.1) + (type solid) + ) + (fill solid) + (layer "F.Paste") + (uuid "9016cfd0-1bfb-4e61-9545-f7de110f06d4") + ) + (fp_rect + (start -0.275 -0.275) + (end -0.125 -0.125) + (stroke + (width 0.1) + (type solid) + ) + (fill solid) + (layer "F.Paste") + (uuid "2314e3a5-73b1-40dc-ae8d-bed674fcdb30") + ) + (fp_rect + (start -0.275 0.125) + (end -0.125 0.275) + (stroke + (width 0.1) + (type solid) + ) + (fill solid) + (layer "F.Paste") + (uuid "1d8d9a3d-c3fe-4d1b-b7ba-75370c303332") + ) + (fp_rect + (start -0.275 0.525) + (end -0.125 0.675) + (stroke + (width 0.1) + (type solid) + ) + (fill solid) + (layer "F.Paste") + (uuid "e582cea4-bb65-49f8-bc53-c5f1a1af0ec1") + ) + (fp_rect + (start 0.125 -0.675) + (end 0.275 -0.525) + (stroke + (width 0.1) + (type solid) + ) + (fill solid) + (layer "F.Paste") + (uuid "84772325-f0e4-47b8-a00c-30af54574402") + ) + (fp_rect + (start 0.125 -0.275) + (end 0.275 -0.125) + (stroke + (width 0.1) + (type solid) + ) + (fill solid) + (layer "F.Paste") + (uuid "206f142a-30bb-4f65-9c6a-c83113083d49") + ) + (fp_rect + (start 0.125 0.125) + (end 0.275 0.275) + (stroke + (width 0.1) + (type solid) + ) + (fill solid) + (layer "F.Paste") + (uuid "9d9ee2d1-24ff-4c8d-922f-7e76bd2de469") + ) + (fp_rect + (start 0.125 0.525) + (end 0.275 0.675) + (stroke + (width 0.1) + (type solid) + ) + (fill solid) + (layer "F.Paste") + (uuid "67f307c4-5f7e-42b4-a1f9-c7a9f253990a") + ) + (fp_line + (start -0.762 -0.761998) + (end -0.762 1.143) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "77b11429-c3fc-4cea-b024-64ba9ab58906") + ) + (fp_line + (start -0.762 1.143) + (end 0.762 1.143) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "107789c7-a2cc-4702-95c6-68a202dc59c3") + ) + (fp_line + (start -0.380997 -1.143) + (end 0.762 -1.143) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "b0049f0b-903d-44d0-8a64-9058b60161a0") + ) + (fp_line + (start 0.762 -1.143) + (end 0.762 1.143) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "d0e5338a-53b7-4e6c-8dc6-d61fa426cc85") + ) + (fp_arc + (start -0.762 -0.761998) + (mid -0.650407 -1.031408) + (end -0.380997 -1.143) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "a12bed02-3bbc-4545-abf8-408440b4bfcf") + ) + (fp_rect + (start -0.4275 -0.82) + (end 0.4275 0.82) + (stroke + (width 0.127) + (type default) + ) + (fill none) + (layer "F.Fab") + (uuid "493e159c-c98c-4800-af52-56fd5afe44b9") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (uuid "f8588c82-38b8-441e-88f0-0631316d1b67") + (effects + (font + (size 0.254 0.254) + (thickness 0.0508) + (bold yes) + ) + ) + ) + (pad "A1" smd circle + (at -0.2 -0.6) + (size 0.225 0.225) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (uuid "fc36f205-7f85-4f7a-b31e-799056aa58e3") + ) + (pad "A2" smd circle + (at 0.2 -0.6) + (size 0.225 0.225) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (uuid "16ac10cb-6933-4454-ae55-4a65c86293d0") + ) + (pad "B1" smd circle + (at -0.2 -0.2) + (size 0.225 0.225) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (uuid "8d1025bc-30e3-492e-9a8a-22327c1e658d") + ) + (pad "B2" smd circle + (at 0.2 -0.2) + (size 0.225 0.225) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (uuid "ba192741-8850-4f0b-b2c7-f57f15410708") + ) + (pad "C1" smd circle + (at -0.2 0.2) + (size 0.225 0.225) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (uuid "685c3660-5601-4065-a2e0-531c63a9229f") + ) + (pad "C2" smd circle + (at 0.2 0.2) + (size 0.225 0.225) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (uuid "dd238a5f-903e-4cbc-b3a3-2fb66d032034") + ) + (pad "D1" smd circle + (at -0.2 0.6) + (size 0.225 0.225) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (uuid "713ada56-6685-453e-ab93-58988087df66") + ) + (pad "D2" smd circle + (at 0.2 0.6) + (size 0.225 0.225) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (uuid "cf82cc3c-bce0-4839-96cb-991bc802e2e4") + ) +) \ No newline at end of file diff --git a/common.pretty/DFN50P180X200X60-6P_TOREX_USP-6C.kicad_mod b/common.pretty/DFN50P180X200X60-6P_TOREX_USP-6C.kicad_mod new file mode 100644 index 0000000..2552fc9 --- /dev/null +++ b/common.pretty/DFN50P180X200X60-6P_TOREX_USP-6C.kicad_mod @@ -0,0 +1,228 @@ +(footprint "DFN50P180X200X60-6P_TOREX_USP-6C" + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (layer "F.Cu") + (property "Reference" "REF**" + (at 0 -1.778 0) + (unlocked yes) + (layer "F.SilkS") + (uuid "feaf9a61-7c9b-431e-ae6b-14ae3498e156") + (effects + (font + (size 0.635 0.635) + (thickness 0.127) + (bold yes) + ) + ) + ) + (property "Value" "DFN50P180X200X60-6P_TOREX_USP-6C" + (at 0 0.508 0) + (unlocked yes) + (layer "F.Fab") + (uuid "a92bfc62-e60d-4156-aa10-985307550b7f") + (effects + (font + (size 0.1016 0.1016) + (thickness 0.02032) + (bold yes) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f2021718-5277-4ccc-a81b-98bc44a45693") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b3272119-9a7b-4958-88ad-b694436b3e65") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e02f9360-6465-4581-8ba8-b00fd0ae1fbf") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (attr smd) + (fp_line + (start -1.27 0.762) + (end -1.27 1.143) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "8fd36617-5353-45e2-bef2-619f19c49e67") + ) + (fp_line + (start -1.27 1.143) + (end 1.27 1.143) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "b63b02aa-df5d-4391-a149-675dd58e55d0") + ) + (fp_line + (start -1.016 -1.143) + (end 1.27 -1.143) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "ddd3bd08-9fe0-458c-8c80-ea47511f8f3d") + ) + (fp_line + (start 1.27 -1.143) + (end 1.27 -0.762) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "83694973-b257-42df-a04c-930295a21002") + ) + (fp_line + (start 1.27 0.762) + (end 1.27 1.143) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "028b3470-cdbe-4721-a4fe-ff0a62242061") + ) + (fp_arc + (start -1.27 -0.889) + (mid -1.195605 -1.068605) + (end -1.016 -1.143) + (stroke + (width 0.1778) + (type default) + ) + (layer "F.SilkS") + (uuid "d87716c4-f439-4b9f-b463-e191b44c504c") + ) + (fp_rect + (start -1 -0.9) + (end 1 0.9) + (stroke + (width 0.1) + (type default) + ) + (fill none) + (layer "F.Fab") + (uuid "37da6d53-6d4e-46bc-b7c6-4230505ff74b") + ) + (fp_poly + (pts + (xy -1 -0.9) (xy -0.381 -0.889) (xy -1.016 -0.254) + ) + (stroke + (width 0) + (type solid) + ) + (fill solid) + (layer "F.Fab") + (uuid "6a8b005b-48c9-49e7-afba-b1964879f3a4") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (uuid "27a19d21-934b-463c-a65e-4b264fcc1157") + (effects + (font + (size 0.254 0.254) + (thickness 0.0508) + (bold yes) + ) + ) + ) + (pad "0" smd roundrect + (at 0 0) + (size 1 1.8) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (roundrect_rratio 0.1) + (uuid "51a76b31-2bd6-4bfe-a2d0-69d70f569fe4") + ) + (pad "1" smd roundrect + (at -0.975 -0.5) + (size 0.45 0.35) + (drill + (offset 0 -0.05) + ) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (roundrect_rratio 0.1088571429) + (uuid "be2ce185-2c8f-41b6-8230-e6e71e0cdca7") + ) + (pad "2" smd roundrect + (at -0.975 0) + (size 0.45 0.25) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (roundrect_rratio 0.1524) + (uuid "6d88453d-fb09-41e4-b32e-9444bf503aab") + ) + (pad "3" smd roundrect + (at -0.975 0.5) + (size 0.45 0.25) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (roundrect_rratio 0.1524) + (uuid "44630884-dd8c-436d-b245-8e2c43671301") + ) + (pad "4" smd roundrect + (at 0.975 0.5) + (size 0.45 0.25) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (roundrect_rratio 0.1524) + (uuid "8a1559c9-b069-4190-abfb-9ef8b2646f50") + ) + (pad "5" smd roundrect + (at 0.975 0) + (size 0.45 0.25) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (roundrect_rratio 0.1524) + (uuid "6e1fe678-3c18-418d-929d-f2160016df04") + ) + (pad "6" smd roundrect + (at 0.975 -0.5) + (size 0.45 0.25) + (property pad_prop_bga) + (layers "F.Cu" "F.Mask") + (roundrect_rratio 0.1524) + (uuid "c9af7b62-3cb2-4a67-907b-160a0200c367") + ) +) \ No newline at end of file diff --git a/common.pretty/R0201.kicad_mod b/common.pretty/R0201.kicad_mod new file mode 100644 index 0000000..967b660 --- /dev/null +++ b/common.pretty/R0201.kicad_mod @@ -0,0 +1,122 @@ +(footprint "R0201" + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (layer "F.Cu") + (property "Reference" "REF**" + (at 0 -0.889 0) + (unlocked yes) + (layer "F.SilkS") + (uuid "3a1fd817-daa0-427c-82eb-f7319b1027ae") + (effects + (font + (size 0.635 0.635) + (thickness 0.127) + (bold yes) + ) + ) + ) + (property "Value" "R0201" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "dcf65ffe-b0b5-46eb-a72b-7374a01348aa") + (effects + (font + (size 0.127 0.127) + (thickness 0.0254) + (bold yes) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f821e7a6-3b38-4b15-826b-c2e2eac20f2a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "051c5b23-f68a-48db-ad33-abc6f17b4a9f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1dc412fb-efde-4999-a872-a47ed9743e00") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (attr smd) + (fp_rect + (start -0.635 -0.381) + (end 0.635 0.381) + (stroke + (width 0.1778) + (type default) + ) + (fill none) + (layer "F.SilkS") + (uuid "90dc834c-32b5-44e8-b5a7-d7e225f44865") + ) + (fp_rect + (start -0.254 -0.127) + (end 0.254 0.127) + (stroke + (width 0.0762) + (type default) + ) + (fill none) + (layer "F.Fab") + (uuid "ed421f81-4bec-4558-8698-8da0a0656b0f") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (uuid "67f0e018-2381-43aa-86f3-f714c2bf880b") + (effects + (font + (size 0.0762 0.0762) + (thickness 0.01524) + (bold yes) + ) + ) + ) + (pad "1" smd roundrect + (at -0.275 0) + (size 0.25 0.35) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.1) + (uuid "b15d4046-851d-4dfe-83e1-00dba3458bfe") + ) + (pad "2" smd roundrect + (at 0.275 0) + (size 0.25 0.35) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.1) + (uuid "144e8f9e-135e-408f-82b9-85e27a90c4ca") + ) +) \ No newline at end of file diff --git a/common.pretty/SOD-882.kicad_mod b/common.pretty/SOD-882.kicad_mod new file mode 100644 index 0000000..5498605 --- /dev/null +++ b/common.pretty/SOD-882.kicad_mod @@ -0,0 +1,135 @@ +(footprint "SOD-882" + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (layer "F.Cu") + (property "Reference" "REF**" + (at 0 -1.143 0) + (unlocked yes) + (layer "F.SilkS") + (uuid "56cb4925-fd1a-4cad-9648-fe903873f5d3") + (effects + (font + (size 0.635 0.635) + (thickness 0.127) + (bold yes) + ) + ) + ) + (property "Value" "SOD-882" + (at 0 0.254 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8df07534-dc63-4d07-b8e3-d73f890e8e78") + (effects + (font + (size 0.127 0.127) + (thickness 0.0254) + (bold yes) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1e8470d1-eca0-46ca-aabc-f7226238bf0b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d8debe72-bc53-4492-9758-3f391b2424a7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1324792a-4e1d-4fca-a7bb-e09df386a50f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (attr smd) + (fp_rect + (start -1.016 -0.635) + (end -0.762 0.635) + (stroke + (width 0.1778) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "c8dba288-9758-4e4e-80b4-c632001dde5a") + ) + (fp_rect + (start -1.016 -0.635) + (end 0.762 0.635) + (stroke + (width 0.1778) + (type default) + ) + (fill none) + (layer "F.SilkS") + (uuid "1fadae7e-6af2-40b4-99a2-d9473311cadb") + ) + (fp_rect + (start -0.65 -0.45) + (end 0.65 0.45) + (stroke + (width 0.127) + (type default) + ) + (fill none) + (layer "F.Fab") + (uuid "337a2577-b0cd-46a4-9ac1-e482f60d7787") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (uuid "411e7583-7a53-4428-a5ff-e9f76f3098ff") + (effects + (font + (size 0.127 0.127) + (thickness 0.0254) + (bold yes) + ) + ) + ) + (pad "A" smd roundrect + (at 0.35 0) + (size 0.4 0.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.125) + (thermal_bridge_angle 45) + (uuid "5c50b2e5-5ea9-41e8-b4e0-bd720a8496bb") + ) + (pad "K" smd roundrect + (at -0.35 0) + (size 0.4 0.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.125) + (thermal_bridge_angle 45) + (uuid "e6423183-7e06-4df8-b82a-d989410bbc2d") + ) +) \ No newline at end of file diff --git a/common.pretty/step/TE_2466267-1.step b/common.pretty/step/TE_2466267-1.step new file mode 100644 index 0000000..f73dbf3 --- /dev/null +++ b/common.pretty/step/TE_2466267-1.step @@ -0,0 +1,2745 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-2466267-1','2023-09-14T08:57:43',('workeradm'),( +'TE Connectivity Ltd.'),'CREO PARAMETRIC BY PTC INC, 2021072', +'CREO PARAMETRIC BY PTC INC, 2021072',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#2=DIRECTION('',(1.421085471520E-14,0.E0,1.E0)); +#3=VECTOR('',#2,1.E0); +#4=CARTESIAN_POINT('',(1.E0,0.E0,-4.37E0)); +#5=LINE('',#4,#3); +#6=CARTESIAN_POINT('',(0.E0,0.E0,-4.37E0)); +#7=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9=AXIS2_PLACEMENT_3D('',#6,#7,#8); +#11=DIRECTION('',(-1.421085471520E-14,0.E0,1.E0)); +#12=VECTOR('',#11,1.E0); +#13=CARTESIAN_POINT('',(-1.E0,0.E0,-4.37E0)); +#14=LINE('',#13,#12); +#15=CARTESIAN_POINT('',(0.E0,0.E0,-4.37E0)); +#16=DIRECTION('',(0.E0,0.E0,-1.E0)); +#17=DIRECTION('',(1.E0,0.E0,0.E0)); +#18=AXIS2_PLACEMENT_3D('',#15,#16,#17); +#20=DIRECTION('',(1.223706105583E-4,3.259114287936E-2,-9.994687601077E-1)); +#21=VECTOR('',#20,9.505049461441E-1); +#22=CARTESIAN_POINT('',(3.191489361704E-3,8.499940084469E-1,-3.420000000001E0)); +#23=LINE('',#22,#21); +#24=CARTESIAN_POINT('',(0.E0,0.E0,-4.37E0)); +#25=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26=DIRECTION('',(3.754693366709E-3,9.999929511140E-1,0.E0)); +#27=AXIS2_PLACEMENT_3D('',#24,#25,#26); +#29=DIRECTION('',(1.223706105591E-4,3.259114287936E-2,9.994687601077E-1)); +#30=VECTOR('',#29,9.505049461441E-1); +#31=CARTESIAN_POINT('',(-3.307803232302E-3,-8.809720509542E-1,-4.37E0)); +#32=LINE('',#31,#30); +#33=CARTESIAN_POINT('',(0.E0,0.E0,-3.420000000001E0)); +#34=DIRECTION('',(0.E0,0.E0,-1.E0)); +#35=DIRECTION('',(3.754693366712E-3,9.999929511140E-1,0.E0)); +#36=AXIS2_PLACEMENT_3D('',#33,#34,#35); +#38=CARTESIAN_POINT('',(0.E0,0.E0,-3.420000000001E0)); +#39=DIRECTION('',(0.E0,0.E0,1.E0)); +#40=DIRECTION('',(-3.647184876229E-2,-9.993346807991E-1,0.E0)); +#41=AXIS2_PLACEMENT_3D('',#38,#39,#40); +#43=CARTESIAN_POINT('',(0.E0,0.E0,-3.420000000001E0)); +#44=DIRECTION('',(0.E0,0.E0,1.E0)); +#45=DIRECTION('',(3.754693366712E-3,9.999929511140E-1,0.E0)); +#46=AXIS2_PLACEMENT_3D('',#43,#44,#45); +#48=CARTESIAN_POINT('',(0.E0,0.E0,-4.37E0)); +#49=DIRECTION('',(0.E0,0.E0,-1.E0)); +#50=DIRECTION('',(-3.754693366709E-3,-9.999929511140E-1,0.E0)); +#51=AXIS2_PLACEMENT_3D('',#48,#49,#50); +#53=CARTESIAN_POINT('',(0.E0,0.E0,-3.920000000001E0)); +#54=DIRECTION('',(0.E0,0.E0,1.E0)); +#55=DIRECTION('',(3.647184876229E-2,9.993346807991E-1,0.E0)); +#56=AXIS2_PLACEMENT_3D('',#53,#54,#55); +#58=DIRECTION('',(1.823592438114E-2,4.996673403996E-1,-8.660254037844E-1)); +#59=VECTOR('',#58,5.773502691896E-1); +#60=CARTESIAN_POINT('',(-2.967745202059E-2,-8.131670877236E-1, +-3.420000000001E0)); +#61=LINE('',#60,#59); +#62=CARTESIAN_POINT('',(0.E0,0.E0,-3.420000000001E0)); +#63=DIRECTION('',(0.E0,0.E0,-1.E0)); +#64=DIRECTION('',(-3.647184876229E-2,-9.993346807991E-1,0.E0)); +#65=AXIS2_PLACEMENT_3D('',#62,#63,#64); +#67=DIRECTION('',(1.823592438114E-2,4.996673403996E-1,8.660254037844E-1)); +#68=VECTOR('',#67,5.773502691896E-1); +#69=CARTESIAN_POINT('',(1.914893617022E-2,5.246840142387E-1,-3.920000000001E0)); +#70=LINE('',#69,#68); +#71=CARTESIAN_POINT('',(0.E0,0.E0,-4.37E0)); +#72=DIRECTION('',(0.E0,0.E0,1.E0)); +#73=DIRECTION('',(3.647184876230E-2,9.993346807991E-1,0.E0)); +#74=AXIS2_PLACEMENT_3D('',#71,#72,#73); +#76=CARTESIAN_POINT('',(0.E0,0.E0,-4.37E0)); +#77=DIRECTION('',(0.E0,0.E0,1.E0)); +#78=DIRECTION('',(-3.647184876230E-2,-9.993346807991E-1,0.E0)); +#79=AXIS2_PLACEMENT_3D('',#76,#77,#78); +#81=DIRECTION('',(1.555013468291E-3,4.260762590063E-2,-9.990906726360E-1)); +#82=VECTOR('',#81,4.504095697457E-1); +#83=CARTESIAN_POINT('',(1.914893617022E-2,5.246840142387E-1,-3.920000000001E0)); +#84=LINE('',#83,#82); +#85=CARTESIAN_POINT('',(0.E0,0.E0,-3.920000000001E0)); +#86=DIRECTION('',(0.E0,0.E0,-1.E0)); +#87=DIRECTION('',(3.647184876230E-2,9.993346807991E-1,0.E0)); +#88=AXIS2_PLACEMENT_3D('',#85,#86,#87); +#90=DIRECTION('',(1.555013468291E-3,4.260762590064E-2,9.990906726360E-1)); +#91=VECTOR('',#90,4.504095697457E-1); +#92=CARTESIAN_POINT('',(-1.984932911742E-2,-5.438748966885E-1,-4.37E0)); +#93=LINE('',#92,#91); +#94=CARTESIAN_POINT('',(0.E0,0.E0,-3.37E0)); +#95=DIRECTION('',(0.E0,0.E0,1.E0)); +#96=DIRECTION('',(1.E0,0.E0,0.E0)); +#97=AXIS2_PLACEMENT_3D('',#94,#95,#96); +#99=CARTESIAN_POINT('',(0.E0,0.E0,-3.37E0)); +#100=DIRECTION('',(0.E0,0.E0,1.E0)); +#101=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102=AXIS2_PLACEMENT_3D('',#99,#100,#101); +#104=CARTESIAN_POINT('',(0.E0,0.E0,-3.37E0)); +#105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106=DIRECTION('',(-1.E0,0.E0,0.E0)); +#107=AXIS2_PLACEMENT_3D('',#104,#105,#106); +#109=CARTESIAN_POINT('',(0.E0,0.E0,-3.37E0)); +#110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#111=DIRECTION('',(1.E0,0.E0,0.E0)); +#112=AXIS2_PLACEMENT_3D('',#109,#110,#111); +#114=CARTESIAN_POINT('',(0.E0,0.E0,-8.648225545967E0)); +#115=DIRECTION('',(0.E0,0.E0,-1.E0)); +#116=DIRECTION('',(-1.E0,0.E0,0.E0)); +#117=AXIS2_PLACEMENT_3D('',#114,#115,#116); +#119=DIRECTION('',(0.E0,0.E0,1.E0)); +#120=VECTOR('',#119,5.278225545967E0); +#121=CARTESIAN_POINT('',(-2.35E0,0.E0,-8.648225545967E0)); +#122=LINE('',#121,#120); +#123=DIRECTION('',(-9.999992512049E-1,0.E0,1.223760485896E-3)); +#124=VECTOR('',#123,1.450001085754E0); +#125=CARTESIAN_POINT('',(3.800000000001E0,0.E0,-8.65E0)); +#126=LINE('',#125,#124); +#127=DIRECTION('',(-9.999992512049E-1,0.E0,-1.223760485896E-3)); +#128=VECTOR('',#127,1.450001085754E0); +#129=CARTESIAN_POINT('',(-2.35E0,0.E0,-8.648225545967E0)); +#130=LINE('',#129,#128); +#131=CARTESIAN_POINT('',(0.E0,0.E0,-8.648225545967E0)); +#132=DIRECTION('',(0.E0,0.E0,1.E0)); +#133=DIRECTION('',(-1.E0,0.E0,0.E0)); +#134=AXIS2_PLACEMENT_3D('',#131,#132,#133); +#136=DIRECTION('',(0.E0,0.E0,1.E0)); +#137=VECTOR('',#136,5.278225545967E0); +#138=CARTESIAN_POINT('',(2.35E0,0.E0,-8.648225545967E0)); +#139=LINE('',#138,#137); +#140=CARTESIAN_POINT('',(0.E0,0.E0,-8.65E0)); +#141=DIRECTION('',(0.E0,0.E0,1.E0)); +#142=DIRECTION('',(1.E0,0.E0,0.E0)); +#143=AXIS2_PLACEMENT_3D('',#140,#141,#142); +#145=CARTESIAN_POINT('',(0.E0,0.E0,-8.65E0)); +#146=DIRECTION('',(0.E0,0.E0,1.E0)); +#147=DIRECTION('',(-1.E0,0.E0,0.E0)); +#148=AXIS2_PLACEMENT_3D('',#145,#146,#147); +#150=CARTESIAN_POINT('',(0.E0,0.E0,-8.65E0)); +#151=DIRECTION('',(0.E0,0.E0,-1.E0)); +#152=DIRECTION('',(-1.E0,0.E0,0.E0)); +#153=AXIS2_PLACEMENT_3D('',#150,#151,#152); +#155=CARTESIAN_POINT('',(0.E0,0.E0,-8.65E0)); +#156=DIRECTION('',(0.E0,0.E0,-1.E0)); +#157=DIRECTION('',(1.E0,0.E0,0.E0)); +#158=AXIS2_PLACEMENT_3D('',#155,#156,#157); +#160=CARTESIAN_POINT('',(3.824974448094E0,0.E0,-8.4E0)); +#161=DIRECTION('',(0.E0,-1.E0,0.E0)); +#162=DIRECTION('',(0.E0,0.E0,-1.E0)); +#163=AXIS2_PLACEMENT_3D('',#160,#161,#162); +#165=CARTESIAN_POINT('',(0.E0,0.E0,-8.403574072561E0)); +#166=DIRECTION('',(0.E0,0.E0,1.E0)); +#167=DIRECTION('',(1.E0,0.E0,0.E0)); +#168=AXIS2_PLACEMENT_3D('',#165,#166,#167); +#170=CARTESIAN_POINT('',(0.E0,0.E0,-8.403574072561E0)); +#171=DIRECTION('',(0.E0,0.E0,1.E0)); +#172=DIRECTION('',(-1.E0,0.E0,0.E0)); +#173=AXIS2_PLACEMENT_3D('',#170,#171,#172); +#175=CARTESIAN_POINT('',(-3.824974448094E0,0.E0,-8.4E0)); +#176=DIRECTION('',(0.E0,1.E0,0.E0)); +#177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#178=AXIS2_PLACEMENT_3D('',#175,#176,#177); +#180=DIRECTION('',(-1.429629024421E-2,0.E0,-9.998978028205E-1)); +#181=VECTOR('',#180,7.904381878095E0); +#182=CARTESIAN_POINT('',(4.187952236329E0,0.E0,-4.999999999998E-1)); +#183=LINE('',#182,#181); +#184=CARTESIAN_POINT('',(0.E0,0.E0,-4.999999999998E-1)); +#185=DIRECTION('',(0.E0,0.E0,1.E0)); +#186=DIRECTION('',(-1.E0,0.E0,0.E0)); +#187=AXIS2_PLACEMENT_3D('',#184,#185,#186); +#189=DIRECTION('',(1.429629024421E-2,0.E0,-9.998978028205E-1)); +#190=VECTOR('',#189,7.904381878095E0); +#191=CARTESIAN_POINT('',(-4.187952236329E0,0.E0,-4.999999999998E-1)); +#192=LINE('',#191,#190); +#193=CARTESIAN_POINT('',(0.E0,0.E0,-4.999999999998E-1)); +#194=DIRECTION('',(0.E0,0.E0,1.E0)); +#195=DIRECTION('',(1.E0,0.E0,0.E0)); +#196=AXIS2_PLACEMENT_3D('',#193,#194,#195); +#198=DIRECTION('',(5.000000000001E-1,0.E0,-8.660254037844E-1)); +#199=VECTOR('',#198,5.773502691894E-1); +#200=CARTESIAN_POINT('',(-4.476627370924E0,0.E0,0.E0)); +#201=LINE('',#200,#199); +#202=DIRECTION('',(-5.000000000001E-1,0.E0,-8.660254037844E-1)); +#203=VECTOR('',#202,5.773502691894E-1); +#204=CARTESIAN_POINT('',(4.476627370924E0,0.E0,0.E0)); +#205=LINE('',#204,#203); +#206=CARTESIAN_POINT('',(0.E0,0.E0,5.684341886081E-14)); +#207=DIRECTION('',(0.E0,0.E0,1.E0)); +#208=DIRECTION('',(1.E0,0.E0,0.E0)); +#209=AXIS2_PLACEMENT_3D('',#206,#207,#208); +#211=CARTESIAN_POINT('',(0.E0,0.E0,5.684341886081E-14)); +#212=DIRECTION('',(0.E0,0.E0,1.E0)); +#213=DIRECTION('',(-1.E0,0.E0,0.E0)); +#214=AXIS2_PLACEMENT_3D('',#211,#212,#213); +#216=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#217=DIRECTION('',(0.E0,0.E0,-1.E0)); +#218=DIRECTION('',(1.E0,0.E0,0.E0)); +#219=AXIS2_PLACEMENT_3D('',#216,#217,#218); +#221=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#222=DIRECTION('',(0.E0,0.E0,-1.E0)); +#223=DIRECTION('',(-1.E0,0.E0,0.E0)); +#224=AXIS2_PLACEMENT_3D('',#221,#222,#223); +#226=DIRECTION('',(0.E0,0.E0,1.E0)); +#227=VECTOR('',#226,1.776135457549E1); +#228=CARTESIAN_POINT('',(-4.825E0,0.E0,-1.776135457549E1)); +#229=LINE('',#228,#227); +#230=DIRECTION('',(0.E0,0.E0,1.E0)); +#231=VECTOR('',#230,3.069376229844E0); +#232=CARTESIAN_POINT('',(-4.825E0,0.E0,-2.083073080533E1)); +#233=LINE('',#232,#231); +#234=DIRECTION('',(0.E0,0.E0,-1.E0)); +#235=VECTOR('',#234,3.069376229844E0); +#236=CARTESIAN_POINT('',(4.825E0,-1.014064539118E-14,-1.776135457549E1)); +#237=LINE('',#236,#235); +#238=CARTESIAN_POINT('',(0.E0,4.825E0,-3.25E0)); +#239=CARTESIAN_POINT('',(-8.041708987625E-2,4.825E0,-3.25E0)); +#240=CARTESIAN_POINT('',(-2.367301646037E-1,4.821057388394E0, +-3.269172929502E0)); +#241=CARTESIAN_POINT('',(-4.638470477555E-1,4.804294141401E0, +-3.354098706266E0)); +#242=CARTESIAN_POINT('',(-6.630590073720E-1,4.780362432682E0, +-3.491991833635E0)); +#243=CARTESIAN_POINT('',(-8.211669155439E-1,4.755270100434E0, +-3.670304521609E0)); +#244=CARTESIAN_POINT('',(-9.339875355100E-1,4.733997698718E0, +-3.883415111886E0)); +#245=CARTESIAN_POINT('',(-9.918406973708E-1,4.721967851770E0, +-4.116722145251E0)); +#246=CARTESIAN_POINT('',(-9.927392116678E-1,4.721775835068E0, +-4.355448105557E0)); +#247=CARTESIAN_POINT('',(-9.369469869707E-1,4.733397198501E0, +-4.588380157576E0)); +#248=CARTESIAN_POINT('',(-8.264670676948E-1,4.754335743757E0, +-4.802278011167E0)); +#249=CARTESIAN_POINT('',(-6.685162126885E-1,4.779617748997E0, +-4.983394164939E0)); +#250=CARTESIAN_POINT('',(-4.675867186827E-1,4.803952743880E0, +-5.123916114290E0)); +#251=CARTESIAN_POINT('',(-2.394113682546E-1,4.820950143219E0, +-5.210621240962E0)); +#252=CARTESIAN_POINT('',(-8.139570121620E-2,4.825E0,-5.23E0)); +#253=CARTESIAN_POINT('',(0.E0,4.825E0,-5.23E0)); +#255=CARTESIAN_POINT('',(0.E0,4.825E0,-5.23E0)); +#256=CARTESIAN_POINT('',(8.114144090422E-2,4.825E0,-5.23E0)); +#257=CARTESIAN_POINT('',(2.384847981113E-1,4.820975117002E0,-5.210608961291E0)); +#258=CARTESIAN_POINT('',(4.650439159558E-1,4.804169171242E0,-5.125121357485E0)); +#259=CARTESIAN_POINT('',(6.641495168859E-1,4.780213854961E0,-4.987070993649E0)); +#260=CARTESIAN_POINT('',(8.223156122033E-1,4.755067781207E0,-4.808126686844E0)); +#261=CARTESIAN_POINT('',(9.344085527200E-1,4.733910240360E0,-4.595282296577E0)); +#262=CARTESIAN_POINT('',(9.919253570795E-1,4.721950090766E0,-4.362324415529E0)); +#263=CARTESIAN_POINT('',(9.926512633169E-1,4.721794646846E0,-4.123949554289E0)); +#264=CARTESIAN_POINT('',(9.367544217892E-1,4.733435399035E0,-3.891058368217E0)); +#265=CARTESIAN_POINT('',(8.261000576485E-1,4.754404188985E0,-3.677249712861E0)); +#266=CARTESIAN_POINT('',(6.678149089255E-1,4.779712255500E0,-3.495961470766E0)); +#267=CARTESIAN_POINT('',(4.670083338054E-1,4.804025009676E0,-3.355484962590E0)); +#268=CARTESIAN_POINT('',(2.372998160324E-1,4.821060364643E0,-3.269144866785E0)); +#269=CARTESIAN_POINT('',(8.050324933851E-2,4.825E0,-3.25E0)); +#270=CARTESIAN_POINT('',(0.E0,4.825E0,-3.25E0)); +#272=DIRECTION('',(0.E0,0.E0,1.E0)); +#273=VECTOR('',#272,1.776135457549E1); +#274=CARTESIAN_POINT('',(4.825E0,-1.014064539118E-14,-1.776135457549E1)); +#275=LINE('',#274,#273); +#276=CARTESIAN_POINT('',(0.E0,-4.825E0,-5.23E0)); +#277=CARTESIAN_POINT('',(-8.041708987635E-2,-4.825E0,-5.23E0)); +#278=CARTESIAN_POINT('',(-2.367301646040E-1,-4.821057388394E0, +-5.210827070498E0)); +#279=CARTESIAN_POINT('',(-4.638470477558E-1,-4.804294141401E0, +-5.125901293734E0)); +#280=CARTESIAN_POINT('',(-6.630590073724E-1,-4.780362432682E0, +-4.988008166364E0)); +#281=CARTESIAN_POINT('',(-8.211669155441E-1,-4.755270100434E0, +-4.809695478391E0)); +#282=CARTESIAN_POINT('',(-9.339875355101E-1,-4.733997698718E0, +-4.596584888113E0)); +#283=CARTESIAN_POINT('',(-9.918406973708E-1,-4.721967851770E0, +-4.363277854749E0)); +#284=CARTESIAN_POINT('',(-9.927392116678E-1,-4.721775835068E0, +-4.124551894443E0)); +#285=CARTESIAN_POINT('',(-9.369469869706E-1,-4.733397198501E0, +-3.891619842424E0)); +#286=CARTESIAN_POINT('',(-8.264670676946E-1,-4.754335743757E0, +-3.677721988832E0)); +#287=CARTESIAN_POINT('',(-6.685162126882E-1,-4.779617748997E0, +-3.496605835061E0)); +#288=CARTESIAN_POINT('',(-4.675867186824E-1,-4.803952743880E0, +-3.356083885710E0)); +#289=CARTESIAN_POINT('',(-2.394113682544E-1,-4.820950143219E0, +-3.269378759038E0)); +#290=CARTESIAN_POINT('',(-8.139570121614E-2,-4.825E0,-3.25E0)); +#291=CARTESIAN_POINT('',(0.E0,-4.825E0,-3.25E0)); +#293=CARTESIAN_POINT('',(0.E0,-4.825E0,-3.25E0)); +#294=CARTESIAN_POINT('',(8.114144090413E-2,-4.825E0,-3.25E0)); +#295=CARTESIAN_POINT('',(2.384847981111E-1,-4.820975117002E0, +-3.269391038709E0)); +#296=CARTESIAN_POINT('',(4.650439159556E-1,-4.804169171242E0, +-3.354878642515E0)); +#297=CARTESIAN_POINT('',(6.641495168858E-1,-4.780213854961E0, +-3.492929006351E0)); +#298=CARTESIAN_POINT('',(8.223156122032E-1,-4.755067781207E0, +-3.671873313156E0)); +#299=CARTESIAN_POINT('',(9.344085527199E-1,-4.733910240360E0, +-3.884717703423E0)); +#300=CARTESIAN_POINT('',(9.919253570794E-1,-4.721950090766E0, +-4.117675584470E0)); +#301=CARTESIAN_POINT('',(9.926512633169E-1,-4.721794646846E0, +-4.356050445711E0)); +#302=CARTESIAN_POINT('',(9.367544217893E-1,-4.733435399035E0, +-4.588941631783E0)); +#303=CARTESIAN_POINT('',(8.261000576487E-1,-4.754404188985E0, +-4.802750287139E0)); +#304=CARTESIAN_POINT('',(6.678149089257E-1,-4.779712255500E0, +-4.984038529234E0)); +#305=CARTESIAN_POINT('',(4.670083338057E-1,-4.804025009676E0, +-5.124515037409E0)); +#306=CARTESIAN_POINT('',(2.372998160327E-1,-4.821060364643E0, +-5.210855133214E0)); +#307=CARTESIAN_POINT('',(8.050324933861E-2,-4.825E0,-5.23E0)); +#308=CARTESIAN_POINT('',(0.E0,-4.825E0,-5.23E0)); +#310=CARTESIAN_POINT('',(4.825E0,-4.207867957206E-14,-1.776135457549E1)); +#311=CARTESIAN_POINT('',(4.825E0,-2.843158516021E-1,-1.778622898936E1)); +#312=CARTESIAN_POINT('',(4.774493679525E0,-8.742322491270E-1, +-1.783783998658E1)); +#313=CARTESIAN_POINT('',(4.518462537323E0,-1.781543717984E0,-1.791721945439E1)); +#314=CARTESIAN_POINT('',(4.069027486941E0,-2.655934720753E0,-1.799371875462E1)); +#315=CARTESIAN_POINT('',(3.436447564693E0,-3.436439759502E0,-1.806200409733E1)); +#316=CARTESIAN_POINT('',(2.655923569638E0,-4.069047556587E0,-1.811735010804E1)); +#317=CARTESIAN_POINT('',(1.927304359320E0,-4.443499646038E0,-1.815011042090E1)); +#318=CARTESIAN_POINT('',(1.327838360205E0,-4.646576783693E0,-1.816787736826E1)); +#319=CARTESIAN_POINT('',(8.770390194125E-1,-4.751923774292E0, +-1.817709403568E1)); +#320=CARTESIAN_POINT('',(5.060364444659E-1,-4.802336074716E0, +-1.818150454047E1)); +#321=CARTESIAN_POINT('',(2.143695846480E-1,-4.821857612825E0, +-1.818321245375E1)); +#322=CARTESIAN_POINT('',(-2.022687572989E-14,-4.826571193588E0, +-1.818362483863E1)); +#323=CARTESIAN_POINT('',(-2.143695846481E-1,-4.821857612825E0, +-1.818321245375E1)); +#324=CARTESIAN_POINT('',(-5.060364444660E-1,-4.802336074716E0, +-1.818150454047E1)); +#325=CARTESIAN_POINT('',(-8.770390194125E-1,-4.751923774292E0, +-1.817709403568E1)); +#326=CARTESIAN_POINT('',(-1.327838360205E0,-4.646576783693E0, +-1.816787736826E1)); +#327=CARTESIAN_POINT('',(-1.927304359320E0,-4.443499646038E0, +-1.815011042090E1)); +#328=CARTESIAN_POINT('',(-2.655923569638E0,-4.069047556587E0, +-1.811735010804E1)); +#329=CARTESIAN_POINT('',(-3.436447564693E0,-3.436439759502E0, +-1.806200409733E1)); +#330=CARTESIAN_POINT('',(-4.069027486941E0,-2.655934720753E0, +-1.799371875462E1)); +#331=CARTESIAN_POINT('',(-4.518462537323E0,-1.781543717984E0, +-1.791721945439E1)); +#332=CARTESIAN_POINT('',(-4.774493679525E0,-8.742322491270E-1, +-1.783783998658E1)); +#333=CARTESIAN_POINT('',(-4.825E0,-2.843158516021E-1,-1.778622898936E1)); +#334=CARTESIAN_POINT('',(-4.825E0,0.E0,-1.776135457549E1)); +#336=DIRECTION('',(1.E0,0.E0,0.E0)); +#337=VECTOR('',#336,2.420660171690E0); +#338=CARTESIAN_POINT('',(2.404339828310E0,-5.39E0,-1.823291847189E1)); +#339=LINE('',#338,#337); +#340=DIRECTION('',(1.E0,0.E0,0.E0)); +#341=VECTOR('',#340,2.420660171690E0); +#342=CARTESIAN_POINT('',(-4.825E0,-5.39E0,-1.823291847189E1)); +#343=LINE('',#342,#341); +#344=DIRECTION('',(0.E0,0.E0,-1.E0)); +#345=VECTOR('',#344,2.597812333440E0); +#346=CARTESIAN_POINT('',(4.825E0,-5.39E0,-1.823291847189E1)); +#347=LINE('',#346,#345); +#348=DIRECTION('',(0.E0,-9.961946980917E-1,-8.715574274765E-2)); +#349=VECTOR('',#348,5.410588924359E0); +#350=CARTESIAN_POINT('',(4.825E0,-1.014064539118E-14,-1.776135457549E1)); +#351=LINE('',#350,#349); +#352=DIRECTION('',(0.E0,1.E0,0.E0)); +#353=VECTOR('',#352,5.39E0); +#354=CARTESIAN_POINT('',(-4.825E0,-5.39E0,-2.083073080533E1)); +#355=LINE('',#354,#353); +#356=DIRECTION('',(0.E0,1.E0,0.E0)); +#357=VECTOR('',#356,7.6E-1); +#358=CARTESIAN_POINT('',(-4.667081528107E0,-6.15E0,-2.530433982831E1)); +#359=LINE('',#358,#357); +#360=DIRECTION('',(0.E0,-1.E0,0.E0)); +#361=VECTOR('',#360,7.6E-1); +#362=CARTESIAN_POINT('',(-2.404339828310E0,-5.39E0,-2.756708152811E1)); +#363=LINE('',#362,#361); +#364=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#366=DIRECTION('',(-4.579694911067E-1,0.E0,-8.889679101157E-1)); +#367=AXIS2_PLACEMENT_3D('',#364,#365,#366); +#369=DIRECTION('',(0.E0,1.E0,0.E0)); +#370=VECTOR('',#369,7.6E-1); +#371=CARTESIAN_POINT('',(2.404339828310E0,-6.15E0,-2.756708152811E1)); +#372=LINE('',#371,#370); +#373=DIRECTION('',(0.E0,-1.E0,0.E0)); +#374=VECTOR('',#373,7.6E-1); +#375=CARTESIAN_POINT('',(4.667081528107E0,-5.39E0,-2.530433982831E1)); +#376=LINE('',#375,#374); +#377=DIRECTION('',(0.E0,-1.E0,0.E0)); +#378=VECTOR('',#377,5.39E0); +#379=CARTESIAN_POINT('',(4.825E0,0.E0,-2.083073080533E1)); +#380=LINE('',#379,#378); +#381=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#382=VECTOR('',#381,6.302049898007E-1); +#383=CARTESIAN_POINT('',(0.E0,0.E0,-2.815E1)); +#384=LINE('',#383,#382); +#385=CARTESIAN_POINT('',(0.E0,0.E0,-2.29E1)); +#386=DIRECTION('',(0.E0,1.E0,0.E0)); +#387=DIRECTION('',(9.190476190476E-1,0.E0,3.941465132700E-1)); +#388=AXIS2_PLACEMENT_3D('',#385,#386,#387); +#390=CARTESIAN_POINT('',(4.804377778174E0,4.456222218257E-1,-2.29E1)); +#391=CARTESIAN_POINT('',(4.804377778174E0,4.456222218257E-1,-2.274577188526E1)); +#392=CARTESIAN_POINT('',(4.805992433752E0,4.287569411981E-1,-2.242762223828E1)); +#393=CARTESIAN_POINT('',(4.812959357499E0,3.464861191700E-1,-2.191679872037E1)); +#394=CARTESIAN_POINT('',(4.821617086483E0,2.052104239705E-1,-2.138589365651E1)); +#395=CARTESIAN_POINT('',(4.825E0,7.394519240572E-2,-2.101833919439E1)); +#396=CARTESIAN_POINT('',(4.825E0,0.E0,-2.083073080533E1)); +#398=CARTESIAN_POINT('',(-4.825E0,0.E0,-2.083073080533E1)); +#399=CARTESIAN_POINT('',(-4.825E0,7.406654621752E-2,-2.101864708449E1)); +#400=CARTESIAN_POINT('',(-4.821614227877E0,2.050702879139E-1, +-2.138623556426E1)); +#401=CARTESIAN_POINT('',(-4.813014080534E0,3.456937836286E-1, +-2.191543382619E1)); +#402=CARTESIAN_POINT('',(-4.806052549054E0,4.281143294368E-1, +-2.242091823686E1)); +#403=CARTESIAN_POINT('',(-4.804377778174E0,4.456222218257E-1, +-2.274285625471E1)); +#404=CARTESIAN_POINT('',(-4.804377778174E0,4.456222218257E-1,-2.29E1)); +#406=CARTESIAN_POINT('',(0.E0,0.E0,-2.29E1)); +#407=DIRECTION('',(0.E0,1.E0,0.E0)); +#408=DIRECTION('',(0.E0,0.E0,-1.E0)); +#409=AXIS2_PLACEMENT_3D('',#406,#407,#408); +#411=CARTESIAN_POINT('',(0.E0,0.E0,-2.29E1)); +#412=DIRECTION('',(0.E0,0.E0,-1.E0)); +#413=DIRECTION('',(-9.957259643885E-1,9.235693716594E-2,0.E0)); +#414=AXIS2_PLACEMENT_3D('',#411,#412,#413); +#416=CARTESIAN_POINT('',(0.E0,4.456222218257E-1,-2.29E1)); +#417=DIRECTION('',(0.E0,1.E0,0.E0)); +#418=DIRECTION('',(0.E0,0.E0,-1.E0)); +#419=AXIS2_PLACEMENT_3D('',#416,#417,#418); +#421=CARTESIAN_POINT('',(0.E0,4.456222218257E-1,-2.770437777817E1)); +#422=CARTESIAN_POINT('',(2.137544320552E-4,7.086557316931E-1, +-2.767998053414E1)); +#423=CARTESIAN_POINT('',(-1.027874157927E-4,1.230761093178E0, +-2.758787600269E1)); +#424=CARTESIAN_POINT('',(2.336384404017E-5,1.980746840406E0,-2.732352571162E1)); +#425=CARTESIAN_POINT('',(-9.616008641517E-6,2.677423720127E0, +-2.694009270035E1)); +#426=CARTESIAN_POINT('',(1.049460600851E-6,3.302021213030E0,-2.644790054619E1)); +#427=CARTESIAN_POINT('',(-3.601275410090E-7,3.837728322075E0, +-2.586020041501E1)); +#428=CARTESIAN_POINT('',(2.156704913113E-6,4.270122483469E0,-2.519281239156E1)); +#429=CARTESIAN_POINT('',(-2.451055085492E-6,4.587564863876E0, +-2.446371440054E1)); +#430=CARTESIAN_POINT('',(1.316735758787E-5,4.781511426744E0,-2.369249809757E1)); +#431=CARTESIAN_POINT('',(-2.755227422103E-5,4.825E0,-2.316421183375E1)); +#432=CARTESIAN_POINT('',(0.E0,4.825E0,-2.29E1)); +#434=CARTESIAN_POINT('',(0.E0,4.456222218257E-1,-2.29E1)); +#435=DIRECTION('',(0.E0,1.E0,0.E0)); +#436=DIRECTION('',(1.E0,0.E0,3.993160977614E-14)); +#437=AXIS2_PLACEMENT_3D('',#434,#435,#436); +#439=CARTESIAN_POINT('',(0.E0,0.E0,-2.29E1)); +#440=DIRECTION('',(0.E0,0.E0,-1.E0)); +#441=DIRECTION('',(0.E0,1.E0,0.E0)); +#442=AXIS2_PLACEMENT_3D('',#439,#440,#441); +#444=DIRECTION('',(0.E0,0.E0,1.E0)); +#445=VECTOR('',#444,2.597812333440E0); +#446=CARTESIAN_POINT('',(-4.825E0,-5.39E0,-2.083073080533E1)); +#447=LINE('',#446,#445); +#448=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274765E-2)); +#449=VECTOR('',#448,5.410588924359E0); +#450=CARTESIAN_POINT('',(-4.825E0,-5.39E0,-1.823291847189E1)); +#451=LINE('',#450,#449); +#452=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#453=DIRECTION('',(0.E0,-1.E0,0.E0)); +#454=DIRECTION('',(0.E0,0.E0,1.E0)); +#455=AXIS2_PLACEMENT_3D('',#452,#453,#454); +#457=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#458=DIRECTION('',(0.E0,-1.E0,0.E0)); +#459=DIRECTION('',(0.E0,0.E0,-1.E0)); +#460=AXIS2_PLACEMENT_3D('',#457,#458,#459); +#462=DIRECTION('',(-1.E0,0.E0,0.E0)); +#463=VECTOR('',#462,4.808679656621E0); +#464=CARTESIAN_POINT('',(2.404339828310E0,-5.39E0,-1.823291847189E1)); +#465=LINE('',#464,#463); +#466=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#467=VECTOR('',#466,1.225332776115E0); +#468=CARTESIAN_POINT('',(1.537898713109E0,-5.39E0,-1.909935958709E1)); +#469=LINE('',#468,#467); +#470=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#471=DIRECTION('',(0.E0,-1.E0,0.E0)); +#472=DIRECTION('',(9.269854665625E-1,0.E0,3.750972470998E-1)); +#473=AXIS2_PLACEMENT_3D('',#470,#471,#472); +#475=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#476=VECTOR('',#475,1.225332776115E0); +#477=CARTESIAN_POINT('',(4.667081528107E0,-5.39E0,-2.049566017169E1)); +#478=LINE('',#477,#476); +#479=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#480=DIRECTION('',(0.E0,1.E0,0.E0)); +#481=DIRECTION('',(4.579694911067E-1,0.E0,8.889679101157E-1)); +#482=AXIS2_PLACEMENT_3D('',#479,#480,#481); +#484=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#485=DIRECTION('',(0.E0,-1.E0,0.E0)); +#486=DIRECTION('',(8.889679101157E-1,0.E0,-4.579694911067E-1)); +#487=AXIS2_PLACEMENT_3D('',#484,#485,#486); +#489=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811866E-1)); +#490=VECTOR('',#489,1.225332776115E0); +#491=CARTESIAN_POINT('',(3.800640412906E0,-5.39E0,-2.443789871311E1)); +#492=LINE('',#491,#490); +#493=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#494=DIRECTION('',(0.E0,-1.E0,0.E0)); +#495=DIRECTION('',(3.750972470998E-1,0.E0,-9.269854665625E-1)); +#496=AXIS2_PLACEMENT_3D('',#493,#494,#495); +#498=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#499=VECTOR('',#498,1.225332776115E0); +#500=CARTESIAN_POINT('',(2.404339828310E0,-5.39E0,-2.756708152811E1)); +#501=LINE('',#500,#499); +#502=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#503=VECTOR('',#502,1.225332776115E0); +#504=CARTESIAN_POINT('',(-1.537898713109E0,-5.39E0,-2.670064041291E1)); +#505=LINE('',#504,#503); +#506=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#507=DIRECTION('',(0.E0,-1.E0,0.E0)); +#508=DIRECTION('',(-9.269854665625E-1,0.E0,-3.750972470998E-1)); +#509=AXIS2_PLACEMENT_3D('',#506,#507,#508); +#511=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811866E-1)); +#512=VECTOR('',#511,1.225332776115E0); +#513=CARTESIAN_POINT('',(-4.667081528107E0,-5.39E0,-2.530433982831E1)); +#514=LINE('',#513,#512); +#515=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#516=DIRECTION('',(0.E0,-1.E0,0.E0)); +#517=DIRECTION('',(-9.190476190476E-1,0.E0,3.941465132700E-1)); +#518=AXIS2_PLACEMENT_3D('',#515,#516,#517); +#520=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#521=DIRECTION('',(0.E0,1.E0,0.E0)); +#522=DIRECTION('',(-8.889679101157E-1,0.E0,4.579694911067E-1)); +#523=AXIS2_PLACEMENT_3D('',#520,#521,#522); +#525=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#526=VECTOR('',#525,1.225332776115E0); +#527=CARTESIAN_POINT('',(-3.800640412906E0,-5.39E0,-2.136210128689E1)); +#528=LINE('',#527,#526); +#529=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#530=DIRECTION('',(0.E0,-1.E0,0.E0)); +#531=DIRECTION('',(-3.750972470998E-1,0.E0,9.269854665625E-1)); +#532=AXIS2_PLACEMENT_3D('',#529,#530,#531); +#534=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#535=VECTOR('',#534,1.225332776115E0); +#536=CARTESIAN_POINT('',(-2.404339828310E0,-5.39E0,-1.823291847189E1)); +#537=LINE('',#536,#535); +#538=CARTESIAN_POINT('',(0.E0,-1.049E1,-2.29E1)); +#539=DIRECTION('',(0.E0,-1.E0,0.E0)); +#540=DIRECTION('',(0.E0,0.E0,1.E0)); +#541=AXIS2_PLACEMENT_3D('',#538,#539,#540); +#543=DIRECTION('',(0.E0,-1.E0,0.E0)); +#544=VECTOR('',#543,5.1E0); +#545=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.3385E1)); +#546=LINE('',#545,#544); +#547=CARTESIAN_POINT('',(0.E0,-1.049E1,-2.29E1)); +#548=DIRECTION('',(0.E0,-1.E0,0.E0)); +#549=DIRECTION('',(0.E0,0.E0,-1.E0)); +#550=AXIS2_PLACEMENT_3D('',#547,#548,#549); +#552=DIRECTION('',(0.E0,1.E0,0.E0)); +#553=VECTOR('',#552,5.1E0); +#554=CARTESIAN_POINT('',(0.E0,-1.049E1,-2.2415E1)); +#555=LINE('',#554,#553); +#556=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#557=VECTOR('',#556,1.225332776115E0); +#558=CARTESIAN_POINT('',(2.404339828310E0,-6.15E0,-1.823291847189E1)); +#559=LINE('',#558,#557); +#560=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#561=DIRECTION('',(0.E0,-1.E0,0.E0)); +#562=DIRECTION('',(6.118444168200E-1,0.E0,7.909781347206E-1)); +#563=AXIS2_PLACEMENT_3D('',#560,#561,#562); +#565=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#566=VECTOR('',#565,1.162002338144E0); +#567=CARTESIAN_POINT('',(2.390523455249E0,-6.15E0,-1.956902452577E1)); +#568=LINE('',#567,#566); +#569=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#570=DIRECTION('',(0.E0,1.E0,0.E0)); +#571=DIRECTION('',(3.750972470998E-1,0.E0,9.269854665625E-1)); +#572=AXIS2_PLACEMENT_3D('',#569,#570,#571); +#574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#575=VECTOR('',#574,3.3E0); +#576=CARTESIAN_POINT('',(4.152635207283E0,-6.15E0,-1.968781681169E1)); +#577=LINE('',#576,#575); +#578=CARTESIAN_POINT('',(0.E0,-9.45E0,-2.29E1)); +#579=DIRECTION('',(0.E0,-1.E0,0.E0)); +#580=DIRECTION('',(7.909781347206E-1,0.E0,6.118444168200E-1)); +#581=AXIS2_PLACEMENT_3D('',#578,#579,#580); +#583=DIRECTION('',(0.E0,1.E0,0.E0)); +#584=VECTOR('',#583,3.3E0); +#585=CARTESIAN_POINT('',(3.212183188305E0,-9.45E0,-1.874736479272E1)); +#586=LINE('',#585,#584); +#587=DIRECTION('',(0.E0,-1.E0,0.E0)); +#588=VECTOR('',#587,7.6E-1); +#589=CARTESIAN_POINT('',(2.404339828310E0,-5.39E0,-1.823291847189E1)); +#590=LINE('',#589,#588); +#591=DIRECTION('',(0.E0,1.E0,0.E0)); +#592=VECTOR('',#591,7.6E-1); +#593=CARTESIAN_POINT('',(4.667081528107E0,-6.15E0,-2.049566017169E1)); +#594=LINE('',#593,#592); +#595=DIRECTION('',(0.E0,-1.E0,0.E0)); +#596=VECTOR('',#595,3.3E0); +#597=CARTESIAN_POINT('',(2.390523455249E0,-6.15E0,-1.956902452577E1)); +#598=LINE('',#597,#596); +#599=DIRECTION('',(0.E0,1.E0,0.E0)); +#600=VECTOR('',#599,3.3E0); +#601=CARTESIAN_POINT('',(3.330975474227E0,-9.45E0,-2.050947654475E1)); +#602=LINE('',#601,#600); +#603=DIRECTION('',(0.E0,-1.E0,0.E0)); +#604=VECTOR('',#603,7.6E-1); +#605=CARTESIAN_POINT('',(3.800640412906E0,-5.39E0,-2.136210128689E1)); +#606=LINE('',#605,#604); +#607=DIRECTION('',(0.E0,1.E0,0.E0)); +#608=VECTOR('',#607,7.6E-1); +#609=CARTESIAN_POINT('',(1.537898713109E0,-6.15E0,-1.909935958709E1)); +#610=LINE('',#609,#608); +#611=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#612=VECTOR('',#611,1.162002338144E0); +#613=CARTESIAN_POINT('',(4.152635207283E0,-9.45E0,-1.968781681169E1)); +#614=LINE('',#613,#612); +#615=CARTESIAN_POINT('',(0.E0,-9.45E0,-2.29E1)); +#616=DIRECTION('',(0.E0,-1.E0,0.E0)); +#617=DIRECTION('',(8.124330424945E-1,0.E0,5.830545012803E-1)); +#618=AXIS2_PLACEMENT_3D('',#615,#616,#617); +#620=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#621=VECTOR('',#620,1.162002338144E0); +#622=CARTESIAN_POINT('',(2.390523455249E0,-9.45E0,-1.956902452577E1)); +#623=LINE('',#622,#621); +#624=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#625=DIRECTION('',(0.E0,-1.E0,0.E0)); +#626=DIRECTION('',(8.889679101157E-1,0.E0,4.579694911067E-1)); +#627=AXIS2_PLACEMENT_3D('',#624,#625,#626); +#629=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#630=VECTOR('',#629,1.225332776115E0); +#631=CARTESIAN_POINT('',(3.800640412906E0,-6.15E0,-2.136210128689E1)); +#632=LINE('',#631,#630); +#633=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#634=DIRECTION('',(0.E0,1.E0,0.E0)); +#635=DIRECTION('',(8.124330424945E-1,0.E0,5.830545012803E-1)); +#636=AXIS2_PLACEMENT_3D('',#633,#634,#635); +#638=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#639=VECTOR('',#638,1.162002338144E0); +#640=CARTESIAN_POINT('',(4.152635207283E0,-6.15E0,-1.968781681169E1)); +#641=LINE('',#640,#639); +#642=CARTESIAN_POINT('',(0.E0,-9.45E0,-2.29E1)); +#643=DIRECTION('',(0.E0,1.E0,0.E0)); +#644=DIRECTION('',(8.124330424945E-1,0.E0,-5.830545012803E-1)); +#645=AXIS2_PLACEMENT_3D('',#642,#643,#644); +#647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#648=VECTOR('',#647,7.6E-1); +#649=CARTESIAN_POINT('',(1.537898713109E0,-5.39E0,-2.670064041291E1)); +#650=LINE('',#649,#648); +#651=DIRECTION('',(0.E0,1.E0,0.E0)); +#652=VECTOR('',#651,7.6E-1); +#653=CARTESIAN_POINT('',(3.800640412906E0,-6.15E0,-2.443789871311E1)); +#654=LINE('',#653,#652); +#655=DIRECTION('',(0.E0,-1.E0,0.E0)); +#656=VECTOR('',#655,3.3E0); +#657=CARTESIAN_POINT('',(4.152635207283E0,-6.15E0,-2.611218318831E1)); +#658=LINE('',#657,#656); +#659=DIRECTION('',(0.E0,1.E0,0.E0)); +#660=VECTOR('',#659,3.3E0); +#661=CARTESIAN_POINT('',(3.330975474227E0,-9.45E0,-2.529052345525E1)); +#662=LINE('',#661,#660); +#663=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#664=VECTOR('',#663,1.162002338144E0); +#665=CARTESIAN_POINT('',(3.330975474227E0,-9.45E0,-2.529052345525E1)); +#666=LINE('',#665,#664); +#667=CARTESIAN_POINT('',(0.E0,-9.45E0,-2.29E1)); +#668=DIRECTION('',(0.E0,1.E0,0.E0)); +#669=DIRECTION('',(7.909781347206E-1,0.E0,-6.118444168200E-1)); +#670=AXIS2_PLACEMENT_3D('',#667,#668,#669); +#672=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#673=VECTOR('',#672,1.162002338144E0); +#674=CARTESIAN_POINT('',(3.212183188305E0,-9.45E0,-2.705263520728E1)); +#675=LINE('',#674,#673); +#676=DIRECTION('',(0.E0,-1.E0,0.E0)); +#677=VECTOR('',#676,3.3E0); +#678=CARTESIAN_POINT('',(2.390523455249E0,-6.15E0,-2.623097547423E1)); +#679=LINE('',#678,#677); +#680=DIRECTION('',(0.E0,1.E0,0.E0)); +#681=VECTOR('',#680,3.3E0); +#682=CARTESIAN_POINT('',(3.212183188305E0,-9.45E0,-2.705263520728E1)); +#683=LINE('',#682,#681); +#684=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#685=DIRECTION('',(0.E0,1.E0,0.E0)); +#686=DIRECTION('',(5.830545012803E-1,0.E0,-8.124330424945E-1)); +#687=AXIS2_PLACEMENT_3D('',#684,#685,#686); +#689=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#690=VECTOR('',#689,1.162002338144E0); +#691=CARTESIAN_POINT('',(3.212183188305E0,-6.15E0,-2.705263520728E1)); +#692=LINE('',#691,#690); +#693=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#694=DIRECTION('',(0.E0,-1.E0,0.E0)); +#695=DIRECTION('',(4.579694911067E-1,0.E0,-8.889679101157E-1)); +#696=AXIS2_PLACEMENT_3D('',#693,#694,#695); +#698=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811866E-1)); +#699=VECTOR('',#698,1.225332776115E0); +#700=CARTESIAN_POINT('',(1.537898713109E0,-6.15E0,-2.670064041291E1)); +#701=LINE('',#700,#699); +#702=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#703=DIRECTION('',(0.E0,1.E0,0.E0)); +#704=DIRECTION('',(9.269854665625E-1,0.E0,-3.750972470998E-1)); +#705=AXIS2_PLACEMENT_3D('',#702,#703,#704); +#707=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811866E-1)); +#708=VECTOR('',#707,1.225332776115E0); +#709=CARTESIAN_POINT('',(4.667081528107E0,-6.15E0,-2.530433982831E1)); +#710=LINE('',#709,#708); +#711=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#712=DIRECTION('',(0.E0,-1.E0,0.E0)); +#713=DIRECTION('',(7.909781347206E-1,0.E0,-6.118444168200E-1)); +#714=AXIS2_PLACEMENT_3D('',#711,#712,#713); +#716=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#717=VECTOR('',#716,1.162002338144E0); +#718=CARTESIAN_POINT('',(3.330975474227E0,-6.15E0,-2.529052345525E1)); +#719=LINE('',#718,#717); +#720=CARTESIAN_POINT('',(0.E0,-9.45E0,-2.29E1)); +#721=DIRECTION('',(0.E0,1.E0,0.E0)); +#722=DIRECTION('',(-5.830545012803E-1,0.E0,-8.124330424945E-1)); +#723=AXIS2_PLACEMENT_3D('',#720,#721,#722); +#725=DIRECTION('',(0.E0,-1.E0,0.E0)); +#726=VECTOR('',#725,7.6E-1); +#727=CARTESIAN_POINT('',(-3.800640412906E0,-5.39E0,-2.443789871311E1)); +#728=LINE('',#727,#726); +#729=DIRECTION('',(0.E0,1.E0,0.E0)); +#730=VECTOR('',#729,7.6E-1); +#731=CARTESIAN_POINT('',(-1.537898713109E0,-6.15E0,-2.670064041291E1)); +#732=LINE('',#731,#730); +#733=DIRECTION('',(0.E0,-1.E0,0.E0)); +#734=VECTOR('',#733,3.3E0); +#735=CARTESIAN_POINT('',(-3.212183188305E0,-6.15E0,-2.705263520728E1)); +#736=LINE('',#735,#734); +#737=DIRECTION('',(0.E0,1.E0,0.E0)); +#738=VECTOR('',#737,3.3E0); +#739=CARTESIAN_POINT('',(-2.390523455249E0,-9.45E0,-2.623097547423E1)); +#740=LINE('',#739,#738); +#741=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#742=VECTOR('',#741,1.162002338144E0); +#743=CARTESIAN_POINT('',(-2.390523455249E0,-9.45E0,-2.623097547423E1)); +#744=LINE('',#743,#742); +#745=CARTESIAN_POINT('',(0.E0,-9.45E0,-2.29E1)); +#746=DIRECTION('',(0.E0,1.E0,0.E0)); +#747=DIRECTION('',(-6.118444168200E-1,0.E0,-7.909781347206E-1)); +#748=AXIS2_PLACEMENT_3D('',#745,#746,#747); +#750=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#751=VECTOR('',#750,1.162002338144E0); +#752=CARTESIAN_POINT('',(-4.152635207283E0,-9.45E0,-2.611218318831E1)); +#753=LINE('',#752,#751); +#754=DIRECTION('',(0.E0,-1.E0,0.E0)); +#755=VECTOR('',#754,3.3E0); +#756=CARTESIAN_POINT('',(-3.330975474227E0,-6.15E0,-2.529052345525E1)); +#757=LINE('',#756,#755); +#758=DIRECTION('',(0.E0,1.E0,0.E0)); +#759=VECTOR('',#758,3.3E0); +#760=CARTESIAN_POINT('',(-4.152635207283E0,-9.45E0,-2.611218318831E1)); +#761=LINE('',#760,#759); +#762=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#763=DIRECTION('',(0.E0,1.E0,0.E0)); +#764=DIRECTION('',(-8.124330424945E-1,0.E0,-5.830545012803E-1)); +#765=AXIS2_PLACEMENT_3D('',#762,#763,#764); +#767=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#768=VECTOR('',#767,1.162002338144E0); +#769=CARTESIAN_POINT('',(-4.152635207283E0,-6.15E0,-2.611218318831E1)); +#770=LINE('',#769,#768); +#771=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#772=DIRECTION('',(0.E0,-1.E0,0.E0)); +#773=DIRECTION('',(-8.889679101157E-1,0.E0,-4.579694911067E-1)); +#774=AXIS2_PLACEMENT_3D('',#771,#772,#773); +#776=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#777=VECTOR('',#776,1.225332776115E0); +#778=CARTESIAN_POINT('',(-3.800640412906E0,-6.15E0,-2.443789871311E1)); +#779=LINE('',#778,#777); +#780=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#781=DIRECTION('',(0.E0,1.E0,0.E0)); +#782=DIRECTION('',(-3.750972470998E-1,0.E0,-9.269854665625E-1)); +#783=AXIS2_PLACEMENT_3D('',#780,#781,#782); +#785=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#786=VECTOR('',#785,1.225332776115E0); +#787=CARTESIAN_POINT('',(-2.404339828310E0,-6.15E0,-2.756708152811E1)); +#788=LINE('',#787,#786); +#789=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#790=DIRECTION('',(0.E0,-1.E0,0.E0)); +#791=DIRECTION('',(-6.118444168200E-1,0.E0,-7.909781347206E-1)); +#792=AXIS2_PLACEMENT_3D('',#789,#790,#791); +#794=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#795=VECTOR('',#794,1.162002338144E0); +#796=CARTESIAN_POINT('',(-2.390523455249E0,-6.15E0,-2.623097547423E1)); +#797=LINE('',#796,#795); +#798=DIRECTION('',(0.E0,-1.E0,0.E0)); +#799=VECTOR('',#798,3.3E0); +#800=CARTESIAN_POINT('',(-3.212183188305E0,-6.15E0,-1.874736479272E1)); +#801=LINE('',#800,#799); +#802=DIRECTION('',(0.E0,1.E0,0.E0)); +#803=VECTOR('',#802,3.3E0); +#804=CARTESIAN_POINT('',(-4.152635207283E0,-9.45E0,-1.968781681169E1)); +#805=LINE('',#804,#803); +#806=DIRECTION('',(0.E0,-1.E0,0.E0)); +#807=VECTOR('',#806,7.6E-1); +#808=CARTESIAN_POINT('',(-4.667081528107E0,-5.39E0,-2.049566017169E1)); +#809=LINE('',#808,#807); +#810=DIRECTION('',(0.E0,1.E0,0.E0)); +#811=VECTOR('',#810,7.6E-1); +#812=CARTESIAN_POINT('',(-2.404339828310E0,-6.15E0,-1.823291847189E1)); +#813=LINE('',#812,#811); +#814=DIRECTION('',(0.E0,-1.E0,0.E0)); +#815=VECTOR('',#814,3.3E0); +#816=CARTESIAN_POINT('',(-3.330975474227E0,-6.15E0,-2.050947654475E1)); +#817=LINE('',#816,#815); +#818=DIRECTION('',(0.E0,1.E0,0.E0)); +#819=VECTOR('',#818,3.3E0); +#820=CARTESIAN_POINT('',(-2.390523455249E0,-9.45E0,-1.956902452577E1)); +#821=LINE('',#820,#819); +#822=DIRECTION('',(0.E0,-1.E0,0.E0)); +#823=VECTOR('',#822,7.6E-1); +#824=CARTESIAN_POINT('',(-1.537898713109E0,-5.39E0,-1.909935958709E1)); +#825=LINE('',#824,#823); +#826=DIRECTION('',(0.E0,1.E0,0.E0)); +#827=VECTOR('',#826,7.6E-1); +#828=CARTESIAN_POINT('',(-3.800640412906E0,-6.15E0,-2.136210128689E1)); +#829=LINE('',#828,#827); +#830=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#831=VECTOR('',#830,1.162002338144E0); +#832=CARTESIAN_POINT('',(-4.152635207283E0,-9.45E0,-1.968781681169E1)); +#833=LINE('',#832,#831); +#834=CARTESIAN_POINT('',(0.E0,-9.45E0,-2.29E1)); +#835=DIRECTION('',(0.E0,1.E0,0.E0)); +#836=DIRECTION('',(-7.909781347206E-1,0.E0,6.118444168200E-1)); +#837=AXIS2_PLACEMENT_3D('',#834,#835,#836); +#839=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#840=VECTOR('',#839,1.162002338144E0); +#841=CARTESIAN_POINT('',(-3.212183188305E0,-9.45E0,-1.874736479272E1)); +#842=LINE('',#841,#840); +#843=CARTESIAN_POINT('',(0.E0,-9.45E0,-2.29E1)); +#844=DIRECTION('',(0.E0,-1.E0,0.E0)); +#845=DIRECTION('',(-5.830545012803E-1,0.E0,8.124330424945E-1)); +#846=AXIS2_PLACEMENT_3D('',#843,#844,#845); +#848=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#849=VECTOR('',#848,1.225332776115E0); +#850=CARTESIAN_POINT('',(-4.667081528107E0,-6.15E0,-2.049566017169E1)); +#851=LINE('',#850,#849); +#852=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#853=DIRECTION('',(0.E0,-1.E0,0.E0)); +#854=DIRECTION('',(-7.909781347206E-1,0.E0,6.118444168200E-1)); +#855=AXIS2_PLACEMENT_3D('',#852,#853,#854); +#857=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#858=VECTOR('',#857,1.162002338144E0); +#859=CARTESIAN_POINT('',(-3.330975474227E0,-6.15E0,-2.050947654475E1)); +#860=LINE('',#859,#858); +#861=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#862=DIRECTION('',(0.E0,1.E0,0.E0)); +#863=DIRECTION('',(-9.269854665625E-1,0.E0,3.750972470998E-1)); +#864=AXIS2_PLACEMENT_3D('',#861,#862,#863); +#866=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#867=DIRECTION('',(0.E0,1.E0,0.E0)); +#868=DIRECTION('',(-5.830545012803E-1,0.E0,8.124330424945E-1)); +#869=AXIS2_PLACEMENT_3D('',#866,#867,#868); +#871=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#872=VECTOR('',#871,1.162002338144E0); +#873=CARTESIAN_POINT('',(-3.212183188305E0,-6.15E0,-1.874736479272E1)); +#874=LINE('',#873,#872); +#875=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#876=DIRECTION('',(0.E0,-1.E0,0.E0)); +#877=DIRECTION('',(-4.579694911067E-1,0.E0,8.889679101157E-1)); +#878=AXIS2_PLACEMENT_3D('',#875,#876,#877); +#880=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#881=VECTOR('',#880,1.225332776115E0); +#882=CARTESIAN_POINT('',(-1.537898713109E0,-6.15E0,-1.909935958709E1)); +#883=LINE('',#882,#881); +#884=DIRECTION('',(0.E0,-1.E0,0.E0)); +#885=VECTOR('',#884,6.75E-1); +#886=CARTESIAN_POINT('',(0.E0,-4.825E0,-5.23E0)); +#887=LINE('',#886,#885); +#888=DIRECTION('',(0.E0,-1.E0,0.E0)); +#889=VECTOR('',#888,6.75E-1); +#890=CARTESIAN_POINT('',(0.E0,-4.825E0,-3.25E0)); +#891=LINE('',#890,#889); +#892=CARTESIAN_POINT('',(0.E0,-5.5E0,-4.24E0)); +#893=DIRECTION('',(0.E0,-1.E0,0.E0)); +#894=DIRECTION('',(0.E0,0.E0,1.E0)); +#895=AXIS2_PLACEMENT_3D('',#892,#893,#894); +#897=CARTESIAN_POINT('',(0.E0,-5.5E0,-4.24E0)); +#898=DIRECTION('',(0.E0,-1.E0,0.E0)); +#899=DIRECTION('',(0.E0,0.E0,-1.E0)); +#900=AXIS2_PLACEMENT_3D('',#897,#898,#899); +#902=DIRECTION('',(0.E0,1.E0,0.E0)); +#903=VECTOR('',#902,6.75E-1); +#904=CARTESIAN_POINT('',(0.E0,4.825E0,-3.25E0)); +#905=LINE('',#904,#903); +#906=DIRECTION('',(0.E0,1.E0,0.E0)); +#907=VECTOR('',#906,6.75E-1); +#908=CARTESIAN_POINT('',(0.E0,4.825E0,-5.23E0)); +#909=LINE('',#908,#907); +#910=CARTESIAN_POINT('',(0.E0,5.5E0,-4.24E0)); +#911=DIRECTION('',(0.E0,1.E0,0.E0)); +#912=DIRECTION('',(0.E0,0.E0,-1.E0)); +#913=AXIS2_PLACEMENT_3D('',#910,#911,#912); +#915=CARTESIAN_POINT('',(0.E0,5.5E0,-4.24E0)); +#916=DIRECTION('',(0.E0,1.E0,0.E0)); +#917=DIRECTION('',(0.E0,0.E0,1.E0)); +#918=AXIS2_PLACEMENT_3D('',#915,#916,#917); +#920=CARTESIAN_POINT('',(-4.825E0,-5.39E0,-1.823291847189E1)); +#921=CARTESIAN_POINT('',(-4.825E0,0.E0,-1.776135457549E1)); +#922=VERTEX_POINT('',#920); +#923=VERTEX_POINT('',#921); +#924=CARTESIAN_POINT('',(3.800640412906E0,-5.39E0,-2.136210128689E1)); +#925=CARTESIAN_POINT('',(1.537898713109E0,-5.39E0,-1.909935958709E1)); +#926=VERTEX_POINT('',#924); +#927=VERTEX_POINT('',#925); +#928=CARTESIAN_POINT('',(4.152635207283E0,-6.15E0,-1.968781681169E1)); +#929=CARTESIAN_POINT('',(3.330975474227E0,-6.15E0,-2.050947654475E1)); +#930=VERTEX_POINT('',#928); +#931=VERTEX_POINT('',#929); +#932=CARTESIAN_POINT('',(-4.667081528107E0,-6.15E0,-2.049566017169E1)); +#933=CARTESIAN_POINT('',(-3.800640412906E0,-6.15E0,-2.136210128689E1)); +#934=VERTEX_POINT('',#932); +#935=VERTEX_POINT('',#933); +#936=VERTEX_POINT('',#238); +#937=VERTEX_POINT('',#253); +#938=CARTESIAN_POINT('',(3.824974448094E0,0.E0,-8.65E0)); +#939=CARTESIAN_POINT('',(-3.824974448094E0,0.E0,-8.65E0)); +#940=VERTEX_POINT('',#938); +#941=VERTEX_POINT('',#939); +#942=CARTESIAN_POINT('',(-2.390523455249E0,-6.15E0,-1.956902452577E1)); +#943=CARTESIAN_POINT('',(-1.537898713109E0,-6.15E0,-1.909935958709E1)); +#944=VERTEX_POINT('',#942); +#945=VERTEX_POINT('',#943); +#946=CARTESIAN_POINT('',(3.800640412906E0,-5.39E0,-2.443789871311E1)); +#947=CARTESIAN_POINT('',(4.667081528107E0,-5.39E0,-2.530433982831E1)); +#948=VERTEX_POINT('',#946); +#949=VERTEX_POINT('',#947); +#950=VERTEX_POINT('',#276); +#951=VERTEX_POINT('',#291); +#952=CARTESIAN_POINT('',(-4.667081528107E0,-6.15E0,-2.530433982831E1)); +#953=CARTESIAN_POINT('',(-4.667081528107E0,-5.39E0,-2.530433982831E1)); +#954=VERTEX_POINT('',#952); +#955=VERTEX_POINT('',#953); +#956=CARTESIAN_POINT('',(-4.074948898799E0,0.E0,-8.403574072561E0)); +#957=VERTEX_POINT('',#956); +#958=CARTESIAN_POINT('',(-4.825E0,-5.39E0,-2.083073080533E1)); +#959=VERTEX_POINT('',#958); +#960=CARTESIAN_POINT('',(-2.404339828310E0,-6.15E0,-1.823291847189E1)); +#961=VERTEX_POINT('',#960); +#962=CARTESIAN_POINT('',(4.825E0,0.E0,-2.083073080533E1)); +#963=CARTESIAN_POINT('',(4.825E0,-5.39E0,-2.083073080533E1)); +#964=VERTEX_POINT('',#962); +#965=VERTEX_POINT('',#963); +#966=CARTESIAN_POINT('',(-3.212183188305E0,-6.15E0,-1.874736479272E1)); +#967=VERTEX_POINT('',#966); +#968=CARTESIAN_POINT('',(-4.187952236329E0,0.E0,-4.999999999998E-1)); +#969=VERTEX_POINT('',#968); +#970=CARTESIAN_POINT('',(1.537898713109E0,-6.15E0,-1.909935958709E1)); +#971=CARTESIAN_POINT('',(2.390523455249E0,-6.15E0,-1.956902452577E1)); +#972=VERTEX_POINT('',#970); +#973=VERTEX_POINT('',#971); +#974=CARTESIAN_POINT('',(4.667081528107E0,-5.39E0,-2.049566017169E1)); +#975=VERTEX_POINT('',#974); +#976=CARTESIAN_POINT('',(2.404339828310E0,-5.39E0,-1.823291847189E1)); +#977=CARTESIAN_POINT('',(2.404339828310E0,-6.15E0,-1.823291847189E1)); +#978=VERTEX_POINT('',#976); +#979=VERTEX_POINT('',#977); +#980=CARTESIAN_POINT('',(4.152635207283E0,-6.15E0,-2.611218318831E1)); +#981=CARTESIAN_POINT('',(4.667081528107E0,-6.15E0,-2.530433982831E1)); +#982=VERTEX_POINT('',#980); +#983=VERTEX_POINT('',#981); +#984=CARTESIAN_POINT('',(4.187952236329E0,0.E0,-4.999999999998E-1)); +#985=VERTEX_POINT('',#984); +#986=CARTESIAN_POINT('',(0.E0,4.456222218257E-1,-2.770437777817E1)); +#987=CARTESIAN_POINT('',(-4.804377778174E0,4.456222218257E-1,-2.29E1)); +#988=VERTEX_POINT('',#986); +#989=VERTEX_POINT('',#987); +#990=CARTESIAN_POINT('',(0.E0,5.5E0,-3.25E0)); +#991=VERTEX_POINT('',#990); +#992=CARTESIAN_POINT('',(-4.152635207283E0,-6.15E0,-2.611218318831E1)); +#993=CARTESIAN_POINT('',(-3.330975474227E0,-6.15E0,-2.529052345525E1)); +#994=VERTEX_POINT('',#992); +#995=VERTEX_POINT('',#993); +#996=CARTESIAN_POINT('',(4.074948898799E0,0.E0,-8.403574072561E0)); +#997=VERTEX_POINT('',#996); +#998=CARTESIAN_POINT('',(-3.330975474227E0,-6.15E0,-2.050947654475E1)); +#999=CARTESIAN_POINT('',(-4.152635207283E0,-6.15E0,-1.968781681169E1)); +#1000=VERTEX_POINT('',#998); +#1001=VERTEX_POINT('',#999); +#1002=CARTESIAN_POINT('',(0.E0,5.5E0,-5.23E0)); +#1003=VERTEX_POINT('',#1002); +#1004=CARTESIAN_POINT('',(3.800640412906E0,-6.15E0,-2.136210128689E1)); +#1005=VERTEX_POINT('',#1004); +#1006=CARTESIAN_POINT('',(3.212183188305E0,-6.15E0,-1.874736479272E1)); +#1007=VERTEX_POINT('',#1006); +#1008=VERTEX_POINT('',#432); +#1009=CARTESIAN_POINT('',(-3.800640412906E0,-6.15E0,-2.443789871311E1)); +#1010=VERTEX_POINT('',#1009); +#1011=CARTESIAN_POINT('',(-2.404339828310E0,-5.39E0,-1.823291847189E1)); +#1012=VERTEX_POINT('',#1011); +#1013=CARTESIAN_POINT('',(4.825E0,-1.014064539118E-14,-1.776135457549E1)); +#1014=CARTESIAN_POINT('',(4.825E0,0.E0,2.486899575160E-14)); +#1015=VERTEX_POINT('',#1013); +#1016=VERTEX_POINT('',#1014); +#1017=CARTESIAN_POINT('',(2.404339828310E0,-6.15E0,-2.756708152811E1)); +#1018=CARTESIAN_POINT('',(2.404339828310E0,-5.39E0,-2.756708152811E1)); +#1019=VERTEX_POINT('',#1017); +#1020=VERTEX_POINT('',#1018); +#1021=CARTESIAN_POINT('',(-4.667081528107E0,-5.39E0,-2.049566017169E1)); +#1022=VERTEX_POINT('',#1021); +#1023=CARTESIAN_POINT('',(-3.800000000001E0,0.E0,-8.65E0)); +#1024=CARTESIAN_POINT('',(3.800000000001E0,0.E0,-8.65E0)); +#1025=VERTEX_POINT('',#1023); +#1026=VERTEX_POINT('',#1024); +#1027=CARTESIAN_POINT('',(-3.800640412906E0,-5.39E0,-2.136210128689E1)); +#1028=VERTEX_POINT('',#1027); +#1029=CARTESIAN_POINT('',(1.537898713109E0,-5.39E0,-2.670064041291E1)); +#1030=VERTEX_POINT('',#1029); +#1031=CARTESIAN_POINT('',(-4.476627370924E0,0.E0,0.E0)); +#1032=VERTEX_POINT('',#1031); +#1033=CARTESIAN_POINT('',(4.476627370924E0,0.E0,0.E0)); +#1034=VERTEX_POINT('',#1033); +#1035=CARTESIAN_POINT('',(0.E0,0.E0,-2.815E1)); +#1036=VERTEX_POINT('',#1035); +#1037=CARTESIAN_POINT('',(3.800640412906E0,-6.15E0,-2.443789871311E1)); +#1038=CARTESIAN_POINT('',(3.330975474227E0,-6.15E0,-2.529052345525E1)); +#1039=VERTEX_POINT('',#1037); +#1040=VERTEX_POINT('',#1038); +#1041=CARTESIAN_POINT('',(-4.825E0,0.E0,-2.083073080533E1)); +#1042=VERTEX_POINT('',#1041); +#1043=CARTESIAN_POINT('',(0.E0,-5.5E0,-3.25E0)); +#1044=CARTESIAN_POINT('',(0.E0,-5.5E0,-5.23E0)); +#1045=VERTEX_POINT('',#1043); +#1046=VERTEX_POINT('',#1044); +#1047=CARTESIAN_POINT('',(1.537898713109E0,-6.15E0,-2.670064041291E1)); +#1048=VERTEX_POINT('',#1047); +#1049=CARTESIAN_POINT('',(4.825E0,-5.39E0,-1.823291847189E1)); +#1050=VERTEX_POINT('',#1049); +#1051=CARTESIAN_POINT('',(-1.537898713109E0,-6.15E0,-2.670064041291E1)); +#1052=CARTESIAN_POINT('',(-1.537898713109E0,-5.39E0,-2.670064041291E1)); +#1053=VERTEX_POINT('',#1051); +#1054=VERTEX_POINT('',#1052); +#1055=CARTESIAN_POINT('',(-3.800640412906E0,-5.39E0,-2.443789871311E1)); +#1056=VERTEX_POINT('',#1055); +#1057=CARTESIAN_POINT('',(-1.537898713109E0,-5.39E0,-1.909935958709E1)); +#1058=VERTEX_POINT('',#1057); +#1059=CARTESIAN_POINT('',(4.804377778174E0,4.456222218257E-1,-2.29E1)); +#1060=VERTEX_POINT('',#1059); +#1061=CARTESIAN_POINT('',(-4.825E0,0.E0,5.684341886081E-14)); +#1062=VERTEX_POINT('',#1061); +#1063=CARTESIAN_POINT('',(-2.35E0,0.E0,-3.37E0)); +#1064=CARTESIAN_POINT('',(2.35E0,0.E0,-3.37E0)); +#1065=VERTEX_POINT('',#1063); +#1066=VERTEX_POINT('',#1064); +#1067=CARTESIAN_POINT('',(-1.E0,0.E0,-3.37E0)); +#1068=CARTESIAN_POINT('',(1.E0,0.E0,-3.37E0)); +#1069=VERTEX_POINT('',#1067); +#1070=VERTEX_POINT('',#1068); +#1071=CARTESIAN_POINT('',(-2.390523455249E0,-6.15E0,-2.623097547423E1)); +#1072=CARTESIAN_POINT('',(-3.212183188305E0,-6.15E0,-2.705263520728E1)); +#1073=VERTEX_POINT('',#1071); +#1074=VERTEX_POINT('',#1072); +#1075=CARTESIAN_POINT('',(-2.404339828310E0,-6.15E0,-2.756708152811E1)); +#1076=VERTEX_POINT('',#1075); +#1077=CARTESIAN_POINT('',(3.212183188305E0,-6.15E0,-2.705263520728E1)); +#1078=CARTESIAN_POINT('',(2.390523455249E0,-6.15E0,-2.623097547423E1)); +#1079=VERTEX_POINT('',#1077); +#1080=VERTEX_POINT('',#1078); +#1081=CARTESIAN_POINT('',(-2.404339828310E0,-5.39E0,-2.756708152811E1)); +#1082=VERTEX_POINT('',#1081); +#1083=CARTESIAN_POINT('',(4.667081528107E0,-6.15E0,-2.049566017169E1)); +#1084=VERTEX_POINT('',#1083); +#1085=CARTESIAN_POINT('',(-2.390523455249E0,-9.45E0,-2.623097547423E1)); +#1086=CARTESIAN_POINT('',(-3.330975474227E0,-9.45E0,-2.529052345525E1)); +#1087=VERTEX_POINT('',#1085); +#1088=VERTEX_POINT('',#1086); +#1089=CARTESIAN_POINT('',(3.330975474227E0,-9.45E0,-2.050947654475E1)); +#1090=CARTESIAN_POINT('',(2.390523455249E0,-9.45E0,-1.956902452577E1)); +#1091=VERTEX_POINT('',#1089); +#1092=VERTEX_POINT('',#1090); +#1093=CARTESIAN_POINT('',(3.330975474227E0,-9.45E0,-2.529052345525E1)); +#1094=CARTESIAN_POINT('',(2.390523455249E0,-9.45E0,-2.623097547423E1)); +#1095=VERTEX_POINT('',#1093); +#1096=VERTEX_POINT('',#1094); +#1097=CARTESIAN_POINT('',(-2.390523455249E0,-9.45E0,-1.956902452577E1)); +#1098=CARTESIAN_POINT('',(-3.330975474227E0,-9.45E0,-2.050947654475E1)); +#1099=VERTEX_POINT('',#1097); +#1100=VERTEX_POINT('',#1098); +#1101=CARTESIAN_POINT('',(-3.212183188305E0,-9.45E0,-2.705263520728E1)); +#1102=VERTEX_POINT('',#1101); +#1103=CARTESIAN_POINT('',(4.152635207283E0,-9.45E0,-1.968781681169E1)); +#1104=VERTEX_POINT('',#1103); +#1105=CARTESIAN_POINT('',(-4.152635207283E0,-9.45E0,-2.611218318831E1)); +#1106=VERTEX_POINT('',#1105); +#1107=CARTESIAN_POINT('',(3.212183188305E0,-9.45E0,-1.874736479272E1)); +#1108=VERTEX_POINT('',#1107); +#1109=CARTESIAN_POINT('',(4.152635207283E0,-9.45E0,-2.611218318831E1)); +#1110=CARTESIAN_POINT('',(3.212183188305E0,-9.45E0,-2.705263520728E1)); +#1111=VERTEX_POINT('',#1109); +#1112=VERTEX_POINT('',#1110); +#1113=CARTESIAN_POINT('',(-4.152635207283E0,-9.45E0,-1.968781681169E1)); +#1114=CARTESIAN_POINT('',(-3.212183188305E0,-9.45E0,-1.874736479272E1)); +#1115=VERTEX_POINT('',#1113); +#1116=VERTEX_POINT('',#1114); +#1117=CARTESIAN_POINT('',(0.E0,-1.049E1,-2.2415E1)); +#1118=CARTESIAN_POINT('',(0.E0,-1.049E1,-2.3385E1)); +#1119=VERTEX_POINT('',#1117); +#1120=VERTEX_POINT('',#1118); +#1121=CARTESIAN_POINT('',(-1.E0,0.E0,-4.37E0)); +#1122=VERTEX_POINT('',#1121); +#1123=CARTESIAN_POINT('',(1.E0,0.E0,-4.37E0)); +#1124=VERTEX_POINT('',#1123); +#1125=CARTESIAN_POINT('',(3.191489361704E-3,8.499940084469E-1, +-3.420000000001E0)); +#1126=CARTESIAN_POINT('',(3.307803232302E-3,8.809720509542E-1,-4.37E0)); +#1127=VERTEX_POINT('',#1125); +#1128=VERTEX_POINT('',#1126); +#1129=CARTESIAN_POINT('',(-3.307803232302E-3,-8.809720509542E-1,-4.37E0)); +#1130=CARTESIAN_POINT('',(-3.191489361703E-3,-8.499940084469E-1, +-3.420000000001E0)); +#1131=VERTEX_POINT('',#1129); +#1132=VERTEX_POINT('',#1130); +#1133=CARTESIAN_POINT('',(1.914893617022E-2,5.246840142387E-1, +-3.920000000001E0)); +#1134=CARTESIAN_POINT('',(1.984932911742E-2,5.438748966885E-1,-4.37E0)); +#1135=VERTEX_POINT('',#1133); +#1136=VERTEX_POINT('',#1134); +#1137=CARTESIAN_POINT('',(-1.984932911742E-2,-5.438748966885E-1,-4.37E0)); +#1138=CARTESIAN_POINT('',(-1.914893617022E-2,-5.246840142387E-1, +-3.920000000001E0)); +#1139=VERTEX_POINT('',#1137); +#1140=VERTEX_POINT('',#1138); +#1141=CARTESIAN_POINT('',(2.967745202059E-2,8.131670877236E-1, +-3.420000000001E0)); +#1142=VERTEX_POINT('',#1141); +#1143=CARTESIAN_POINT('',(-2.967745202059E-2,-8.131670877236E-1, +-3.420000000001E0)); +#1144=VERTEX_POINT('',#1143); +#1145=CARTESIAN_POINT('',(-2.35E0,0.E0,-8.648225545967E0)); +#1146=CARTESIAN_POINT('',(2.35E0,0.E0,-8.648225545967E0)); +#1147=VERTEX_POINT('',#1145); +#1148=VERTEX_POINT('',#1146); +#1149=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.2415E1)); +#1150=VERTEX_POINT('',#1149); +#1151=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.3385E1)); +#1152=VERTEX_POINT('',#1151); +#1153=CARTESIAN_POINT('',(0.E0,0.E0,-2.8605E1)); +#1154=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1155=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1156=AXIS2_PLACEMENT_3D('',#1153,#1154,#1155); +#1157=CYLINDRICAL_SURFACE('',#1156,1.E0); +#1159=ORIENTED_EDGE('',*,*,#1158,.T.); +#1161=ORIENTED_EDGE('',*,*,#1160,.T.); +#1163=ORIENTED_EDGE('',*,*,#1162,.F.); +#1165=ORIENTED_EDGE('',*,*,#1164,.F.); +#1166=EDGE_LOOP('',(#1159,#1161,#1163,#1165)); +#1167=FACE_OUTER_BOUND('',#1166,.F.); +#1169=CARTESIAN_POINT('',(0.E0,0.E0,-2.8605E1)); +#1170=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1171=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1172=AXIS2_PLACEMENT_3D('',#1169,#1170,#1171); +#1173=CYLINDRICAL_SURFACE('',#1172,1.E0); +#1174=ORIENTED_EDGE('',*,*,#1158,.F.); +#1176=ORIENTED_EDGE('',*,*,#1175,.F.); +#1177=ORIENTED_EDGE('',*,*,#1162,.T.); +#1179=ORIENTED_EDGE('',*,*,#1178,.T.); +#1180=EDGE_LOOP('',(#1174,#1176,#1177,#1179)); +#1181=FACE_OUTER_BOUND('',#1180,.F.); +#1183=CARTESIAN_POINT('',(0.E0,0.E0,-4.37E0)); +#1184=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1185=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1186=AXIS2_PLACEMENT_3D('',#1183,#1184,#1185); +#1187=PLANE('',#1186); +#1189=ORIENTED_EDGE('',*,*,#1188,.F.); +#1191=ORIENTED_EDGE('',*,*,#1190,.F.); +#1192=EDGE_LOOP('',(#1189,#1191)); +#1193=FACE_OUTER_BOUND('',#1192,.F.); +#1195=CARTESIAN_POINT('',(0.E0,0.E0,-4.37E0)); +#1196=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1197=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1198=AXIS2_PLACEMENT_3D('',#1195,#1196,#1197); +#1199=PLANE('',#1198); +#1200=ORIENTED_EDGE('',*,*,#1164,.T.); +#1201=ORIENTED_EDGE('',*,*,#1175,.T.); +#1202=EDGE_LOOP('',(#1200,#1201)); +#1203=FACE_OUTER_BOUND('',#1202,.F.); +#1205=ORIENTED_EDGE('',*,*,#1204,.F.); +#1207=ORIENTED_EDGE('',*,*,#1206,.F.); +#1208=EDGE_LOOP('',(#1205,#1207)); +#1209=FACE_BOUND('',#1208,.F.); +#1211=CARTESIAN_POINT('',(0.E0,0.E0,-3.895000000001E0)); +#1212=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1213=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1214=AXIS2_PLACEMENT_3D('',#1211,#1212,#1213); +#1215=CONICAL_SURFACE('',#1214,8.654891304347E-1,1.867678839435E0); +#1217=ORIENTED_EDGE('',*,*,#1216,.F.); +#1219=ORIENTED_EDGE('',*,*,#1218,.T.); +#1220=ORIENTED_EDGE('',*,*,#1204,.T.); +#1222=ORIENTED_EDGE('',*,*,#1221,.T.); +#1223=EDGE_LOOP('',(#1217,#1219,#1220,#1222)); +#1224=FACE_OUTER_BOUND('',#1223,.F.); +#1226=CARTESIAN_POINT('',(0.E0,0.E0,-3.420000000001E0)); +#1227=DIRECTION('',(0.E0,0.E0,1.E0)); +#1228=DIRECTION('',(1.E0,0.E0,0.E0)); +#1229=AXIS2_PLACEMENT_3D('',#1226,#1227,#1228); +#1230=PLANE('',#1229); +#1231=ORIENTED_EDGE('',*,*,#1216,.T.); +#1233=ORIENTED_EDGE('',*,*,#1232,.F.); +#1234=EDGE_LOOP('',(#1231,#1233)); +#1235=FACE_OUTER_BOUND('',#1234,.F.); +#1237=ORIENTED_EDGE('',*,*,#1236,.F.); +#1239=ORIENTED_EDGE('',*,*,#1238,.T.); +#1240=EDGE_LOOP('',(#1237,#1239)); +#1241=FACE_BOUND('',#1240,.F.); +#1243=CARTESIAN_POINT('',(0.E0,0.E0,-3.895000000001E0)); +#1244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1245=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1246=AXIS2_PLACEMENT_3D('',#1243,#1244,#1245); +#1247=CONICAL_SURFACE('',#1246,8.654891304347E-1,1.867678839435E0); +#1248=ORIENTED_EDGE('',*,*,#1232,.T.); +#1249=ORIENTED_EDGE('',*,*,#1221,.F.); +#1250=ORIENTED_EDGE('',*,*,#1206,.T.); +#1251=ORIENTED_EDGE('',*,*,#1218,.F.); +#1252=EDGE_LOOP('',(#1248,#1249,#1250,#1251)); +#1253=FACE_OUTER_BOUND('',#1252,.F.); +#1255=CARTESIAN_POINT('',(0.E0,0.E0,-3.670000000001E0)); +#1256=DIRECTION('',(0.E0,0.E0,1.E0)); +#1257=DIRECTION('',(1.E0,0.E0,0.E0)); +#1258=AXIS2_PLACEMENT_3D('',#1255,#1256,#1257); +#1259=CONICAL_SURFACE('',#1258,6.693708962910E-1,3.E1); +#1261=ORIENTED_EDGE('',*,*,#1260,.T.); +#1263=ORIENTED_EDGE('',*,*,#1262,.F.); +#1264=ORIENTED_EDGE('',*,*,#1236,.T.); +#1266=ORIENTED_EDGE('',*,*,#1265,.F.); +#1267=EDGE_LOOP('',(#1261,#1263,#1264,#1266)); +#1268=FACE_OUTER_BOUND('',#1267,.F.); +#1270=CARTESIAN_POINT('',(0.E0,0.E0,-4.145000000001E0)); +#1271=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1272=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1273=AXIS2_PLACEMENT_3D('',#1270,#1271,#1272); +#1274=CONICAL_SURFACE('',#1273,5.346351585E-1,2.443603145767E0); +#1275=ORIENTED_EDGE('',*,*,#1188,.T.); +#1277=ORIENTED_EDGE('',*,*,#1276,.T.); +#1278=ORIENTED_EDGE('',*,*,#1260,.F.); +#1280=ORIENTED_EDGE('',*,*,#1279,.T.); +#1281=EDGE_LOOP('',(#1275,#1277,#1278,#1280)); +#1282=FACE_OUTER_BOUND('',#1281,.F.); +#1284=CARTESIAN_POINT('',(0.E0,0.E0,-4.145000000001E0)); +#1285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1286=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1287=AXIS2_PLACEMENT_3D('',#1284,#1285,#1286); +#1288=CONICAL_SURFACE('',#1287,5.346351585E-1,2.443603145767E0); +#1289=ORIENTED_EDGE('',*,*,#1190,.T.); +#1290=ORIENTED_EDGE('',*,*,#1279,.F.); +#1292=ORIENTED_EDGE('',*,*,#1291,.T.); +#1293=ORIENTED_EDGE('',*,*,#1276,.F.); +#1294=EDGE_LOOP('',(#1289,#1290,#1292,#1293)); +#1295=FACE_OUTER_BOUND('',#1294,.F.); +#1297=CARTESIAN_POINT('',(0.E0,0.E0,-3.670000000001E0)); +#1298=DIRECTION('',(0.E0,0.E0,1.E0)); +#1299=DIRECTION('',(1.E0,0.E0,0.E0)); +#1300=AXIS2_PLACEMENT_3D('',#1297,#1298,#1299); +#1301=CONICAL_SURFACE('',#1300,6.693708962910E-1,3.E1); +#1302=ORIENTED_EDGE('',*,*,#1265,.T.); +#1303=ORIENTED_EDGE('',*,*,#1238,.F.); +#1304=ORIENTED_EDGE('',*,*,#1262,.T.); +#1305=ORIENTED_EDGE('',*,*,#1291,.F.); +#1306=EDGE_LOOP('',(#1302,#1303,#1304,#1305)); +#1307=FACE_OUTER_BOUND('',#1306,.F.); +#1309=CARTESIAN_POINT('',(0.E0,0.E0,-3.37E0)); +#1310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1311=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1312=AXIS2_PLACEMENT_3D('',#1309,#1310,#1311); +#1313=PLANE('',#1312); +#1315=ORIENTED_EDGE('',*,*,#1314,.F.); +#1317=ORIENTED_EDGE('',*,*,#1316,.F.); +#1318=EDGE_LOOP('',(#1315,#1317)); +#1319=FACE_OUTER_BOUND('',#1318,.F.); +#1320=ORIENTED_EDGE('',*,*,#1160,.F.); +#1321=ORIENTED_EDGE('',*,*,#1178,.F.); +#1322=EDGE_LOOP('',(#1320,#1321)); +#1323=FACE_BOUND('',#1322,.F.); +#1325=CARTESIAN_POINT('',(0.E0,0.E0,-2.8605E1)); +#1326=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1327=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1328=AXIS2_PLACEMENT_3D('',#1325,#1326,#1327); +#1329=CYLINDRICAL_SURFACE('',#1328,2.35E0); +#1331=ORIENTED_EDGE('',*,*,#1330,.T.); +#1333=ORIENTED_EDGE('',*,*,#1332,.T.); +#1334=ORIENTED_EDGE('',*,*,#1314,.T.); +#1336=ORIENTED_EDGE('',*,*,#1335,.F.); +#1337=EDGE_LOOP('',(#1331,#1333,#1334,#1336)); +#1338=FACE_OUTER_BOUND('',#1337,.F.); +#1340=CARTESIAN_POINT('',(2.316420036682E0,-1.457367958275E-1, +-8.648190056886E0)); +#1341=CARTESIAN_POINT('',(2.319472340996E0,-9.722180802841E-2, +-8.648190056886E0)); +#1342=CARTESIAN_POINT('',(2.330609070785E0,2.552130124646E-1, +-8.648190056886E0)); +#1343=CARTESIAN_POINT('',(2.200174350395E0,9.113152615410E-1, +-8.648190056886E0)); +#1344=CARTESIAN_POINT('',(1.683915283901E0,1.683926295128E0,-8.648190056886E0)); +#1345=CARTESIAN_POINT('',(9.113335488037E-1,2.200148592750E0, +-8.648190056886E0)); +#1346=CARTESIAN_POINT('',(0.E0,2.381425703625E0,-8.648190056886E0)); +#1347=CARTESIAN_POINT('',(-9.113335488037E-1,2.200148592750E0, +-8.648190056886E0)); +#1348=CARTESIAN_POINT('',(-1.683915283901E0,1.683926295128E0, +-8.648190056886E0)); +#1349=CARTESIAN_POINT('',(-2.200174350395E0,9.113152615410E-1, +-8.648190056886E0)); +#1350=CARTESIAN_POINT('',(-2.330609070785E0,2.552130124646E-1, +-8.648190056886E0)); +#1351=CARTESIAN_POINT('',(-2.319472340996E0,-9.722180802841E-2, +-8.648190056886E0)); +#1352=CARTESIAN_POINT('',(-2.316420036682E0,-1.457367958275E-1, +-8.648190056886E0)); +#1353=CARTESIAN_POINT('',(2.818094805506E0,-1.772994969776E-1, +-8.648805200951E0)); +#1354=CARTESIAN_POINT('',(2.821808157487E0,-1.182774573903E-1, +-8.648805200951E0)); +#1355=CARTESIAN_POINT('',(2.835356805777E0,3.104853408859E-1, +-8.648805200951E0)); +#1356=CARTESIAN_POINT('',(2.676673405458E0,1.108681829745E0,-8.648805200951E0)); +#1357=CARTESIAN_POINT('',(2.048606400967E0,2.048619796932E0,-8.648805200951E0)); +#1358=CARTESIAN_POINT('',(1.108704077541E0,2.676642069393E0,-8.648805200951E0)); +#1359=CARTESIAN_POINT('',(0.E0,2.897178965304E0,-8.648805200951E0)); +#1360=CARTESIAN_POINT('',(-1.108704077541E0,2.676642069393E0, +-8.648805200951E0)); +#1361=CARTESIAN_POINT('',(-2.048606400967E0,2.048619796932E0, +-8.648805200951E0)); +#1362=CARTESIAN_POINT('',(-2.676673405458E0,1.108681829745E0, +-8.648805200951E0)); +#1363=CARTESIAN_POINT('',(-2.835356805777E0,3.104853408859E-1, +-8.648805200951E0)); +#1364=CARTESIAN_POINT('',(-2.821808157487E0,-1.182774573903E-1, +-8.648805200951E0)); +#1365=CARTESIAN_POINT('',(-2.818094805506E0,-1.772994969776E-1, +-8.648805200951E0)); +#1366=CARTESIAN_POINT('',(3.319769574330E0,-2.088621981277E-1, +-8.649420345016E0)); +#1367=CARTESIAN_POINT('',(3.324143973978E0,-1.393331067522E-1, +-8.649420345016E0)); +#1368=CARTESIAN_POINT('',(3.340104540769E0,3.657576693071E-1, +-8.649420345016E0)); +#1369=CARTESIAN_POINT('',(3.153172460520E0,1.306048397949E0,-8.649420345016E0)); +#1370=CARTESIAN_POINT('',(2.413297518032E0,2.413313298735E0,-8.649420345016E0)); +#1371=CARTESIAN_POINT('',(1.306074606278E0,3.153135546037E0,-8.649420345016E0)); +#1372=CARTESIAN_POINT('',(0.E0,3.412932226983E0,-8.649420345016E0)); +#1373=CARTESIAN_POINT('',(-1.306074606278E0,3.153135546037E0, +-8.649420345016E0)); +#1374=CARTESIAN_POINT('',(-2.413297518032E0,2.413313298735E0, +-8.649420345016E0)); +#1375=CARTESIAN_POINT('',(-3.153172460520E0,1.306048397949E0, +-8.649420345016E0)); +#1376=CARTESIAN_POINT('',(-3.340104540769E0,3.657576693071E-1, +-8.649420345016E0)); +#1377=CARTESIAN_POINT('',(-3.324143973978E0,-1.393331067522E-1, +-8.649420345016E0)); +#1378=CARTESIAN_POINT('',(-3.319769574330E0,-2.088621981277E-1, +-8.649420345016E0)); +#1379=CARTESIAN_POINT('',(3.821444343153E0,-2.404248992778E-1, +-8.650035489081E0)); +#1380=CARTESIAN_POINT('',(3.826479790469E0,-1.603887561142E-1, +-8.650035489081E0)); +#1381=CARTESIAN_POINT('',(3.844852275761E0,4.210299977283E-1, +-8.650035489081E0)); +#1382=CARTESIAN_POINT('',(3.629671515582E0,1.503414966153E0,-8.650035489081E0)); +#1383=CARTESIAN_POINT('',(2.777988635097E0,2.778006800538E0,-8.650035489081E0)); +#1384=CARTESIAN_POINT('',(1.503445135015E0,3.629629022681E0,-8.650035489081E0)); +#1385=CARTESIAN_POINT('',(0.E0,3.928685488662E0,-8.650035489081E0)); +#1386=CARTESIAN_POINT('',(-1.503445135015E0,3.629629022681E0, +-8.650035489081E0)); +#1387=CARTESIAN_POINT('',(-2.777988635097E0,2.778006800538E0, +-8.650035489081E0)); +#1388=CARTESIAN_POINT('',(-3.629671515582E0,1.503414966153E0, +-8.650035489081E0)); +#1389=CARTESIAN_POINT('',(-3.844852275761E0,4.210299977283E-1, +-8.650035489081E0)); +#1390=CARTESIAN_POINT('',(-3.826479790469E0,-1.603887561141E-1, +-8.650035489081E0)); +#1391=CARTESIAN_POINT('',(-3.821444343153E0,-2.404248992778E-1, +-8.650035489081E0)); +#1392=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#1340,#1341,#1342,#1343,#1344,#1345, +#1346,#1347,#1348,#1349,#1350,#1351,#1352),(#1353,#1354,#1355,#1356,#1357,#1358, +#1359,#1360,#1361,#1362,#1363,#1364,#1365),(#1366,#1367,#1368,#1369,#1370,#1371, +#1372,#1373,#1374,#1375,#1376,#1377,#1378),(#1379,#1380,#1381,#1382,#1383,#1384, +#1385,#1386,#1387,#1388,#1389,#1390,#1391)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4, +1,1,1,1,1,1,1,1,1,4),(6.107894736840E-1,1.007631578947E0),(-2.E-2,0.E0,1.25E-1, +2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0,1.02E0),.UNSPECIFIED.); +#1394=ORIENTED_EDGE('',*,*,#1393,.T.); +#1395=ORIENTED_EDGE('',*,*,#1330,.F.); +#1397=ORIENTED_EDGE('',*,*,#1396,.T.); +#1399=ORIENTED_EDGE('',*,*,#1398,.T.); +#1400=EDGE_LOOP('',(#1394,#1395,#1397,#1399)); +#1401=FACE_OUTER_BOUND('',#1400,.F.); +#1403=CARTESIAN_POINT('',(-2.316420036682E0,1.457367958275E-1, +-8.648190056886E0)); +#1404=CARTESIAN_POINT('',(-2.319472340996E0,9.722180802841E-2, +-8.648190056886E0)); +#1405=CARTESIAN_POINT('',(-2.330609070785E0,-2.552130124646E-1, +-8.648190056886E0)); +#1406=CARTESIAN_POINT('',(-2.200174350395E0,-9.113152615410E-1, +-8.648190056886E0)); +#1407=CARTESIAN_POINT('',(-1.683915283901E0,-1.683926295128E0, +-8.648190056886E0)); +#1408=CARTESIAN_POINT('',(-9.113335488037E-1,-2.200148592750E0, +-8.648190056886E0)); +#1409=CARTESIAN_POINT('',(0.E0,-2.381425703625E0,-8.648190056886E0)); +#1410=CARTESIAN_POINT('',(9.113335488037E-1,-2.200148592750E0, +-8.648190056886E0)); +#1411=CARTESIAN_POINT('',(1.683915283901E0,-1.683926295128E0, +-8.648190056886E0)); +#1412=CARTESIAN_POINT('',(2.200174350395E0,-9.113152615410E-1, +-8.648190056886E0)); +#1413=CARTESIAN_POINT('',(2.330609070785E0,-2.552130124646E-1, +-8.648190056886E0)); +#1414=CARTESIAN_POINT('',(2.319472340996E0,9.722180802841E-2, +-8.648190056886E0)); +#1415=CARTESIAN_POINT('',(2.316420036682E0,1.457367958275E-1, +-8.648190056886E0)); +#1416=CARTESIAN_POINT('',(-2.818094805506E0,1.772994969776E-1, +-8.648805200951E0)); +#1417=CARTESIAN_POINT('',(-2.821808157487E0,1.182774573903E-1, +-8.648805200951E0)); +#1418=CARTESIAN_POINT('',(-2.835356805777E0,-3.104853408859E-1, +-8.648805200951E0)); +#1419=CARTESIAN_POINT('',(-2.676673405458E0,-1.108681829745E0, +-8.648805200951E0)); +#1420=CARTESIAN_POINT('',(-2.048606400967E0,-2.048619796932E0, +-8.648805200951E0)); +#1421=CARTESIAN_POINT('',(-1.108704077541E0,-2.676642069393E0, +-8.648805200951E0)); +#1422=CARTESIAN_POINT('',(0.E0,-2.897178965304E0,-8.648805200951E0)); +#1423=CARTESIAN_POINT('',(1.108704077541E0,-2.676642069393E0, +-8.648805200951E0)); +#1424=CARTESIAN_POINT('',(2.048606400967E0,-2.048619796932E0, +-8.648805200951E0)); +#1425=CARTESIAN_POINT('',(2.676673405458E0,-1.108681829745E0, +-8.648805200951E0)); +#1426=CARTESIAN_POINT('',(2.835356805777E0,-3.104853408859E-1, +-8.648805200951E0)); +#1427=CARTESIAN_POINT('',(2.821808157487E0,1.182774573903E-1, +-8.648805200951E0)); +#1428=CARTESIAN_POINT('',(2.818094805506E0,1.772994969776E-1, +-8.648805200951E0)); +#1429=CARTESIAN_POINT('',(-3.319769574330E0,2.088621981277E-1, +-8.649420345016E0)); +#1430=CARTESIAN_POINT('',(-3.324143973978E0,1.393331067522E-1, +-8.649420345016E0)); +#1431=CARTESIAN_POINT('',(-3.340104540769E0,-3.657576693071E-1, +-8.649420345016E0)); +#1432=CARTESIAN_POINT('',(-3.153172460520E0,-1.306048397949E0, +-8.649420345016E0)); +#1433=CARTESIAN_POINT('',(-2.413297518032E0,-2.413313298735E0, +-8.649420345016E0)); +#1434=CARTESIAN_POINT('',(-1.306074606278E0,-3.153135546037E0, +-8.649420345016E0)); +#1435=CARTESIAN_POINT('',(0.E0,-3.412932226983E0,-8.649420345016E0)); +#1436=CARTESIAN_POINT('',(1.306074606278E0,-3.153135546037E0, +-8.649420345016E0)); +#1437=CARTESIAN_POINT('',(2.413297518032E0,-2.413313298735E0, +-8.649420345016E0)); +#1438=CARTESIAN_POINT('',(3.153172460520E0,-1.306048397949E0, +-8.649420345016E0)); +#1439=CARTESIAN_POINT('',(3.340104540769E0,-3.657576693071E-1, +-8.649420345016E0)); +#1440=CARTESIAN_POINT('',(3.324143973978E0,1.393331067522E-1, +-8.649420345016E0)); +#1441=CARTESIAN_POINT('',(3.319769574330E0,2.088621981277E-1, +-8.649420345016E0)); +#1442=CARTESIAN_POINT('',(-3.821444343153E0,2.404248992778E-1, +-8.650035489081E0)); +#1443=CARTESIAN_POINT('',(-3.826479790469E0,1.603887561142E-1, +-8.650035489081E0)); +#1444=CARTESIAN_POINT('',(-3.844852275761E0,-4.210299977283E-1, +-8.650035489081E0)); +#1445=CARTESIAN_POINT('',(-3.629671515582E0,-1.503414966153E0, +-8.650035489081E0)); +#1446=CARTESIAN_POINT('',(-2.777988635097E0,-2.778006800538E0, +-8.650035489081E0)); +#1447=CARTESIAN_POINT('',(-1.503445135015E0,-3.629629022681E0, +-8.650035489081E0)); +#1448=CARTESIAN_POINT('',(0.E0,-3.928685488662E0,-8.650035489081E0)); +#1449=CARTESIAN_POINT('',(1.503445135015E0,-3.629629022681E0, +-8.650035489081E0)); +#1450=CARTESIAN_POINT('',(2.777988635097E0,-2.778006800538E0, +-8.650035489081E0)); +#1451=CARTESIAN_POINT('',(3.629671515582E0,-1.503414966153E0, +-8.650035489081E0)); +#1452=CARTESIAN_POINT('',(3.844852275761E0,-4.210299977283E-1, +-8.650035489081E0)); +#1453=CARTESIAN_POINT('',(3.826479790469E0,1.603887561142E-1, +-8.650035489081E0)); +#1454=CARTESIAN_POINT('',(3.821444343153E0,2.404248992778E-1, +-8.650035489081E0)); +#1455=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#1403,#1404,#1405,#1406,#1407,#1408, +#1409,#1410,#1411,#1412,#1413,#1414,#1415),(#1416,#1417,#1418,#1419,#1420,#1421, +#1422,#1423,#1424,#1425,#1426,#1427,#1428),(#1429,#1430,#1431,#1432,#1433,#1434, +#1435,#1436,#1437,#1438,#1439,#1440,#1441),(#1442,#1443,#1444,#1445,#1446,#1447, +#1448,#1449,#1450,#1451,#1452,#1453,#1454)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4, +1,1,1,1,1,1,1,1,1,4),(6.107894736840E-1,1.007631578947E0),(-2.E-2,0.E0,1.25E-1, +2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0,1.02E0),.UNSPECIFIED.); +#1456=ORIENTED_EDGE('',*,*,#1396,.F.); +#1458=ORIENTED_EDGE('',*,*,#1457,.T.); +#1459=ORIENTED_EDGE('',*,*,#1393,.F.); +#1461=ORIENTED_EDGE('',*,*,#1460,.T.); +#1462=EDGE_LOOP('',(#1456,#1458,#1459,#1461)); +#1463=FACE_OUTER_BOUND('',#1462,.F.); +#1465=CARTESIAN_POINT('',(0.E0,0.E0,-2.8605E1)); +#1466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1467=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1468=AXIS2_PLACEMENT_3D('',#1465,#1466,#1467); +#1469=CYLINDRICAL_SURFACE('',#1468,2.35E0); +#1470=ORIENTED_EDGE('',*,*,#1457,.F.); +#1471=ORIENTED_EDGE('',*,*,#1335,.T.); +#1472=ORIENTED_EDGE('',*,*,#1316,.T.); +#1473=ORIENTED_EDGE('',*,*,#1332,.F.); +#1474=EDGE_LOOP('',(#1470,#1471,#1472,#1473)); +#1475=FACE_OUTER_BOUND('',#1474,.F.); +#1477=CARTESIAN_POINT('',(0.E0,0.E0,-8.65E0)); +#1478=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1479=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1480=AXIS2_PLACEMENT_3D('',#1477,#1478,#1479); +#1481=PLANE('',#1480); +#1483=ORIENTED_EDGE('',*,*,#1482,.F.); +#1485=ORIENTED_EDGE('',*,*,#1484,.F.); +#1486=EDGE_LOOP('',(#1483,#1485)); +#1487=FACE_OUTER_BOUND('',#1486,.F.); +#1488=ORIENTED_EDGE('',*,*,#1398,.F.); +#1489=ORIENTED_EDGE('',*,*,#1460,.F.); +#1490=EDGE_LOOP('',(#1488,#1489)); +#1491=FACE_BOUND('',#1490,.F.); +#1493=CARTESIAN_POINT('',(0.E0,0.E0,-8.4E0)); +#1494=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1495=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1496=AXIS2_PLACEMENT_3D('',#1493,#1494,#1495); +#1497=TOROIDAL_SURFACE('',#1496,3.824974448094E0,2.5E-1); +#1499=ORIENTED_EDGE('',*,*,#1498,.F.); +#1500=ORIENTED_EDGE('',*,*,#1482,.T.); +#1502=ORIENTED_EDGE('',*,*,#1501,.T.); +#1504=ORIENTED_EDGE('',*,*,#1503,.F.); +#1505=EDGE_LOOP('',(#1499,#1500,#1502,#1504)); +#1506=FACE_OUTER_BOUND('',#1505,.F.); +#1508=CARTESIAN_POINT('',(0.E0,0.E0,-8.4E0)); +#1509=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1510=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1511=AXIS2_PLACEMENT_3D('',#1508,#1509,#1510); +#1512=TOROIDAL_SURFACE('',#1511,3.824974448094E0,2.5E-1); +#1514=ORIENTED_EDGE('',*,*,#1513,.F.); +#1515=ORIENTED_EDGE('',*,*,#1501,.F.); +#1516=ORIENTED_EDGE('',*,*,#1484,.T.); +#1517=ORIENTED_EDGE('',*,*,#1498,.T.); +#1518=EDGE_LOOP('',(#1514,#1515,#1516,#1517)); +#1519=FACE_OUTER_BOUND('',#1518,.F.); +#1521=CARTESIAN_POINT('',(0.E0,0.E0,-4.451787036280E0)); +#1522=DIRECTION('',(0.E0,0.E0,1.E0)); +#1523=DIRECTION('',(1.E0,0.E0,0.E0)); +#1524=AXIS2_PLACEMENT_3D('',#1521,#1522,#1523); +#1525=CONICAL_SURFACE('',#1524,4.131450567564E0,8.191449986469E-1); +#1526=ORIENTED_EDGE('',*,*,#1513,.T.); +#1528=ORIENTED_EDGE('',*,*,#1527,.F.); +#1530=ORIENTED_EDGE('',*,*,#1529,.F.); +#1532=ORIENTED_EDGE('',*,*,#1531,.T.); +#1533=EDGE_LOOP('',(#1526,#1528,#1530,#1532)); +#1534=FACE_OUTER_BOUND('',#1533,.F.); +#1536=CARTESIAN_POINT('',(0.E0,0.E0,-4.451787036280E0)); +#1537=DIRECTION('',(0.E0,0.E0,1.E0)); +#1538=DIRECTION('',(1.E0,0.E0,0.E0)); +#1539=AXIS2_PLACEMENT_3D('',#1536,#1537,#1538); +#1540=CONICAL_SURFACE('',#1539,4.131450567564E0,8.191449986469E-1); +#1541=ORIENTED_EDGE('',*,*,#1531,.F.); +#1543=ORIENTED_EDGE('',*,*,#1542,.F.); +#1544=ORIENTED_EDGE('',*,*,#1527,.T.); +#1545=ORIENTED_EDGE('',*,*,#1503,.T.); +#1546=EDGE_LOOP('',(#1541,#1543,#1544,#1545)); +#1547=FACE_OUTER_BOUND('',#1546,.F.); +#1549=CARTESIAN_POINT('',(0.E0,0.E0,-2.5E-1)); +#1550=DIRECTION('',(0.E0,0.E0,1.E0)); +#1551=DIRECTION('',(1.E0,0.E0,0.E0)); +#1552=AXIS2_PLACEMENT_3D('',#1549,#1550,#1551); +#1553=CONICAL_SURFACE('',#1552,4.332289803627E0,3.E1); +#1555=ORIENTED_EDGE('',*,*,#1554,.F.); +#1557=ORIENTED_EDGE('',*,*,#1556,.T.); +#1559=ORIENTED_EDGE('',*,*,#1558,.T.); +#1560=ORIENTED_EDGE('',*,*,#1542,.T.); +#1561=EDGE_LOOP('',(#1555,#1557,#1559,#1560)); +#1562=FACE_OUTER_BOUND('',#1561,.F.); +#1564=CARTESIAN_POINT('',(0.E0,0.E0,-2.5E-1)); +#1565=DIRECTION('',(0.E0,0.E0,1.E0)); +#1566=DIRECTION('',(1.E0,0.E0,0.E0)); +#1567=AXIS2_PLACEMENT_3D('',#1564,#1565,#1566); +#1568=CONICAL_SURFACE('',#1567,4.332289803627E0,3.E1); +#1569=ORIENTED_EDGE('',*,*,#1529,.T.); +#1570=ORIENTED_EDGE('',*,*,#1558,.F.); +#1572=ORIENTED_EDGE('',*,*,#1571,.T.); +#1573=ORIENTED_EDGE('',*,*,#1554,.T.); +#1574=EDGE_LOOP('',(#1569,#1570,#1572,#1573)); +#1575=FACE_OUTER_BOUND('',#1574,.F.); +#1577=CARTESIAN_POINT('',(0.E0,-1.140306784631E-12,0.E0)); +#1578=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1579=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1580=AXIS2_PLACEMENT_3D('',#1577,#1578,#1579); +#1581=PLANE('',#1580); +#1583=ORIENTED_EDGE('',*,*,#1582,.F.); +#1585=ORIENTED_EDGE('',*,*,#1584,.F.); +#1586=EDGE_LOOP('',(#1583,#1585)); +#1587=FACE_OUTER_BOUND('',#1586,.F.); +#1588=ORIENTED_EDGE('',*,*,#1571,.F.); +#1589=ORIENTED_EDGE('',*,*,#1556,.F.); +#1590=EDGE_LOOP('',(#1588,#1589)); +#1591=FACE_BOUND('',#1590,.F.); +#1593=CARTESIAN_POINT('',(0.E0,0.E0,-2.8605E1)); +#1594=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1596=AXIS2_PLACEMENT_3D('',#1593,#1594,#1595); +#1597=CYLINDRICAL_SURFACE('',#1596,4.825E0); +#1598=ORIENTED_EDGE('',*,*,#1582,.T.); +#1600=ORIENTED_EDGE('',*,*,#1599,.F.); +#1602=ORIENTED_EDGE('',*,*,#1601,.F.); +#1604=ORIENTED_EDGE('',*,*,#1603,.T.); +#1606=ORIENTED_EDGE('',*,*,#1605,.T.); +#1608=ORIENTED_EDGE('',*,*,#1607,.T.); +#1610=ORIENTED_EDGE('',*,*,#1609,.T.); +#1612=ORIENTED_EDGE('',*,*,#1611,.F.); +#1614=ORIENTED_EDGE('',*,*,#1613,.T.); +#1615=EDGE_LOOP('',(#1598,#1600,#1602,#1604,#1606,#1608,#1610,#1612,#1614)); +#1616=FACE_OUTER_BOUND('',#1615,.F.); +#1618=ORIENTED_EDGE('',*,*,#1617,.F.); +#1620=ORIENTED_EDGE('',*,*,#1619,.F.); +#1621=EDGE_LOOP('',(#1618,#1620)); +#1622=FACE_BOUND('',#1621,.F.); +#1624=CARTESIAN_POINT('',(0.E0,0.E0,-2.8605E1)); +#1625=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1626=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1627=AXIS2_PLACEMENT_3D('',#1624,#1625,#1626); +#1628=CYLINDRICAL_SURFACE('',#1627,4.825E0); +#1629=ORIENTED_EDGE('',*,*,#1613,.F.); +#1631=ORIENTED_EDGE('',*,*,#1630,.T.); +#1632=ORIENTED_EDGE('',*,*,#1599,.T.); +#1633=ORIENTED_EDGE('',*,*,#1584,.T.); +#1634=EDGE_LOOP('',(#1629,#1631,#1632,#1633)); +#1635=FACE_OUTER_BOUND('',#1634,.F.); +#1637=ORIENTED_EDGE('',*,*,#1636,.F.); +#1639=ORIENTED_EDGE('',*,*,#1638,.F.); +#1640=EDGE_LOOP('',(#1637,#1639)); +#1641=FACE_BOUND('',#1640,.F.); +#1643=CARTESIAN_POINT('',(1.023558892436E1,-5.39E0,-1.823291847189E1)); +#1644=DIRECTION('',(0.E0,8.715574274765E-2,-9.961946980917E-1)); +#1645=DIRECTION('',(0.E0,9.961946980917E-1,8.715574274765E-2)); +#1646=AXIS2_PLACEMENT_3D('',#1643,#1644,#1645); +#1647=PLANE('',#1646); +#1648=ORIENTED_EDGE('',*,*,#1630,.F.); +#1650=ORIENTED_EDGE('',*,*,#1649,.T.); +#1652=ORIENTED_EDGE('',*,*,#1651,.F.); +#1654=ORIENTED_EDGE('',*,*,#1653,.T.); +#1656=ORIENTED_EDGE('',*,*,#1655,.F.); +#1658=ORIENTED_EDGE('',*,*,#1657,.T.); +#1659=EDGE_LOOP('',(#1648,#1650,#1652,#1654,#1656,#1658)); +#1660=FACE_OUTER_BOUND('',#1659,.F.); +#1662=CARTESIAN_POINT('',(4.825E0,3.550806188259E0,-1.823291847189E1)); +#1663=DIRECTION('',(1.E0,0.E0,0.E0)); +#1664=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1665=AXIS2_PLACEMENT_3D('',#1662,#1663,#1664); +#1666=PLANE('',#1665); +#1668=ORIENTED_EDGE('',*,*,#1667,.T.); +#1670=ORIENTED_EDGE('',*,*,#1669,.F.); +#1671=ORIENTED_EDGE('',*,*,#1649,.F.); +#1672=ORIENTED_EDGE('',*,*,#1611,.T.); +#1673=EDGE_LOOP('',(#1668,#1670,#1671,#1672)); +#1674=FACE_OUTER_BOUND('',#1673,.F.); +#1676=CARTESIAN_POINT('',(0.E0,-1.049E1,-2.29E1)); +#1677=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1678=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1679=AXIS2_PLACEMENT_3D('',#1676,#1677,#1678); +#1680=CYLINDRICAL_SURFACE('',#1679,5.25E0); +#1682=ORIENTED_EDGE('',*,*,#1681,.T.); +#1684=ORIENTED_EDGE('',*,*,#1683,.T.); +#1686=ORIENTED_EDGE('',*,*,#1685,.F.); +#1688=ORIENTED_EDGE('',*,*,#1687,.T.); +#1690=ORIENTED_EDGE('',*,*,#1689,.F.); +#1692=ORIENTED_EDGE('',*,*,#1691,.T.); +#1694=ORIENTED_EDGE('',*,*,#1693,.F.); +#1696=ORIENTED_EDGE('',*,*,#1695,.F.); +#1698=ORIENTED_EDGE('',*,*,#1697,.F.); +#1700=ORIENTED_EDGE('',*,*,#1699,.T.); +#1702=ORIENTED_EDGE('',*,*,#1701,.F.); +#1704=ORIENTED_EDGE('',*,*,#1703,.T.); +#1706=ORIENTED_EDGE('',*,*,#1705,.F.); +#1708=ORIENTED_EDGE('',*,*,#1707,.T.); +#1710=ORIENTED_EDGE('',*,*,#1709,.F.); +#1712=ORIENTED_EDGE('',*,*,#1711,.F.); +#1714=ORIENTED_EDGE('',*,*,#1713,.F.); +#1716=ORIENTED_EDGE('',*,*,#1715,.T.); +#1718=ORIENTED_EDGE('',*,*,#1717,.F.); +#1720=ORIENTED_EDGE('',*,*,#1719,.T.); +#1721=ORIENTED_EDGE('',*,*,#1667,.F.); +#1722=EDGE_LOOP('',(#1682,#1684,#1686,#1688,#1690,#1692,#1694,#1696,#1698,#1700, +#1702,#1704,#1706,#1708,#1710,#1712,#1714,#1716,#1718,#1720,#1721)); +#1723=FACE_OUTER_BOUND('',#1722,.F.); +#1725=CARTESIAN_POINT('',(0.E0,2.228111109128E-1,-2.29E1)); +#1726=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1727=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1728=AXIS2_PLACEMENT_3D('',#1725,#1726,#1727); +#1729=CONICAL_SURFACE('',#1728,5.027188889087E0,4.5E1); +#1731=ORIENTED_EDGE('',*,*,#1730,.F.); +#1732=ORIENTED_EDGE('',*,*,#1681,.F.); +#1733=ORIENTED_EDGE('',*,*,#1609,.F.); +#1735=ORIENTED_EDGE('',*,*,#1734,.T.); +#1736=EDGE_LOOP('',(#1731,#1732,#1733,#1735)); +#1737=FACE_OUTER_BOUND('',#1736,.F.); +#1739=CARTESIAN_POINT('',(0.E0,2.228111109128E-1,-2.29E1)); +#1740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1742=AXIS2_PLACEMENT_3D('',#1739,#1740,#1741); +#1743=CONICAL_SURFACE('',#1742,5.027188889087E0,4.5E1); +#1745=ORIENTED_EDGE('',*,*,#1744,.T.); +#1746=ORIENTED_EDGE('',*,*,#1603,.F.); +#1747=ORIENTED_EDGE('',*,*,#1683,.F.); +#1748=ORIENTED_EDGE('',*,*,#1730,.T.); +#1749=EDGE_LOOP('',(#1745,#1746,#1747,#1748)); +#1750=FACE_OUTER_BOUND('',#1749,.F.); +#1752=CARTESIAN_POINT('',(0.E0,0.E0,-2.29E1)); +#1753=DIRECTION('',(-9.957259643885E-1,9.235693716594E-2,0.E0)); +#1754=DIRECTION('',(-9.235693716594E-2,-9.957259643885E-1,0.E0)); +#1755=AXIS2_PLACEMENT_3D('',#1752,#1753,#1754); +#1756=SPHERICAL_SURFACE('',#1755,4.825E0); +#1757=ORIENTED_EDGE('',*,*,#1605,.F.); +#1758=ORIENTED_EDGE('',*,*,#1744,.F.); +#1760=ORIENTED_EDGE('',*,*,#1759,.T.); +#1761=EDGE_LOOP('',(#1757,#1758,#1760)); +#1762=FACE_OUTER_BOUND('',#1761,.F.); +#1764=CARTESIAN_POINT('',(0.E0,0.E0,-2.29E1)); +#1765=DIRECTION('',(9.957259643885E-1,9.235693716594E-2,0.E0)); +#1766=DIRECTION('',(-9.235693716594E-2,9.957259643885E-1,0.E0)); +#1767=AXIS2_PLACEMENT_3D('',#1764,#1765,#1766); +#1768=SPHERICAL_SURFACE('',#1767,4.825E0); +#1769=ORIENTED_EDGE('',*,*,#1759,.F.); +#1770=ORIENTED_EDGE('',*,*,#1734,.F.); +#1771=ORIENTED_EDGE('',*,*,#1607,.F.); +#1772=EDGE_LOOP('',(#1769,#1770,#1771)); +#1773=FACE_OUTER_BOUND('',#1772,.F.); +#1775=CARTESIAN_POINT('',(-4.825E0,3.550806188259E0,-1.823291847189E1)); +#1776=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1777=DIRECTION('',(0.E0,0.E0,1.E0)); +#1778=AXIS2_PLACEMENT_3D('',#1775,#1776,#1777); +#1779=PLANE('',#1778); +#1781=ORIENTED_EDGE('',*,*,#1780,.F.); +#1782=ORIENTED_EDGE('',*,*,#1685,.T.); +#1783=ORIENTED_EDGE('',*,*,#1601,.T.); +#1784=ORIENTED_EDGE('',*,*,#1657,.F.); +#1785=EDGE_LOOP('',(#1781,#1782,#1783,#1784)); +#1786=FACE_OUTER_BOUND('',#1785,.F.); +#1788=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#1789=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1790=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1791=AXIS2_PLACEMENT_3D('',#1788,#1789,#1790); +#1792=PLANE('',#1791); +#1793=ORIENTED_EDGE('',*,*,#1653,.F.); +#1795=ORIENTED_EDGE('',*,*,#1794,.F.); +#1797=ORIENTED_EDGE('',*,*,#1796,.F.); +#1799=ORIENTED_EDGE('',*,*,#1798,.F.); +#1801=ORIENTED_EDGE('',*,*,#1800,.F.); +#1802=ORIENTED_EDGE('',*,*,#1651,.T.); +#1803=ORIENTED_EDGE('',*,*,#1669,.T.); +#1804=ORIENTED_EDGE('',*,*,#1719,.F.); +#1806=ORIENTED_EDGE('',*,*,#1805,.F.); +#1808=ORIENTED_EDGE('',*,*,#1807,.F.); +#1810=ORIENTED_EDGE('',*,*,#1809,.F.); +#1811=ORIENTED_EDGE('',*,*,#1703,.F.); +#1813=ORIENTED_EDGE('',*,*,#1812,.F.); +#1815=ORIENTED_EDGE('',*,*,#1814,.F.); +#1817=ORIENTED_EDGE('',*,*,#1816,.F.); +#1818=ORIENTED_EDGE('',*,*,#1687,.F.); +#1819=ORIENTED_EDGE('',*,*,#1780,.T.); +#1820=ORIENTED_EDGE('',*,*,#1655,.T.); +#1822=ORIENTED_EDGE('',*,*,#1821,.F.); +#1824=ORIENTED_EDGE('',*,*,#1823,.F.); +#1826=ORIENTED_EDGE('',*,*,#1825,.F.); +#1828=ORIENTED_EDGE('',*,*,#1827,.F.); +#1829=EDGE_LOOP('',(#1793,#1795,#1797,#1799,#1801,#1802,#1803,#1804,#1806,#1808, +#1810,#1811,#1813,#1815,#1817,#1818,#1819,#1820,#1822,#1824,#1826,#1828)); +#1830=FACE_OUTER_BOUND('',#1829,.F.); +#1832=ORIENTED_EDGE('',*,*,#1831,.T.); +#1834=ORIENTED_EDGE('',*,*,#1833,.T.); +#1835=EDGE_LOOP('',(#1832,#1834)); +#1836=FACE_BOUND('',#1835,.F.); +#1838=CARTESIAN_POINT('',(0.E0,-1.049E1,-2.29E1)); +#1839=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1840=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1841=AXIS2_PLACEMENT_3D('',#1838,#1839,#1840); +#1842=CYLINDRICAL_SURFACE('',#1841,4.85E-1); +#1843=ORIENTED_EDGE('',*,*,#1831,.F.); +#1845=ORIENTED_EDGE('',*,*,#1844,.F.); +#1847=ORIENTED_EDGE('',*,*,#1846,.T.); +#1849=ORIENTED_EDGE('',*,*,#1848,.F.); +#1850=EDGE_LOOP('',(#1843,#1845,#1847,#1849)); +#1851=FACE_OUTER_BOUND('',#1850,.F.); +#1853=CARTESIAN_POINT('',(0.E0,-1.049E1,-2.29E1)); +#1854=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1856=AXIS2_PLACEMENT_3D('',#1853,#1854,#1855); +#1857=CYLINDRICAL_SURFACE('',#1856,4.85E-1); +#1858=ORIENTED_EDGE('',*,*,#1833,.F.); +#1859=ORIENTED_EDGE('',*,*,#1848,.T.); +#1861=ORIENTED_EDGE('',*,*,#1860,.T.); +#1862=ORIENTED_EDGE('',*,*,#1844,.T.); +#1863=EDGE_LOOP('',(#1858,#1859,#1861,#1862)); +#1864=FACE_OUTER_BOUND('',#1863,.F.); +#1866=CARTESIAN_POINT('',(0.E0,-1.049E1,-2.3185E1)); +#1867=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1868=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1869=AXIS2_PLACEMENT_3D('',#1866,#1867,#1868); +#1870=PLANE('',#1869); +#1871=ORIENTED_EDGE('',*,*,#1846,.F.); +#1872=ORIENTED_EDGE('',*,*,#1860,.F.); +#1873=EDGE_LOOP('',(#1871,#1872)); +#1874=FACE_OUTER_BOUND('',#1873,.F.); +#1876=CARTESIAN_POINT('',(-1.131370849898E0,-5.39E0,-2.176862915010E1)); +#1877=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#1878=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#1879=AXIS2_PLACEMENT_3D('',#1876,#1877,#1878); +#1880=PLANE('',#1879); +#1882=ORIENTED_EDGE('',*,*,#1881,.T.); +#1884=ORIENTED_EDGE('',*,*,#1883,.T.); +#1885=ORIENTED_EDGE('',*,*,#1794,.T.); +#1887=ORIENTED_EDGE('',*,*,#1886,.T.); +#1888=EDGE_LOOP('',(#1882,#1884,#1885,#1887)); +#1889=FACE_OUTER_BOUND('',#1888,.F.); +#1891=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#1892=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1894=AXIS2_PLACEMENT_3D('',#1891,#1892,#1893); +#1895=PLANE('',#1894); +#1896=ORIENTED_EDGE('',*,*,#1881,.F.); +#1898=ORIENTED_EDGE('',*,*,#1897,.F.); +#1900=ORIENTED_EDGE('',*,*,#1899,.F.); +#1902=ORIENTED_EDGE('',*,*,#1901,.F.); +#1903=EDGE_LOOP('',(#1896,#1898,#1900,#1902)); +#1904=FACE_OUTER_BOUND('',#1903,.F.); +#1906=CARTESIAN_POINT('',(0.E0,-1.049E1,-2.29E1)); +#1907=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1908=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1909=AXIS2_PLACEMENT_3D('',#1906,#1907,#1908); +#1910=CYLINDRICAL_SURFACE('',#1909,5.25E0); +#1912=ORIENTED_EDGE('',*,*,#1911,.T.); +#1914=ORIENTED_EDGE('',*,*,#1913,.T.); +#1916=ORIENTED_EDGE('',*,*,#1915,.T.); +#1917=ORIENTED_EDGE('',*,*,#1897,.T.); +#1918=ORIENTED_EDGE('',*,*,#1886,.F.); +#1919=ORIENTED_EDGE('',*,*,#1800,.T.); +#1921=ORIENTED_EDGE('',*,*,#1920,.F.); +#1923=ORIENTED_EDGE('',*,*,#1922,.T.); +#1924=EDGE_LOOP('',(#1912,#1914,#1916,#1917,#1918,#1919,#1921,#1923)); +#1925=FACE_OUTER_BOUND('',#1924,.F.); +#1927=CARTESIAN_POINT('',(4.702260094891E-1,-6.15E0,-2.337022600949E1)); +#1928=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#1929=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#1930=AXIS2_PLACEMENT_3D('',#1927,#1928,#1929); +#1931=PLANE('',#1930); +#1933=ORIENTED_EDGE('',*,*,#1932,.F.); +#1935=ORIENTED_EDGE('',*,*,#1934,.F.); +#1936=ORIENTED_EDGE('',*,*,#1911,.F.); +#1938=ORIENTED_EDGE('',*,*,#1937,.T.); +#1939=EDGE_LOOP('',(#1933,#1935,#1936,#1938)); +#1940=FACE_OUTER_BOUND('',#1939,.F.); +#1942=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#1943=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1944=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1945=AXIS2_PLACEMENT_3D('',#1942,#1943,#1944); +#1946=CYLINDRICAL_SURFACE('',#1945,4.1E0); +#1948=ORIENTED_EDGE('',*,*,#1947,.T.); +#1950=ORIENTED_EDGE('',*,*,#1949,.F.); +#1951=ORIENTED_EDGE('',*,*,#1932,.T.); +#1953=ORIENTED_EDGE('',*,*,#1952,.T.); +#1955=ORIENTED_EDGE('',*,*,#1954,.F.); +#1956=ORIENTED_EDGE('',*,*,#1796,.T.); +#1957=ORIENTED_EDGE('',*,*,#1883,.F.); +#1958=ORIENTED_EDGE('',*,*,#1901,.T.); +#1959=EDGE_LOOP('',(#1948,#1950,#1951,#1953,#1955,#1956,#1957,#1958)); +#1960=FACE_OUTER_BOUND('',#1959,.F.); +#1962=CARTESIAN_POINT('',(-4.702260094891E-1,-6.15E0,-2.242977399051E1)); +#1963=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#1964=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#1965=AXIS2_PLACEMENT_3D('',#1962,#1963,#1964); +#1966=PLANE('',#1965); +#1967=ORIENTED_EDGE('',*,*,#1915,.F.); +#1969=ORIENTED_EDGE('',*,*,#1968,.F.); +#1970=ORIENTED_EDGE('',*,*,#1947,.F.); +#1971=ORIENTED_EDGE('',*,*,#1899,.T.); +#1972=EDGE_LOOP('',(#1967,#1969,#1970,#1971)); +#1973=FACE_OUTER_BOUND('',#1972,.F.); +#1975=CARTESIAN_POINT('',(0.E0,-9.45E0,-2.815E1)); +#1976=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1978=AXIS2_PLACEMENT_3D('',#1975,#1976,#1977); +#1979=PLANE('',#1978); +#1980=ORIENTED_EDGE('',*,*,#1934,.T.); +#1981=ORIENTED_EDGE('',*,*,#1949,.T.); +#1982=ORIENTED_EDGE('',*,*,#1968,.T.); +#1983=ORIENTED_EDGE('',*,*,#1913,.F.); +#1984=EDGE_LOOP('',(#1980,#1981,#1982,#1983)); +#1985=FACE_OUTER_BOUND('',#1984,.F.); +#1987=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#1988=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1989=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1990=AXIS2_PLACEMENT_3D('',#1987,#1988,#1989); +#1991=PLANE('',#1990); +#1992=ORIENTED_EDGE('',*,*,#1922,.F.); +#1994=ORIENTED_EDGE('',*,*,#1993,.F.); +#1995=ORIENTED_EDGE('',*,*,#1952,.F.); +#1996=ORIENTED_EDGE('',*,*,#1937,.F.); +#1997=EDGE_LOOP('',(#1992,#1994,#1995,#1996)); +#1998=FACE_OUTER_BOUND('',#1997,.F.); +#2000=CARTESIAN_POINT('',(1.131370849898E0,-5.39E0,-2.403137084990E1)); +#2001=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2002=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2003=AXIS2_PLACEMENT_3D('',#2000,#2001,#2002); +#2004=PLANE('',#2003); +#2005=ORIENTED_EDGE('',*,*,#1920,.T.); +#2006=ORIENTED_EDGE('',*,*,#1798,.T.); +#2007=ORIENTED_EDGE('',*,*,#1954,.T.); +#2008=ORIENTED_EDGE('',*,*,#1993,.T.); +#2009=EDGE_LOOP('',(#2005,#2006,#2007,#2008)); +#2010=FACE_OUTER_BOUND('',#2009,.F.); +#2012=CARTESIAN_POINT('',(1.131370849898E0,-5.39E0,-2.176862915010E1)); +#2013=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#2014=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2015=AXIS2_PLACEMENT_3D('',#2012,#2013,#2014); +#2016=PLANE('',#2015); +#2018=ORIENTED_EDGE('',*,*,#2017,.T.); +#2019=ORIENTED_EDGE('',*,*,#1805,.T.); +#2020=ORIENTED_EDGE('',*,*,#1717,.T.); +#2022=ORIENTED_EDGE('',*,*,#2021,.T.); +#2023=EDGE_LOOP('',(#2018,#2019,#2020,#2022)); +#2024=FACE_OUTER_BOUND('',#2023,.F.); +#2026=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#2027=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2028=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2029=AXIS2_PLACEMENT_3D('',#2026,#2027,#2028); +#2030=CYLINDRICAL_SURFACE('',#2029,4.1E0); +#2032=ORIENTED_EDGE('',*,*,#2031,.F.); +#2034=ORIENTED_EDGE('',*,*,#2033,.T.); +#2036=ORIENTED_EDGE('',*,*,#2035,.F.); +#2038=ORIENTED_EDGE('',*,*,#2037,.T.); +#2040=ORIENTED_EDGE('',*,*,#2039,.F.); +#2041=ORIENTED_EDGE('',*,*,#1807,.T.); +#2042=ORIENTED_EDGE('',*,*,#2017,.F.); +#2044=ORIENTED_EDGE('',*,*,#2043,.T.); +#2045=EDGE_LOOP('',(#2032,#2034,#2036,#2038,#2040,#2041,#2042,#2044)); +#2046=FACE_OUTER_BOUND('',#2045,.F.); +#2048=CARTESIAN_POINT('',(4.702260094891E-1,-6.15E0,-2.242977399051E1)); +#2049=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2050=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#2051=AXIS2_PLACEMENT_3D('',#2048,#2049,#2050); +#2052=PLANE('',#2051); +#2053=ORIENTED_EDGE('',*,*,#1713,.T.); +#2055=ORIENTED_EDGE('',*,*,#2054,.F.); +#2056=ORIENTED_EDGE('',*,*,#2031,.T.); +#2058=ORIENTED_EDGE('',*,*,#2057,.T.); +#2059=EDGE_LOOP('',(#2053,#2055,#2056,#2058)); +#2060=FACE_OUTER_BOUND('',#2059,.F.); +#2062=CARTESIAN_POINT('',(0.E0,-9.45E0,-2.815E1)); +#2063=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2064=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2065=AXIS2_PLACEMENT_3D('',#2062,#2063,#2064); +#2066=PLANE('',#2065); +#2067=ORIENTED_EDGE('',*,*,#2054,.T.); +#2068=ORIENTED_EDGE('',*,*,#1711,.T.); +#2070=ORIENTED_EDGE('',*,*,#2069,.T.); +#2071=ORIENTED_EDGE('',*,*,#2033,.F.); +#2072=EDGE_LOOP('',(#2067,#2068,#2070,#2071)); +#2073=FACE_OUTER_BOUND('',#2072,.F.); +#2075=CARTESIAN_POINT('',(-4.702260094891E-1,-6.15E0,-2.337022600949E1)); +#2076=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2077=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#2078=AXIS2_PLACEMENT_3D('',#2075,#2076,#2077); +#2079=PLANE('',#2078); +#2080=ORIENTED_EDGE('',*,*,#2035,.T.); +#2081=ORIENTED_EDGE('',*,*,#2069,.F.); +#2082=ORIENTED_EDGE('',*,*,#1709,.T.); +#2084=ORIENTED_EDGE('',*,*,#2083,.T.); +#2085=EDGE_LOOP('',(#2080,#2081,#2082,#2084)); +#2086=FACE_OUTER_BOUND('',#2085,.F.); +#2088=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#2089=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2090=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2091=AXIS2_PLACEMENT_3D('',#2088,#2089,#2090); +#2092=PLANE('',#2091); +#2093=ORIENTED_EDGE('',*,*,#2037,.F.); +#2094=ORIENTED_EDGE('',*,*,#2083,.F.); +#2095=ORIENTED_EDGE('',*,*,#1707,.F.); +#2097=ORIENTED_EDGE('',*,*,#2096,.F.); +#2098=EDGE_LOOP('',(#2093,#2094,#2095,#2097)); +#2099=FACE_OUTER_BOUND('',#2098,.F.); +#2101=CARTESIAN_POINT('',(-1.131370849898E0,-5.39E0,-2.403137084990E1)); +#2102=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#2103=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2104=AXIS2_PLACEMENT_3D('',#2101,#2102,#2103); +#2105=PLANE('',#2104); +#2106=ORIENTED_EDGE('',*,*,#2096,.T.); +#2107=ORIENTED_EDGE('',*,*,#1705,.T.); +#2108=ORIENTED_EDGE('',*,*,#1809,.T.); +#2109=ORIENTED_EDGE('',*,*,#2039,.T.); +#2110=EDGE_LOOP('',(#2106,#2107,#2108,#2109)); +#2111=FACE_OUTER_BOUND('',#2110,.F.); +#2113=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#2114=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2115=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2116=AXIS2_PLACEMENT_3D('',#2113,#2114,#2115); +#2117=PLANE('',#2116); +#2118=ORIENTED_EDGE('',*,*,#2043,.F.); +#2119=ORIENTED_EDGE('',*,*,#2021,.F.); +#2120=ORIENTED_EDGE('',*,*,#1715,.F.); +#2121=ORIENTED_EDGE('',*,*,#2057,.F.); +#2122=EDGE_LOOP('',(#2118,#2119,#2120,#2121)); +#2123=FACE_OUTER_BOUND('',#2122,.F.); +#2125=CARTESIAN_POINT('',(1.131370849898E0,-5.39E0,-2.403137084990E1)); +#2126=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2127=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2128=AXIS2_PLACEMENT_3D('',#2125,#2126,#2127); +#2129=PLANE('',#2128); +#2131=ORIENTED_EDGE('',*,*,#2130,.T.); +#2132=ORIENTED_EDGE('',*,*,#1812,.T.); +#2133=ORIENTED_EDGE('',*,*,#1701,.T.); +#2135=ORIENTED_EDGE('',*,*,#2134,.T.); +#2136=EDGE_LOOP('',(#2131,#2132,#2133,#2135)); +#2137=FACE_OUTER_BOUND('',#2136,.F.); +#2139=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#2140=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2141=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2142=AXIS2_PLACEMENT_3D('',#2139,#2140,#2141); +#2143=CYLINDRICAL_SURFACE('',#2142,4.1E0); +#2145=ORIENTED_EDGE('',*,*,#2144,.F.); +#2147=ORIENTED_EDGE('',*,*,#2146,.T.); +#2149=ORIENTED_EDGE('',*,*,#2148,.F.); +#2151=ORIENTED_EDGE('',*,*,#2150,.T.); +#2153=ORIENTED_EDGE('',*,*,#2152,.F.); +#2154=ORIENTED_EDGE('',*,*,#1814,.T.); +#2155=ORIENTED_EDGE('',*,*,#2130,.F.); +#2157=ORIENTED_EDGE('',*,*,#2156,.T.); +#2158=EDGE_LOOP('',(#2145,#2147,#2149,#2151,#2153,#2154,#2155,#2157)); +#2159=FACE_OUTER_BOUND('',#2158,.F.); +#2161=CARTESIAN_POINT('',(4.702260094891E-1,-6.15E0,-2.337022600949E1)); +#2162=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#2163=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#2164=AXIS2_PLACEMENT_3D('',#2161,#2162,#2163); +#2165=PLANE('',#2164); +#2166=ORIENTED_EDGE('',*,*,#1697,.T.); +#2168=ORIENTED_EDGE('',*,*,#2167,.F.); +#2169=ORIENTED_EDGE('',*,*,#2144,.T.); +#2171=ORIENTED_EDGE('',*,*,#2170,.T.); +#2172=EDGE_LOOP('',(#2166,#2168,#2169,#2171)); +#2173=FACE_OUTER_BOUND('',#2172,.F.); +#2175=CARTESIAN_POINT('',(0.E0,-9.45E0,-2.815E1)); +#2176=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2178=AXIS2_PLACEMENT_3D('',#2175,#2176,#2177); +#2179=PLANE('',#2178); +#2180=ORIENTED_EDGE('',*,*,#2167,.T.); +#2181=ORIENTED_EDGE('',*,*,#1695,.T.); +#2183=ORIENTED_EDGE('',*,*,#2182,.T.); +#2184=ORIENTED_EDGE('',*,*,#2146,.F.); +#2185=EDGE_LOOP('',(#2180,#2181,#2183,#2184)); +#2186=FACE_OUTER_BOUND('',#2185,.F.); +#2188=CARTESIAN_POINT('',(-4.702260094891E-1,-6.15E0,-2.242977399051E1)); +#2189=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#2190=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#2191=AXIS2_PLACEMENT_3D('',#2188,#2189,#2190); +#2192=PLANE('',#2191); +#2193=ORIENTED_EDGE('',*,*,#2148,.T.); +#2194=ORIENTED_EDGE('',*,*,#2182,.F.); +#2195=ORIENTED_EDGE('',*,*,#1693,.T.); +#2197=ORIENTED_EDGE('',*,*,#2196,.T.); +#2198=EDGE_LOOP('',(#2193,#2194,#2195,#2197)); +#2199=FACE_OUTER_BOUND('',#2198,.F.); +#2201=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#2202=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2203=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2204=AXIS2_PLACEMENT_3D('',#2201,#2202,#2203); +#2205=PLANE('',#2204); +#2206=ORIENTED_EDGE('',*,*,#2150,.F.); +#2207=ORIENTED_EDGE('',*,*,#2196,.F.); +#2208=ORIENTED_EDGE('',*,*,#1691,.F.); +#2210=ORIENTED_EDGE('',*,*,#2209,.F.); +#2211=EDGE_LOOP('',(#2206,#2207,#2208,#2210)); +#2212=FACE_OUTER_BOUND('',#2211,.F.); +#2214=CARTESIAN_POINT('',(-1.131370849898E0,-5.39E0,-2.176862915010E1)); +#2215=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2216=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2217=AXIS2_PLACEMENT_3D('',#2214,#2215,#2216); +#2218=PLANE('',#2217); +#2219=ORIENTED_EDGE('',*,*,#2209,.T.); +#2220=ORIENTED_EDGE('',*,*,#1689,.T.); +#2221=ORIENTED_EDGE('',*,*,#1816,.T.); +#2222=ORIENTED_EDGE('',*,*,#2152,.T.); +#2223=EDGE_LOOP('',(#2219,#2220,#2221,#2222)); +#2224=FACE_OUTER_BOUND('',#2223,.F.); +#2226=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#2227=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2228=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2229=AXIS2_PLACEMENT_3D('',#2226,#2227,#2228); +#2230=PLANE('',#2229); +#2231=ORIENTED_EDGE('',*,*,#2156,.F.); +#2232=ORIENTED_EDGE('',*,*,#2134,.F.); +#2233=ORIENTED_EDGE('',*,*,#1699,.F.); +#2234=ORIENTED_EDGE('',*,*,#2170,.F.); +#2235=EDGE_LOOP('',(#2231,#2232,#2233,#2234)); +#2236=FACE_OUTER_BOUND('',#2235,.F.); +#2238=CARTESIAN_POINT('',(0.E0,-1.049E1,-2.29E1)); +#2239=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2240=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2241=AXIS2_PLACEMENT_3D('',#2238,#2239,#2240); +#2242=CYLINDRICAL_SURFACE('',#2241,5.25E0); +#2244=ORIENTED_EDGE('',*,*,#2243,.T.); +#2246=ORIENTED_EDGE('',*,*,#2245,.F.); +#2248=ORIENTED_EDGE('',*,*,#2247,.T.); +#2250=ORIENTED_EDGE('',*,*,#2249,.T.); +#2252=ORIENTED_EDGE('',*,*,#2251,.F.); +#2253=ORIENTED_EDGE('',*,*,#1821,.T.); +#2255=ORIENTED_EDGE('',*,*,#2254,.F.); +#2257=ORIENTED_EDGE('',*,*,#2256,.T.); +#2258=EDGE_LOOP('',(#2244,#2246,#2248,#2250,#2252,#2253,#2255,#2257)); +#2259=FACE_OUTER_BOUND('',#2258,.F.); +#2261=CARTESIAN_POINT('',(4.702260094891E-1,-6.15E0,-2.242977399051E1)); +#2262=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#2263=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2264=AXIS2_PLACEMENT_3D('',#2261,#2262,#2263); +#2265=PLANE('',#2264); +#2267=ORIENTED_EDGE('',*,*,#2266,.F.); +#2269=ORIENTED_EDGE('',*,*,#2268,.F.); +#2270=ORIENTED_EDGE('',*,*,#2243,.F.); +#2272=ORIENTED_EDGE('',*,*,#2271,.T.); +#2273=EDGE_LOOP('',(#2267,#2269,#2270,#2272)); +#2274=FACE_OUTER_BOUND('',#2273,.F.); +#2276=CARTESIAN_POINT('',(0.E0,-5.39E0,-2.29E1)); +#2277=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2278=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2279=AXIS2_PLACEMENT_3D('',#2276,#2277,#2278); +#2280=CYLINDRICAL_SURFACE('',#2279,4.1E0); +#2282=ORIENTED_EDGE('',*,*,#2281,.T.); +#2284=ORIENTED_EDGE('',*,*,#2283,.F.); +#2285=ORIENTED_EDGE('',*,*,#2266,.T.); +#2287=ORIENTED_EDGE('',*,*,#2286,.T.); +#2289=ORIENTED_EDGE('',*,*,#2288,.F.); +#2290=ORIENTED_EDGE('',*,*,#1825,.T.); +#2292=ORIENTED_EDGE('',*,*,#2291,.F.); +#2294=ORIENTED_EDGE('',*,*,#2293,.T.); +#2295=EDGE_LOOP('',(#2282,#2284,#2285,#2287,#2289,#2290,#2292,#2294)); +#2296=FACE_OUTER_BOUND('',#2295,.F.); +#2298=CARTESIAN_POINT('',(-4.702260094891E-1,-6.15E0,-2.337022600949E1)); +#2299=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#2300=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2301=AXIS2_PLACEMENT_3D('',#2298,#2299,#2300); +#2302=PLANE('',#2301); +#2303=ORIENTED_EDGE('',*,*,#2247,.F.); +#2305=ORIENTED_EDGE('',*,*,#2304,.T.); +#2306=ORIENTED_EDGE('',*,*,#2281,.F.); +#2308=ORIENTED_EDGE('',*,*,#2307,.T.); +#2309=EDGE_LOOP('',(#2303,#2305,#2306,#2308)); +#2310=FACE_OUTER_BOUND('',#2309,.F.); +#2312=CARTESIAN_POINT('',(0.E0,-9.45E0,-2.815E1)); +#2313=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2314=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2315=AXIS2_PLACEMENT_3D('',#2312,#2313,#2314); +#2316=PLANE('',#2315); +#2317=ORIENTED_EDGE('',*,*,#2304,.F.); +#2318=ORIENTED_EDGE('',*,*,#2245,.T.); +#2319=ORIENTED_EDGE('',*,*,#2268,.T.); +#2320=ORIENTED_EDGE('',*,*,#2283,.T.); +#2321=EDGE_LOOP('',(#2317,#2318,#2319,#2320)); +#2322=FACE_OUTER_BOUND('',#2321,.F.); +#2324=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#2325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2326=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2327=AXIS2_PLACEMENT_3D('',#2324,#2325,#2326); +#2328=PLANE('',#2327); +#2330=ORIENTED_EDGE('',*,*,#2329,.F.); +#2331=ORIENTED_EDGE('',*,*,#2249,.F.); +#2332=ORIENTED_EDGE('',*,*,#2307,.F.); +#2333=ORIENTED_EDGE('',*,*,#2293,.F.); +#2334=EDGE_LOOP('',(#2330,#2331,#2332,#2333)); +#2335=FACE_OUTER_BOUND('',#2334,.F.); +#2337=CARTESIAN_POINT('',(-1.131370849898E0,-5.39E0,-2.403137084990E1)); +#2338=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#2339=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2340=AXIS2_PLACEMENT_3D('',#2337,#2338,#2339); +#2341=PLANE('',#2340); +#2342=ORIENTED_EDGE('',*,*,#2329,.T.); +#2343=ORIENTED_EDGE('',*,*,#2291,.T.); +#2344=ORIENTED_EDGE('',*,*,#1823,.T.); +#2345=ORIENTED_EDGE('',*,*,#2251,.T.); +#2346=EDGE_LOOP('',(#2342,#2343,#2344,#2345)); +#2347=FACE_OUTER_BOUND('',#2346,.F.); +#2349=CARTESIAN_POINT('',(0.E0,-6.15E0,-2.29E1)); +#2350=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2351=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2352=AXIS2_PLACEMENT_3D('',#2349,#2350,#2351); +#2353=PLANE('',#2352); +#2354=ORIENTED_EDGE('',*,*,#2286,.F.); +#2355=ORIENTED_EDGE('',*,*,#2271,.F.); +#2356=ORIENTED_EDGE('',*,*,#2256,.F.); +#2358=ORIENTED_EDGE('',*,*,#2357,.F.); +#2359=EDGE_LOOP('',(#2354,#2355,#2356,#2358)); +#2360=FACE_OUTER_BOUND('',#2359,.F.); +#2362=CARTESIAN_POINT('',(1.131370849898E0,-5.39E0,-2.176862915010E1)); +#2363=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#2364=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#2365=AXIS2_PLACEMENT_3D('',#2362,#2363,#2364); +#2366=PLANE('',#2365); +#2367=ORIENTED_EDGE('',*,*,#2254,.T.); +#2368=ORIENTED_EDGE('',*,*,#1827,.T.); +#2369=ORIENTED_EDGE('',*,*,#2288,.T.); +#2370=ORIENTED_EDGE('',*,*,#2357,.T.); +#2371=EDGE_LOOP('',(#2367,#2368,#2369,#2370)); +#2372=FACE_OUTER_BOUND('',#2371,.F.); +#2374=CARTESIAN_POINT('',(0.E0,-5.5E0,-4.24E0)); +#2375=DIRECTION('',(0.E0,1.E0,0.E0)); +#2376=DIRECTION('',(0.E0,0.E0,1.E0)); +#2377=AXIS2_PLACEMENT_3D('',#2374,#2375,#2376); +#2378=CYLINDRICAL_SURFACE('',#2377,9.9E-1); +#2380=ORIENTED_EDGE('',*,*,#2379,.F.); +#2381=ORIENTED_EDGE('',*,*,#1636,.T.); +#2383=ORIENTED_EDGE('',*,*,#2382,.T.); +#2385=ORIENTED_EDGE('',*,*,#2384,.T.); +#2386=EDGE_LOOP('',(#2380,#2381,#2383,#2385)); +#2387=FACE_OUTER_BOUND('',#2386,.F.); +#2389=CARTESIAN_POINT('',(0.E0,-5.5E0,-4.24E0)); +#2390=DIRECTION('',(0.E0,1.E0,0.E0)); +#2391=DIRECTION('',(0.E0,0.E0,1.E0)); +#2392=AXIS2_PLACEMENT_3D('',#2389,#2390,#2391); +#2393=CYLINDRICAL_SURFACE('',#2392,9.9E-1); +#2395=ORIENTED_EDGE('',*,*,#2394,.T.); +#2396=ORIENTED_EDGE('',*,*,#2382,.F.); +#2397=ORIENTED_EDGE('',*,*,#1638,.T.); +#2398=ORIENTED_EDGE('',*,*,#2379,.T.); +#2399=EDGE_LOOP('',(#2395,#2396,#2397,#2398)); +#2400=FACE_OUTER_BOUND('',#2399,.F.); +#2402=CARTESIAN_POINT('',(0.E0,-5.5E0,-4.24E0)); +#2403=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2404=DIRECTION('',(0.E0,0.E0,1.E0)); +#2405=AXIS2_PLACEMENT_3D('',#2402,#2403,#2404); +#2406=PLANE('',#2405); +#2407=ORIENTED_EDGE('',*,*,#2384,.F.); +#2408=ORIENTED_EDGE('',*,*,#2394,.F.); +#2409=EDGE_LOOP('',(#2407,#2408)); +#2410=FACE_OUTER_BOUND('',#2409,.F.); +#2412=CARTESIAN_POINT('',(0.E0,5.5E0,-4.24E0)); +#2413=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2415=AXIS2_PLACEMENT_3D('',#2412,#2413,#2414); +#2416=CYLINDRICAL_SURFACE('',#2415,9.9E-1); +#2418=ORIENTED_EDGE('',*,*,#2417,.F.); +#2419=ORIENTED_EDGE('',*,*,#1617,.T.); +#2421=ORIENTED_EDGE('',*,*,#2420,.T.); +#2423=ORIENTED_EDGE('',*,*,#2422,.T.); +#2424=EDGE_LOOP('',(#2418,#2419,#2421,#2423)); +#2425=FACE_OUTER_BOUND('',#2424,.F.); +#2427=CARTESIAN_POINT('',(0.E0,5.5E0,-4.24E0)); +#2428=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2430=AXIS2_PLACEMENT_3D('',#2427,#2428,#2429); +#2431=CYLINDRICAL_SURFACE('',#2430,9.9E-1); +#2433=ORIENTED_EDGE('',*,*,#2432,.T.); +#2434=ORIENTED_EDGE('',*,*,#2420,.F.); +#2435=ORIENTED_EDGE('',*,*,#1619,.T.); +#2436=ORIENTED_EDGE('',*,*,#2417,.T.); +#2437=EDGE_LOOP('',(#2433,#2434,#2435,#2436)); +#2438=FACE_OUTER_BOUND('',#2437,.F.); +#2440=CARTESIAN_POINT('',(0.E0,5.5E0,-4.24E0)); +#2441=DIRECTION('',(0.E0,1.E0,0.E0)); +#2442=DIRECTION('',(0.E0,0.E0,1.E0)); +#2443=AXIS2_PLACEMENT_3D('',#2440,#2441,#2442); +#2444=PLANE('',#2443); +#2445=ORIENTED_EDGE('',*,*,#2422,.F.); +#2446=ORIENTED_EDGE('',*,*,#2432,.F.); +#2447=EDGE_LOOP('',(#2445,#2446)); +#2448=FACE_OUTER_BOUND('',#2447,.F.); +#2454=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#2453); +#2455=(CONVERSION_BASED_UNIT('DEGREE',#2454)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#2457=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.E-3),#2452, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#2460=APPLICATION_CONTEXT('automotive_design'); +#2461=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#2460); +#2462=PRODUCT_DEFINITION_CONTEXT('part definition',#2460,'design'); +#2463=PRODUCT_CONTEXT('',#2460,'mechanical'); +#2464=PRODUCT('C-2466267-1','C-2466267-1','NOT SPECIFIED',(#2463)); +#2465=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#2464); +#2473=DERIVED_UNIT_ELEMENT(#2472,2.E0); +#2474=DERIVED_UNIT((#2473)); +#2475=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.441264257670E3),#2474); +#2480=DERIVED_UNIT_ELEMENT(#2479,3.E0); +#2481=DERIVED_UNIT((#2480)); +#2482=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +1.771052698756E3),#2481); +#2486=CARTESIAN_POINT('centre point',(-2.410286887945E-6,-5.628919583168E-1, +-1.639117517716E1)); +#2491=DERIVED_UNIT_ELEMENT(#2490,2.E0); +#2492=DERIVED_UNIT((#2491)); +#2493=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.441264257670E3),#2492); +#2498=DERIVED_UNIT_ELEMENT(#2497,3.E0); +#2499=DERIVED_UNIT((#2498)); +#2500=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +1.771052698756E3),#2499); +#2504=CARTESIAN_POINT('centre point',(-2.410286887945E-6,-5.628919583168E-1, +-1.639117517716E1)); +#2509=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#2464)); +#1=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#10=CIRCLE('',#9,1.E0); +#19=CIRCLE('',#18,1.E0); +#28=CIRCLE('',#27,8.809782608695E-1); +#37=CIRCLE('',#36,8.5E-1); +#42=CIRCLE('',#41,8.137084635884E-1); +#47=CIRCLE('',#46,8.5E-1); +#52=CIRCLE('',#51,8.809782608695E-1); +#57=CIRCLE('',#56,5.250333289936E-1); +#66=CIRCLE('',#65,8.137084635884E-1); +#75=CIRCLE('',#74,5.442369880064E-1); +#80=CIRCLE('',#79,5.442369880064E-1); +#89=CIRCLE('',#88,5.250333289936E-1); +#98=CIRCLE('',#97,2.35E0); +#103=CIRCLE('',#102,2.35E0); +#108=CIRCLE('',#107,1.E0); +#113=CIRCLE('',#112,1.E0); +#118=CIRCLE('',#117,2.35E0); +#135=CIRCLE('',#134,2.35E0); +#144=CIRCLE('',#143,3.824974448094E0); +#149=CIRCLE('',#148,3.824974448094E0); +#154=CIRCLE('',#153,3.800000000001E0); +#159=CIRCLE('',#158,3.800000000001E0); +#164=CIRCLE('',#163,2.5E-1); +#169=CIRCLE('',#168,4.074948898799E0); +#174=CIRCLE('',#173,4.074948898799E0); +#179=CIRCLE('',#178,2.5E-1); +#188=CIRCLE('',#187,4.187952236329E0); +#197=CIRCLE('',#196,4.187952236329E0); +#210=CIRCLE('',#209,4.825E0); +#215=CIRCLE('',#214,4.825E0); +#220=CIRCLE('',#219,4.476627370924E0); +#225=CIRCLE('',#224,4.476627370924E0); +#254=B_SPLINE_CURVE_WITH_KNOTS('',3,(#238,#239,#240,#241,#242,#243,#244,#245, +#246,#247,#248,#249,#250,#251,#252,#253),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1, +1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1,2.307692307692E-1, +3.076923076923E-1,3.846153846154E-1,4.615384615385E-1,5.384615384615E-1, +6.153846153846E-1,6.923076923077E-1,7.692307692308E-1,8.461538461538E-1, +9.230769230769E-1,1.E0),.UNSPECIFIED.); +#271=B_SPLINE_CURVE_WITH_KNOTS('',3,(#255,#256,#257,#258,#259,#260,#261,#262, +#263,#264,#265,#266,#267,#268,#269,#270),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1, +1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1,2.307692307692E-1, +3.076923076923E-1,3.846153846154E-1,4.615384615385E-1,5.384615384615E-1, +6.153846153846E-1,6.923076923077E-1,7.692307692308E-1,8.461538461538E-1, +9.230769230769E-1,1.E0),.UNSPECIFIED.); +#292=B_SPLINE_CURVE_WITH_KNOTS('',3,(#276,#277,#278,#279,#280,#281,#282,#283, +#284,#285,#286,#287,#288,#289,#290,#291),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1, +1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1,2.307692307692E-1, +3.076923076923E-1,3.846153846154E-1,4.615384615385E-1,5.384615384615E-1, +6.153846153846E-1,6.923076923077E-1,7.692307692308E-1,8.461538461538E-1, +9.230769230769E-1,1.E0),.UNSPECIFIED.); +#309=B_SPLINE_CURVE_WITH_KNOTS('',3,(#293,#294,#295,#296,#297,#298,#299,#300, +#301,#302,#303,#304,#305,#306,#307,#308),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1, +1,1,1,1,1,4),(0.E0,7.692307692308E-2,1.538461538462E-1,2.307692307692E-1, +3.076923076923E-1,3.846153846154E-1,4.615384615385E-1,5.384615384615E-1, +6.153846153846E-1,6.923076923077E-1,7.692307692308E-1,8.461538461538E-1, +9.230769230769E-1,1.E0),.UNSPECIFIED.); +#335=B_SPLINE_CURVE_WITH_KNOTS('',3,(#310,#311,#312,#313,#314,#315,#316,#317, +#318,#319,#320,#321,#322,#323,#324,#325,#326,#327,#328,#329,#330,#331,#332,#333, +#334),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),( +0.E0,6.25E-2,1.25E-1,1.875E-1,2.5E-1,3.125E-1,3.75E-1,4.0625E-1,4.375E-1, +4.6875E-1,4.84375E-1,5.E-1,5.15625E-1,5.3125E-1,5.625E-1,5.9375E-1,6.25E-1, +6.875E-1,7.5E-1,8.125E-1,8.75E-1,9.375E-1,1.E0),.UNSPECIFIED.); +#368=CIRCLE('',#367,5.25E0); +#389=CIRCLE('',#388,5.25E0); +#397=B_SPLINE_CURVE_WITH_KNOTS('',3,(#390,#391,#392,#393,#394,#395,#396), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#405=B_SPLINE_CURVE_WITH_KNOTS('',3,(#398,#399,#400,#401,#402,#403,#404), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,4),(0.E0,2.5E-1,5.E-1,7.5E-1,1.E0), +.UNSPECIFIED.); +#410=CIRCLE('',#409,5.25E0); +#415=CIRCLE('',#414,4.825E0); +#420=CIRCLE('',#419,4.804377778174E0); +#433=B_SPLINE_CURVE_WITH_KNOTS('',3,(#421,#422,#423,#424,#425,#426,#427,#428, +#429,#430,#431,#432),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.E0, +1.111111111111E-1,2.222222222222E-1,3.333333333333E-1,4.444444444444E-1, +5.555555555556E-1,6.666666666667E-1,7.777777777778E-1,8.888888888889E-1,1.E0), +.UNSPECIFIED.); +#438=CIRCLE('',#437,4.804377778174E0); +#443=CIRCLE('',#442,4.825E0); +#456=CIRCLE('',#455,4.85E-1); +#461=CIRCLE('',#460,4.85E-1); +#474=CIRCLE('',#473,4.1E0); +#483=CIRCLE('',#482,5.25E0); +#488=CIRCLE('',#487,5.25E0); +#497=CIRCLE('',#496,4.1E0); +#510=CIRCLE('',#509,4.1E0); +#519=CIRCLE('',#518,5.25E0); +#524=CIRCLE('',#523,5.25E0); +#533=CIRCLE('',#532,4.1E0); +#542=CIRCLE('',#541,4.85E-1); +#551=CIRCLE('',#550,4.85E-1); +#564=CIRCLE('',#563,5.25E0); +#573=CIRCLE('',#572,4.1E0); +#582=CIRCLE('',#581,5.25E0); +#619=CIRCLE('',#618,4.1E0); +#628=CIRCLE('',#627,5.25E0); +#637=CIRCLE('',#636,4.1E0); +#646=CIRCLE('',#645,4.1E0); +#671=CIRCLE('',#670,5.25E0); +#688=CIRCLE('',#687,4.1E0); +#697=CIRCLE('',#696,5.25E0); +#706=CIRCLE('',#705,4.1E0); +#715=CIRCLE('',#714,5.25E0); +#724=CIRCLE('',#723,4.1E0); +#749=CIRCLE('',#748,5.25E0); +#766=CIRCLE('',#765,4.1E0); +#775=CIRCLE('',#774,5.25E0); +#784=CIRCLE('',#783,4.1E0); +#793=CIRCLE('',#792,5.25E0); +#838=CIRCLE('',#837,5.25E0); +#847=CIRCLE('',#846,4.1E0); +#856=CIRCLE('',#855,5.25E0); +#865=CIRCLE('',#864,4.1E0); +#870=CIRCLE('',#869,4.1E0); +#879=CIRCLE('',#878,5.25E0); +#896=CIRCLE('',#895,9.9E-1); +#901=CIRCLE('',#900,9.9E-1); +#914=CIRCLE('',#913,9.9E-1); +#919=CIRCLE('',#918,9.9E-1); +#1158=EDGE_CURVE('',#1122,#1069,#14,.T.); +#1160=EDGE_CURVE('',#1069,#1070,#108,.T.); +#1162=EDGE_CURVE('',#1124,#1070,#5,.T.); +#1164=EDGE_CURVE('',#1122,#1124,#10,.T.); +#1168=ADVANCED_FACE('',(#1167),#1157,.F.); +#1175=EDGE_CURVE('',#1124,#1122,#19,.T.); +#1178=EDGE_CURVE('',#1070,#1069,#113,.T.); +#1182=ADVANCED_FACE('',(#1181),#1173,.F.); +#1188=EDGE_CURVE('',#1136,#1139,#75,.T.); +#1190=EDGE_CURVE('',#1139,#1136,#80,.T.); +#1194=ADVANCED_FACE('',(#1193),#1187,.F.); +#1204=EDGE_CURVE('',#1128,#1131,#28,.T.); +#1206=EDGE_CURVE('',#1131,#1128,#52,.T.); +#1210=ADVANCED_FACE('',(#1203,#1209),#1199,.F.); +#1216=EDGE_CURVE('',#1127,#1132,#37,.T.); +#1218=EDGE_CURVE('',#1127,#1128,#23,.T.); +#1221=EDGE_CURVE('',#1131,#1132,#32,.T.); +#1225=ADVANCED_FACE('',(#1224),#1215,.T.); +#1232=EDGE_CURVE('',#1127,#1132,#47,.T.); +#1236=EDGE_CURVE('',#1144,#1142,#66,.T.); +#1238=EDGE_CURVE('',#1144,#1142,#42,.T.); +#1242=ADVANCED_FACE('',(#1235,#1241),#1230,.T.); +#1254=ADVANCED_FACE('',(#1253),#1247,.T.); +#1260=EDGE_CURVE('',#1135,#1140,#57,.T.); +#1262=EDGE_CURVE('',#1144,#1140,#61,.T.); +#1265=EDGE_CURVE('',#1135,#1142,#70,.T.); +#1269=ADVANCED_FACE('',(#1268),#1259,.F.); +#1276=EDGE_CURVE('',#1139,#1140,#93,.T.); +#1279=EDGE_CURVE('',#1135,#1136,#84,.T.); +#1283=ADVANCED_FACE('',(#1282),#1274,.F.); +#1291=EDGE_CURVE('',#1135,#1140,#89,.T.); +#1296=ADVANCED_FACE('',(#1295),#1288,.F.); +#1308=ADVANCED_FACE('',(#1307),#1301,.F.); +#1314=EDGE_CURVE('',#1066,#1065,#98,.T.); +#1316=EDGE_CURVE('',#1065,#1066,#103,.T.); +#1324=ADVANCED_FACE('',(#1319,#1323),#1313,.F.); +#1330=EDGE_CURVE('',#1147,#1148,#118,.T.); +#1332=EDGE_CURVE('',#1148,#1066,#139,.T.); +#1335=EDGE_CURVE('',#1147,#1065,#122,.T.); +#1339=ADVANCED_FACE('',(#1338),#1329,.T.); +#1393=EDGE_CURVE('',#1026,#1148,#126,.T.); +#1396=EDGE_CURVE('',#1147,#1025,#130,.T.); +#1398=EDGE_CURVE('',#1025,#1026,#154,.T.); +#1402=ADVANCED_FACE('',(#1401),#1392,.F.); +#1457=EDGE_CURVE('',#1147,#1148,#135,.T.); +#1460=EDGE_CURVE('',#1026,#1025,#159,.T.); +#1464=ADVANCED_FACE('',(#1463),#1455,.F.); +#1476=ADVANCED_FACE('',(#1475),#1469,.T.); +#1482=EDGE_CURVE('',#940,#941,#144,.T.); +#1484=EDGE_CURVE('',#941,#940,#149,.T.); +#1492=ADVANCED_FACE('',(#1487,#1491),#1481,.F.); +#1498=EDGE_CURVE('',#940,#997,#164,.T.); +#1501=EDGE_CURVE('',#941,#957,#179,.T.); +#1503=EDGE_CURVE('',#997,#957,#169,.T.); +#1507=ADVANCED_FACE('',(#1506),#1497,.F.); +#1513=EDGE_CURVE('',#957,#997,#174,.T.); +#1520=ADVANCED_FACE('',(#1519),#1512,.F.); +#1527=EDGE_CURVE('',#985,#997,#183,.T.); +#1529=EDGE_CURVE('',#969,#985,#188,.T.); +#1531=EDGE_CURVE('',#969,#957,#192,.T.); +#1535=ADVANCED_FACE('',(#1534),#1525,.F.); +#1542=EDGE_CURVE('',#985,#969,#197,.T.); +#1548=ADVANCED_FACE('',(#1547),#1540,.F.); +#1554=EDGE_CURVE('',#1032,#969,#201,.T.); +#1556=EDGE_CURVE('',#1032,#1034,#225,.T.); +#1558=EDGE_CURVE('',#1034,#985,#205,.T.); +#1563=ADVANCED_FACE('',(#1562),#1553,.F.); +#1571=EDGE_CURVE('',#1034,#1032,#220,.T.); +#1576=ADVANCED_FACE('',(#1575),#1568,.F.); +#1582=EDGE_CURVE('',#1016,#1062,#210,.T.); +#1584=EDGE_CURVE('',#1062,#1016,#215,.T.); +#1592=ADVANCED_FACE('',(#1587,#1591),#1581,.F.); +#1599=EDGE_CURVE('',#923,#1062,#229,.T.); +#1601=EDGE_CURVE('',#1042,#923,#233,.T.); +#1603=EDGE_CURVE('',#1042,#989,#405,.T.); +#1605=EDGE_CURVE('',#989,#1008,#415,.T.); +#1607=EDGE_CURVE('',#1008,#1060,#443,.T.); +#1609=EDGE_CURVE('',#1060,#964,#397,.T.); +#1611=EDGE_CURVE('',#1015,#964,#237,.T.); +#1613=EDGE_CURVE('',#1015,#1016,#275,.T.); +#1617=EDGE_CURVE('',#936,#937,#254,.T.); +#1619=EDGE_CURVE('',#937,#936,#271,.T.); +#1623=ADVANCED_FACE('',(#1616,#1622),#1597,.T.); +#1630=EDGE_CURVE('',#1015,#923,#335,.T.); +#1636=EDGE_CURVE('',#950,#951,#292,.T.); +#1638=EDGE_CURVE('',#951,#950,#309,.T.); +#1642=ADVANCED_FACE('',(#1635,#1641),#1628,.T.); +#1649=EDGE_CURVE('',#1015,#1050,#351,.T.); +#1651=EDGE_CURVE('',#978,#1050,#339,.T.); +#1653=EDGE_CURVE('',#978,#1012,#465,.T.); +#1655=EDGE_CURVE('',#922,#1012,#343,.T.); +#1657=EDGE_CURVE('',#922,#923,#451,.T.); +#1661=ADVANCED_FACE('',(#1660),#1647,.F.); +#1667=EDGE_CURVE('',#964,#965,#380,.T.); +#1669=EDGE_CURVE('',#1050,#965,#347,.T.); +#1675=ADVANCED_FACE('',(#1674),#1666,.T.); +#1681=EDGE_CURVE('',#964,#1036,#389,.T.); +#1683=EDGE_CURVE('',#1036,#1042,#410,.T.); +#1685=EDGE_CURVE('',#959,#1042,#355,.T.); +#1687=EDGE_CURVE('',#959,#955,#519,.T.); +#1689=EDGE_CURVE('',#954,#955,#359,.T.); +#1691=EDGE_CURVE('',#954,#994,#775,.T.); +#1693=EDGE_CURVE('',#1106,#994,#761,.T.); +#1695=EDGE_CURVE('',#1102,#1106,#749,.T.); +#1697=EDGE_CURVE('',#1074,#1102,#736,.T.); +#1699=EDGE_CURVE('',#1074,#1076,#793,.T.); +#1701=EDGE_CURVE('',#1082,#1076,#363,.T.); +#1703=EDGE_CURVE('',#1082,#1020,#368,.T.); +#1705=EDGE_CURVE('',#1019,#1020,#372,.T.); +#1707=EDGE_CURVE('',#1019,#1079,#697,.T.); +#1709=EDGE_CURVE('',#1112,#1079,#683,.T.); +#1711=EDGE_CURVE('',#1111,#1112,#671,.T.); +#1713=EDGE_CURVE('',#982,#1111,#658,.T.); +#1715=EDGE_CURVE('',#982,#983,#715,.T.); +#1717=EDGE_CURVE('',#949,#983,#376,.T.); +#1719=EDGE_CURVE('',#949,#965,#488,.T.); +#1724=ADVANCED_FACE('',(#1723),#1680,.T.); +#1730=EDGE_CURVE('',#1036,#988,#384,.T.); +#1734=EDGE_CURVE('',#1060,#988,#438,.T.); +#1738=ADVANCED_FACE('',(#1737),#1729,.T.); +#1744=EDGE_CURVE('',#988,#989,#420,.T.); +#1751=ADVANCED_FACE('',(#1750),#1743,.T.); +#1759=EDGE_CURVE('',#988,#1008,#433,.T.); +#1763=ADVANCED_FACE('',(#1762),#1756,.T.); +#1774=ADVANCED_FACE('',(#1773),#1768,.T.); +#1780=EDGE_CURVE('',#959,#922,#447,.T.); +#1787=ADVANCED_FACE('',(#1786),#1779,.T.); +#1794=EDGE_CURVE('',#927,#978,#469,.T.); +#1796=EDGE_CURVE('',#926,#927,#474,.T.); +#1798=EDGE_CURVE('',#975,#926,#478,.T.); +#1800=EDGE_CURVE('',#978,#975,#483,.T.); +#1805=EDGE_CURVE('',#948,#949,#492,.T.); +#1807=EDGE_CURVE('',#1030,#948,#497,.T.); +#1809=EDGE_CURVE('',#1020,#1030,#501,.T.); +#1812=EDGE_CURVE('',#1054,#1082,#505,.T.); +#1814=EDGE_CURVE('',#1056,#1054,#510,.T.); +#1816=EDGE_CURVE('',#955,#1056,#514,.T.); +#1821=EDGE_CURVE('',#1022,#1012,#524,.T.); +#1823=EDGE_CURVE('',#1028,#1022,#528,.T.); +#1825=EDGE_CURVE('',#1058,#1028,#533,.T.); +#1827=EDGE_CURVE('',#1012,#1058,#537,.T.); +#1831=EDGE_CURVE('',#1150,#1152,#456,.T.); +#1833=EDGE_CURVE('',#1152,#1150,#461,.T.); +#1837=ADVANCED_FACE('',(#1830,#1836),#1792,.T.); +#1844=EDGE_CURVE('',#1119,#1150,#555,.T.); +#1846=EDGE_CURVE('',#1119,#1120,#542,.T.); +#1848=EDGE_CURVE('',#1152,#1120,#546,.T.); +#1852=ADVANCED_FACE('',(#1851),#1842,.T.); +#1860=EDGE_CURVE('',#1120,#1119,#551,.T.); +#1865=ADVANCED_FACE('',(#1864),#1857,.T.); +#1875=ADVANCED_FACE('',(#1874),#1870,.T.); +#1881=EDGE_CURVE('',#979,#972,#559,.T.); +#1883=EDGE_CURVE('',#972,#927,#610,.T.); +#1886=EDGE_CURVE('',#978,#979,#590,.T.); +#1890=ADVANCED_FACE('',(#1889),#1880,.F.); +#1897=EDGE_CURVE('',#1007,#979,#564,.T.); +#1899=EDGE_CURVE('',#973,#1007,#568,.T.); +#1901=EDGE_CURVE('',#972,#973,#573,.T.); +#1905=ADVANCED_FACE('',(#1904),#1895,.T.); +#1911=EDGE_CURVE('',#930,#1104,#577,.T.); +#1913=EDGE_CURVE('',#1104,#1108,#582,.T.); +#1915=EDGE_CURVE('',#1108,#1007,#586,.T.); +#1920=EDGE_CURVE('',#1084,#975,#594,.T.); +#1922=EDGE_CURVE('',#1084,#930,#628,.T.); +#1926=ADVANCED_FACE('',(#1925),#1910,.T.); +#1932=EDGE_CURVE('',#1091,#931,#602,.T.); +#1934=EDGE_CURVE('',#1104,#1091,#614,.T.); +#1937=EDGE_CURVE('',#930,#931,#641,.T.); +#1941=ADVANCED_FACE('',(#1940),#1931,.T.); +#1947=EDGE_CURVE('',#973,#1092,#598,.T.); +#1949=EDGE_CURVE('',#1091,#1092,#619,.T.); +#1952=EDGE_CURVE('',#931,#1005,#637,.T.); +#1954=EDGE_CURVE('',#926,#1005,#606,.T.); +#1961=ADVANCED_FACE('',(#1960),#1946,.F.); +#1968=EDGE_CURVE('',#1092,#1108,#623,.T.); +#1974=ADVANCED_FACE('',(#1973),#1966,.F.); +#1986=ADVANCED_FACE('',(#1985),#1979,.T.); +#1993=EDGE_CURVE('',#1005,#1084,#632,.T.); +#1999=ADVANCED_FACE('',(#1998),#1991,.T.); +#2011=ADVANCED_FACE('',(#2010),#2004,.T.); +#2017=EDGE_CURVE('',#1039,#948,#654,.T.); +#2021=EDGE_CURVE('',#983,#1039,#710,.T.); +#2025=ADVANCED_FACE('',(#2024),#2016,.T.); +#2031=EDGE_CURVE('',#1095,#1040,#662,.T.); +#2033=EDGE_CURVE('',#1095,#1096,#646,.T.); +#2035=EDGE_CURVE('',#1080,#1096,#679,.T.); +#2037=EDGE_CURVE('',#1080,#1048,#688,.T.); +#2039=EDGE_CURVE('',#1030,#1048,#650,.T.); +#2043=EDGE_CURVE('',#1039,#1040,#706,.T.); +#2047=ADVANCED_FACE('',(#2046),#2030,.F.); +#2054=EDGE_CURVE('',#1095,#1111,#666,.T.); +#2057=EDGE_CURVE('',#1040,#982,#719,.T.); +#2061=ADVANCED_FACE('',(#2060),#2052,.F.); +#2069=EDGE_CURVE('',#1112,#1096,#675,.T.); +#2074=ADVANCED_FACE('',(#2073),#2066,.T.); +#2083=EDGE_CURVE('',#1079,#1080,#692,.T.); +#2087=ADVANCED_FACE('',(#2086),#2079,.T.); +#2096=EDGE_CURVE('',#1048,#1019,#701,.T.); +#2100=ADVANCED_FACE('',(#2099),#2092,.T.); +#2112=ADVANCED_FACE('',(#2111),#2105,.F.); +#2124=ADVANCED_FACE('',(#2123),#2117,.T.); +#2130=EDGE_CURVE('',#1053,#1054,#732,.T.); +#2134=EDGE_CURVE('',#1076,#1053,#788,.T.); +#2138=ADVANCED_FACE('',(#2137),#2129,.T.); +#2144=EDGE_CURVE('',#1087,#1073,#740,.T.); +#2146=EDGE_CURVE('',#1087,#1088,#724,.T.); +#2148=EDGE_CURVE('',#995,#1088,#757,.T.); +#2150=EDGE_CURVE('',#995,#1010,#766,.T.); +#2152=EDGE_CURVE('',#1056,#1010,#728,.T.); +#2156=EDGE_CURVE('',#1053,#1073,#784,.T.); +#2160=ADVANCED_FACE('',(#2159),#2143,.F.); +#2167=EDGE_CURVE('',#1087,#1102,#744,.T.); +#2170=EDGE_CURVE('',#1073,#1074,#797,.T.); +#2174=ADVANCED_FACE('',(#2173),#2165,.F.); +#2182=EDGE_CURVE('',#1106,#1088,#753,.T.); +#2187=ADVANCED_FACE('',(#2186),#2179,.T.); +#2196=EDGE_CURVE('',#994,#995,#770,.T.); +#2200=ADVANCED_FACE('',(#2199),#2192,.T.); +#2209=EDGE_CURVE('',#1010,#954,#779,.T.); +#2213=ADVANCED_FACE('',(#2212),#2205,.T.); +#2225=ADVANCED_FACE('',(#2224),#2218,.F.); +#2237=ADVANCED_FACE('',(#2236),#2230,.T.); +#2243=EDGE_CURVE('',#967,#1116,#801,.T.); +#2245=EDGE_CURVE('',#1115,#1116,#838,.T.); +#2247=EDGE_CURVE('',#1115,#1001,#805,.T.); +#2249=EDGE_CURVE('',#1001,#934,#856,.T.); +#2251=EDGE_CURVE('',#1022,#934,#809,.T.); +#2254=EDGE_CURVE('',#961,#1012,#813,.T.); +#2256=EDGE_CURVE('',#961,#967,#879,.T.); +#2260=ADVANCED_FACE('',(#2259),#2242,.T.); +#2266=EDGE_CURVE('',#1099,#944,#821,.T.); +#2268=EDGE_CURVE('',#1116,#1099,#842,.T.); +#2271=EDGE_CURVE('',#967,#944,#874,.T.); +#2275=ADVANCED_FACE('',(#2274),#2265,.T.); +#2281=EDGE_CURVE('',#1000,#1100,#817,.T.); +#2283=EDGE_CURVE('',#1099,#1100,#847,.T.); +#2286=EDGE_CURVE('',#944,#945,#870,.T.); +#2288=EDGE_CURVE('',#1058,#945,#825,.T.); +#2291=EDGE_CURVE('',#935,#1028,#829,.T.); +#2293=EDGE_CURVE('',#935,#1000,#865,.T.); +#2297=ADVANCED_FACE('',(#2296),#2280,.F.); +#2304=EDGE_CURVE('',#1115,#1100,#833,.T.); +#2307=EDGE_CURVE('',#1000,#1001,#860,.T.); +#2311=ADVANCED_FACE('',(#2310),#2302,.F.); +#2323=ADVANCED_FACE('',(#2322),#2316,.T.); +#2329=EDGE_CURVE('',#934,#935,#851,.T.); +#2336=ADVANCED_FACE('',(#2335),#2328,.T.); +#2348=ADVANCED_FACE('',(#2347),#2341,.F.); +#2357=EDGE_CURVE('',#945,#961,#883,.T.); +#2361=ADVANCED_FACE('',(#2360),#2353,.T.); +#2373=ADVANCED_FACE('',(#2372),#2366,.T.); +#2379=EDGE_CURVE('',#950,#1046,#887,.T.); +#2382=EDGE_CURVE('',#951,#1045,#891,.T.); +#2384=EDGE_CURVE('',#1045,#1046,#896,.T.); +#2388=ADVANCED_FACE('',(#2387),#2378,.T.); +#2394=EDGE_CURVE('',#1046,#1045,#901,.T.); +#2401=ADVANCED_FACE('',(#2400),#2393,.T.); +#2411=ADVANCED_FACE('',(#2410),#2406,.T.); +#2417=EDGE_CURVE('',#936,#991,#905,.T.); +#2420=EDGE_CURVE('',#937,#1003,#909,.T.); +#2422=EDGE_CURVE('',#1003,#991,#914,.T.); +#2426=ADVANCED_FACE('',(#2425),#2416,.T.); +#2432=EDGE_CURVE('',#991,#1003,#919,.T.); +#2439=ADVANCED_FACE('',(#2438),#2431,.T.); +#2449=ADVANCED_FACE('',(#2448),#2444,.T.); +#2450=CLOSED_SHELL('',(#1168,#1182,#1194,#1210,#1225,#1242,#1254,#1269,#1283, +#1296,#1308,#1324,#1339,#1402,#1464,#1476,#1492,#1507,#1520,#1535,#1548,#1563, +#1576,#1592,#1623,#1642,#1661,#1675,#1724,#1738,#1751,#1763,#1774,#1787,#1837, +#1852,#1865,#1875,#1890,#1905,#1926,#1941,#1961,#1974,#1986,#1999,#2011,#2025, +#2047,#2061,#2074,#2087,#2100,#2112,#2124,#2138,#2160,#2174,#2187,#2200,#2213, +#2225,#2237,#2260,#2275,#2297,#2311,#2323,#2336,#2348,#2361,#2373,#2388,#2401, +#2411,#2426,#2439,#2449)); +#2451=MANIFOLD_SOLID_BREP('',#2450); +#2452=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#2453=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#2456=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#2458=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#2457))GLOBAL_UNIT_ASSIGNED_CONTEXT((#2452,#2455,#2456))REPRESENTATION_CONTEXT( +'ID1','3')); +#2459=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2451),#2458); +#2466=PRODUCT_DEFINITION('part definition','',#2465,#2462); +#2467=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-2466267-1.',#2466); +#2468=SHAPE_ASPECT('','solid data associated with C-2466267-1',#2467,.F.); +#2469=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#2468); +#2470=SHAPE_REPRESENTATION('',(#2451),#2458); +#2471=SHAPE_DEFINITION_REPRESENTATION(#2469,#2470); +#2472=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#2476=PROPERTY_DEFINITION('geometric validation property','area of C-2466267-1', +#2468); +#2477=REPRESENTATION('surface area',(#2475),#2458); +#2478=PROPERTY_DEFINITION_REPRESENTATION(#2476,#2477); +#2479=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#2483=PROPERTY_DEFINITION('geometric validation property', +'volume of C-2466267-1',#2468); +#2484=REPRESENTATION('volume',(#2482),#2458); +#2485=PROPERTY_DEFINITION_REPRESENTATION(#2483,#2484); +#2487=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-2466267-1',#2468); +#2488=REPRESENTATION('centroid',(#2486),#2458); +#2489=PROPERTY_DEFINITION_REPRESENTATION(#2487,#2488); +#2490=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#2494=PROPERTY_DEFINITION('geometric validation property','area of C-2466267-1', +#2467); +#2495=REPRESENTATION('surface area',(#2493),#2458); +#2496=PROPERTY_DEFINITION_REPRESENTATION(#2494,#2495); +#2497=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#2501=PROPERTY_DEFINITION('geometric validation property', +'volume of C-2466267-1',#2467); +#2502=REPRESENTATION('volume',(#2500),#2458); +#2503=PROPERTY_DEFINITION_REPRESENTATION(#2501,#2502); +#2505=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-2466267-1',#2467); +#2506=REPRESENTATION('centroid',(#2504),#2458); +#2507=PROPERTY_DEFINITION_REPRESENTATION(#2505,#2506); +#2508=SHAPE_DEFINITION_REPRESENTATION(#2467,#2459); +ENDSEC; +END-ISO-10303-21;