diff --git a/bh.kicad_sym b/bh.kicad_sym index c6b4e7f..b5ab75b 100755 --- a/bh.kicad_sym +++ b/bh.kicad_sym @@ -15168,4 +15168,17109 @@ (effects (font (size 1.27 1.27))) ) ) + (symbol "MABA-011118" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "ceres:Macom_MABA-011118" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://cdn.macom.com/datasheets/MABA-011118.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "MABA-011118" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Manufacturer" "MACOM Technology Solutions" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MABA-011118_0_1" + (polyline + (pts + (xy 0 -5.08) + (xy 2.54 -5.08) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy 2.54 -2.54) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -4.064) + (xy 7.62 -4.064) + ) + (stroke (width 0.127) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -3.556) + (xy 7.62 -3.556) + ) + (stroke (width 0.127) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -5.08) + (xy 0.254 -5.08) + (xy 1.016 -6.35) + (xy 2.54 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 10.16 -5.08) + (xy 9.906 -5.08) + (xy 7.874 -2.54) + (xy 7.62 -2.54) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 10.16 -5.08) + (xy 9.906 -5.08) + (xy 9.144 -6.35) + (xy 7.62 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 10.16 -2.54) + (xy 9.906 -2.54) + (xy 7.874 -5.08) + (xy 7.62 -5.08) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (circle (center 0.254 -5.08) (radius 0.127) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 2.54 -6.35) (mid 3.175 -6.9823) (end 3.81 -6.35) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 2.54 -2.54) (mid 3.175 -3.1723) (end 3.81 -2.54) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 3.81 -6.35) (mid 4.445 -6.9823) (end 5.08 -6.35) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 3.81 -5.08) (mid 3.175 -4.4477) (end 2.54 -5.08) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 3.81 -2.54) (mid 4.445 -3.1723) (end 5.08 -2.54) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 5.08 -6.35) (mid 5.715 -6.9823) (end 6.35 -6.35) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 5.08 -5.08) (mid 4.445 -4.4477) (end 3.81 -5.08) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 5.08 -2.54) (mid 5.715 -3.1723) (end 6.35 -2.54) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 6.35 -6.35) (mid 6.985 -6.9823) (end 7.62 -6.35) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 6.35 -5.08) (mid 5.715 -4.4477) (end 5.08 -5.08) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 6.35 -2.54) (mid 6.985 -3.1723) (end 7.62 -2.54) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 7.62 -5.08) (mid 6.985 -4.4477) (end 6.35 -5.08) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 9.906 -5.08) (radius 0.127) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "MABA-011118_1_1" + (pin passive line (at -2.54 -2.54 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -5.08 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -7.62 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 12.7 -7.62 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 12.7 -5.08 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 12.7 -2.54 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MT48LC64M8A2P-75C" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MT48LC64M8A2P-75C" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOP80P1016X120-54N" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.alliancememory.com/wp-content/uploads/pdf/dram/512M-SDRAM-MT48LC32M16A2P-20140714.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Alliance Memory, Inc." (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "MT48LC64M8A2P-75:C" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "1450-1140-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Memory, SDRAM, 512Mb (64M x 8), 133MHz 5.4ns, 54-TSOP" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MT48LC64M8A2P-75C_0_0" + (rectangle (start 0 -44.45) (end 7.62 -72.39) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (rectangle (start 0 -44.45) (end 10.16 -77.47) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (text "COLUMN" (at 6.35 -59.69 900) + (effects (font (size 1.27 1.27))) + ) + (text "ROW" (at 8.89 -59.69 900) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "MT48LC64M8A2P-75C_0_1" + (rectangle (start 0 0) (end 25.4 -83.82) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "MT48LC64M8A2P-75C_1_1" + (pin power_in line (at 27.94 -2.54 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -27.94 0) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -10.16 0) (length 2.54) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -27.94 180) (length 2.54) + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -30.48 0) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -5.08 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 27.94 -78.74 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -66.04 180) (length 2.54) + (name "WE#" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -68.58 180) (length 2.54) + (name "CAS#" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -71.12 180) (length 2.54) + (name "RAS#" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -73.66 180) (length 2.54) + (name "CS#" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -2.54 0) (length 2.54) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -78.74 0) (length 2.54) + (name "BA0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -81.28 0) (length 2.54) + (name "BA1" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -73.66 0) (length 2.54) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -45.72 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -48.26 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -50.8 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -53.34 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -7.62 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -35.56 180) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -55.88 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -10.16 180) (length 2.54) + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -58.42 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -60.96 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -63.5 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -66.04 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -68.58 0) (length 2.54) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -71.12 0) (length 2.54) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -76.2 0) (length 2.54) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -60.96 180) (length 2.54) + (name "CKE" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -63.5 180) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -76.2 180) (length 2.54) + (name "DQM" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -22.86 0) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 27.94 -81.28 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -38.1 180) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -33.02 0) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -15.24 180) (length 2.54) + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -12.7 0) (length 2.54) + (name "DQ4" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -35.56 0) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -30.48 180) (length 2.54) + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -15.24 0) (length 2.54) + (name "DQ5" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -38.1 0) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -17.78 180) (length 2.54) + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -5.08 0) (length 2.54) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -17.78 0) (length 2.54) + (name "DQ6" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -40.64 0) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -33.02 180) (length 2.54) + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -20.32 0) (length 2.54) + (name "DQ7" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -40.64 180) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -25.4 180) (length 2.54) + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -25.4 0) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -7.62 0) (length 2.54) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -12.7 180) (length 2.54) + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MYBSP01201ABF" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MYBSP01201ABF" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:MYBSP01201ABF" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.murata.com/products/productdata/8807034519582/MYBSP01201ABF.pdf?1583754813000" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Murata Power Solutions Inc." (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "MYBSP01201ABF" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "811-3806-5-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "PoE" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, Regulator, Isolated, PoE, Single, 12V 1A out, 37V - 57V in" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MYBSP01201ABF_0_1" + (rectangle (start 0 0) (end 17.78 -12.7) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "MYBSP01201ABF_1_1" + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "+VIN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -10.16 0) (length 2.54) + (name "-VIN" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 20.32 -10.16 180) (length 2.54) + (name "-VOUT" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 20.32 -2.54 180) (length 2.54) + (name "+VOUT" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Mounting_Hole" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) + (property "Reference" "MH" (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Mounting_Hole" (at 0 7.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Hole" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Mounting_Hole_0_1" + (circle (center 0 4.572) (radius 0.762) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 0 4.572) (radius 2.032) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "Mounting_Hole_1_1" + (pin passive line (at 0 0 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "NET_TIE" (pin_numbers hide) (pin_names hide) (in_bom no) (on_board yes) + (property "Reference" "TIE" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "NET_TIE" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Net Tie" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "TIE" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "NET_TIE_0_1" + (polyline + (pts + (xy -1.27 0) + (xy 1.27 0) + ) + (stroke (width 0.381) (type default)) + (fill (type none)) + ) + ) + (symbol "NET_TIE_1_1" + (pin passive line (at -2.54 0 0) (length 1.27) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 0 180) (length 1.27) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "NMOS" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (at 1.27 1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "NMOS" (at 1.27 -1.27 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Transistor, MOSFET, N-Channel" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "NMOS_0_1" + (polyline + (pts + (xy -2.54 2.54) + (xy -2.54 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.635 -2.286) + (xy -0.381 -2.54) + (xy -0.635 -2.794) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.635 -2.159) + (xy -0.254 -2.54) + (xy -0.635 -2.921) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.635 -2.032) + (xy -0.127 -2.54) + (xy -0.635 -3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy -0.635 -1.905) + (xy -0.635 -3.175) + (xy 0 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy -2.032 2.54) + (xy -2.032 -2.54) + (xy 0 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "NMOS_0_2" + (polyline + (pts + (xy -2.54 2.54) + (xy -2.54 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 -1.27) + (xy -2.032 -2.54) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0.635) + (xy -2.032 -0.635) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 2.54) + (xy -2.032 1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 -1.905) + (xy 0 -1.905) + (xy 0 -2.54) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0) + (xy 0 0) + (xy 0 -2.54) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 1.905) + (xy 0 1.905) + (xy 0 2.54) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.397 -0.508) + (xy -1.905 0) + (xy -1.397 0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.397 -0.381) + (xy -1.778 0) + (xy -1.397 0.381) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.397 -0.254) + (xy -1.651 0) + (xy -1.397 0.254) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0) + (xy -1.397 -0.635) + (xy -1.397 0.635) + (xy -2.032 0) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "NMOS_1_1" + (pin passive line (at 0 5.08 270) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "D" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -5.08 0 0) (length 2.54) + (name "G" (effects (font (size 1.27 1.27)))) + (number "G" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -5.08 90) (length 2.54) + (name "S" (effects (font (size 1.27 1.27)))) + (number "S" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "NMOS_1_2" + (pin passive line (at 0 5.08 270) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "D" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -5.08 0 0) (length 2.54) + (name "G" (effects (font (size 1.27 1.27)))) + (number "G" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -5.08 90) (length 2.54) + (name "S" (effects (font (size 1.27 1.27)))) + (number "S" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "NPN" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (at 1.27 1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "NPN" (at 1.27 -1.27 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Spice_Primitive" "Q" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Spice_Netlist_Enabled" "Y" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Spice_Node_Sequence" "C B E" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Transistor, BJT, NPN" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "NPN_0_1" + (polyline + (pts + (xy -2.54 2.54) + (xy -2.54 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy -2.54 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy -2.54 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy -0.254 -1.905) + (xy -0.635 -2.667) + (xy 0 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy -0.254 -2.159) + (xy -0.508 -2.54) + (xy 0 -2.54) + (xy -0.254 -2.286) + (xy -0.381 -2.54) + (xy 0 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "NPN_1_1" + (pin input line (at -5.08 0 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "B" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 5.08 270) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "C" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -5.08 90) (length 2.54) + (name "E" (effects (font (size 1.27 1.27)))) + (number "E" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "OPA836IDBVR" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "OPA836IDBVR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "DRAFT" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "OPA836IDBVR_0_1" + (rectangle (start 0 0) (end 15.24 -15.24) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "OPA836IDBVR_1_1" + (pin output line (at 17.78 -7.62 180) (length 2.54) + (name "VOUT" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VS-" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "VIN+" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "VIN-" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -10.16 0) (length 2.54) + (name "~{PD}" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -12.7 0) (length 2.54) + (name "VS+" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "PC817" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at -5.08 5.08 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "PC817" (at 0 5.08 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_DIP:DIP-4_W7.62mm" (at -5.08 -5.08 0) + (effects (font (size 1.27 1.27) italic) (justify left) hide) + ) + (property "Datasheet" "http://www.soselectronic.cz/a_info/resource/d/pc817.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "NPN DC Optocoupler" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Optoisolator, Vce 35V, CTR 50-300%, DIP-4" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP*W7.62mm*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "PC817_0_1" + (rectangle (start -5.08 3.81) (end 5.08 -3.81) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (polyline + (pts + (xy -3.175 -0.635) + (xy -1.905 -0.635) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 0.635) + (xy 4.445 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 4.445 -2.54) + (xy 2.54 -0.635) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + (polyline + (pts + (xy 4.445 -2.54) + (xy 5.08 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 4.445 2.54) + (xy 5.08 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -5.08 2.54) + (xy -2.54 2.54) + (xy -2.54 0.635) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 -0.635) + (xy -2.54 -2.54) + (xy -5.08 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 1.905) + (xy 2.54 -1.905) + (xy 2.54 -1.905) + ) + (stroke (width 0.508) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 -0.635) + (xy -3.175 0.635) + (xy -1.905 0.635) + (xy -2.54 -0.635) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.508 -0.508) + (xy 0.762 -0.508) + (xy 0.381 -0.635) + (xy 0.381 -0.381) + (xy 0.762 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.508 0.508) + (xy 0.762 0.508) + (xy 0.381 0.381) + (xy 0.381 0.635) + (xy 0.762 0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.048 -1.651) + (xy 3.556 -1.143) + (xy 4.064 -2.159) + (xy 3.048 -1.651) + (xy 3.048 -1.651) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + ) + (symbol "PC817_1_1" + (pin passive line (at -7.62 2.54 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -2.54 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "PCA9685PW{slash}Q900,118" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "PCA9685PW{slash}Q900,118" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.nxp.com/docs/en/data-sheet/PCA9685.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "NXP USA Inc." (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "PCA9685PW/Q900,118" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, Driver, LED/Servo, 25mA, 28-TSSOP" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "PCA9685PW{slash}Q900,118_0_1" + (rectangle (start 0 0) (end 25.4 -50.8) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "PCA9685PW{slash}Q900,118_1_1" + (pin input line (at -2.54 -30.48 0) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -12.7 180) (length 2.54) + (name "LED4" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -15.24 180) (length 2.54) + (name "LED5" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -17.78 180) (length 2.54) + (name "LED6" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -20.32 180) (length 2.54) + (name "LED7" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -25.4 180) (length 2.54) + (name "LED8" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -27.94 180) (length 2.54) + (name "LED9" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -30.48 180) (length 2.54) + (name "LED10" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -33.02 180) (length 2.54) + (name "LED11" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -35.56 180) (length 2.54) + (name "LED12" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -33.02 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -38.1 180) (length 2.54) + (name "LED13" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -40.64 180) (length 2.54) + (name "LED14" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -43.18 180) (length 2.54) + (name "LED15" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -22.86 0) (length 2.54) + (name "nOE" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -43.18 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -25.4 0) (length 2.54) + (name "EXTCLK" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -17.78 0) (length 2.54) + (name "SCL" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -15.24 0) (length 2.54) + (name "SDA" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -48.26 0) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -35.56 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -38.1 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -40.64 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -2.54 180) (length 2.54) + (name "LED0" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -5.08 180) (length 2.54) + (name "LED1" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -7.62 180) (length 2.54) + (name "LED2" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -10.16 180) (length 2.54) + (name "LED3" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "PI3105-00-HVIZ" (pin_numbers hide) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "PI3105-00-HVIZ" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:Vicor_PI31xx-0x-HVIZ" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.vicorpower.com/documents/datasheets/ds_pi31xx_48Vin.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Vicor Corporation" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "PI3105-00-HVIZ" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "1102-5335-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "PoE, Regulator, Supply" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, Regulator, Isolated, PoE, Single, 12V 5A out, 36V - 75V in, 60W" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "PI3105-00-HVIZ_0_1" + (rectangle (start 0 0) (end 17.78 -30.48) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "PI3105-00-HVIZ_1_1" + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "+IN" (effects (font (size 1.27 1.27)))) + (number "+IN" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 20.32 -2.54 180) (length 2.54) + (name "+OUT" (effects (font (size 1.27 1.27)))) + (number "+OUT" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -27.94 0) (length 2.54) + (name "-IN" (effects (font (size 1.27 1.27)))) + (number "-IN" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 20.32 -27.94 180) (length 2.54) + (name "-OUT" (effects (font (size 1.27 1.27)))) + (number "-OUT" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -10.16 0) (length 2.54) + (name "ENABLE" (effects (font (size 1.27 1.27)))) + (number "ENABLE" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -2.54 -22.86 0) (length 2.54) + (name "SGND" (effects (font (size 1.27 1.27)))) + (number "SGND" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -2.54 -7.62 0) (length 2.54) + (name "TM" (effects (font (size 1.27 1.27)))) + (number "TM" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -12.7 0) (length 2.54) + (name "TRIM/SS" (effects (font (size 1.27 1.27)))) + (number "TRIM/SS" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "PMOS" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (at 1.27 1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "PMOS" (at 1.27 -1.27 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Transistor, MOSFET, P-Channel" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "PMOS_0_1" + (polyline + (pts + (xy -2.54 2.54) + (xy -2.54 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.397 -3.048) + (xy -1.905 -2.54) + (xy -1.397 -2.032) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.397 -2.921) + (xy -1.778 -2.54) + (xy -1.397 -2.159) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.397 -2.794) + (xy -1.651 -2.54) + (xy -1.397 -2.286) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 -2.54) + (xy -1.397 -3.175) + (xy -1.397 -1.905) + (xy -2.032 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy -2.032 2.54) + (xy -2.032 -2.54) + (xy 0 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "PMOS_0_2" + (polyline + (pts + (xy -2.54 2.54) + (xy -2.54 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 -1.27) + (xy -2.032 -2.54) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0.635) + (xy -2.032 -0.635) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 2.54) + (xy -2.032 1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 -1.905) + (xy 0 -1.905) + (xy 0 -2.54) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0) + (xy 0 0) + (xy 0 -2.54) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 1.905) + (xy 0 1.905) + (xy 0 2.54) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.635 0.254) + (xy -0.381 0) + (xy -0.635 -0.254) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.635 0.381) + (xy -0.254 0) + (xy -0.635 -0.381) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.635 0.508) + (xy -0.127 0) + (xy -0.635 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy -0.635 0.635) + (xy -0.635 -0.635) + (xy 0 0) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "PMOS_1_1" + (pin passive line (at 0 5.08 270) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "D" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -5.08 0 0) (length 2.54) + (name "G" (effects (font (size 1.27 1.27)))) + (number "G" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -5.08 90) (length 2.54) + (name "S" (effects (font (size 1.27 1.27)))) + (number "S" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "PMOS_1_2" + (pin passive line (at 0 5.08 270) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "D" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -5.08 0 0) (length 2.54) + (name "G" (effects (font (size 1.27 1.27)))) + (number "G" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -5.08 90) (length 2.54) + (name "S" (effects (font (size 1.27 1.27)))) + (number "S" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "PNP" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (at 1.27 1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "PNP" (at 1.27 -1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Transistor, BJT, PNP" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "PNP_0_1" + (polyline + (pts + (xy -2.54 2.54) + (xy -2.54 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy -2.54 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy -2.54 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 1.27) + (xy -1.778 1.143) + (xy -2.159 1.905) + (xy -2.54 1.27) + (xy -1.905 1.27) + (xy -2.159 1.778) + (xy -2.286 1.397) + (xy -2.032 1.27) + (xy -2.159 1.524) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "PNP_1_1" + (pin input line (at -5.08 0 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "B" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -5.08 90) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "C" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 5.08 270) (length 2.54) + (name "E" (effects (font (size 1.27 1.27)))) + (number "E" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "PNP_TEST" (extends "PNP") + (property "Reference" "Q" (at 1.27 1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "PNP_TEST" (at 1.27 -1.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Transistor, BJT, PNP" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 2.032 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R" (at -1.905 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:R0402" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "FieldName" "Value" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "*:R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0_1" + (polyline + (pts + (xy 0 -2.54) + (xy 0 -2.286) + (xy 1.016 -1.905) + (xy -1.016 -1.27) + (xy 1.016 -0.635) + (xy -1.016 0) + (xy 1.016 0.635) + (xy -1.016 1.27) + (xy 1.016 1.905) + (xy 0 2.286) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "R_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "R_Potentiometer" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R_Potentiometer" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Resistor, Potentiometer" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "*:R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_Potentiometer_0_1" + (polyline + (pts + (xy 1.27 0) + (xy 1.905 0.508) + (xy 1.905 -0.508) + (xy 1.27 0) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy 1.905 -0.381) + (xy 1.905 0.381) + (xy 1.27 0) + (xy 1.905 -0.254) + (xy 1.905 0.254) + (xy 1.27 0) + (xy 1.905 -0.127) + (xy 1.905 0.127) + (xy 1.27 0) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy 0 -2.286) + (xy 1.016 -1.905) + (xy -1.016 -1.27) + (xy 1.016 -0.635) + (xy -1.016 0) + (xy 1.016 0.635) + (xy -1.016 1.27) + (xy 1.016 1.905) + (xy 0 2.286) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "R_Potentiometer_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "R_Trim" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 2.032 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R_Trim" (at -1.905 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Resistor, Trimmer" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "*:R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_Trim_0_1" + (polyline + (pts + (xy 1.27 -2.54) + (xy -1.016 2.0066) + ) + (stroke (width 0.0508) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 2.54) + (xy -0.7874 2.159) + (xy -1.27 1.905) + (xy -1.27 2.54) + ) + (stroke (width 0.0508) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy 0 -2.286) + (xy 1.016 -1.905) + (xy -1.016 -1.27) + (xy 1.016 -0.635) + (xy -1.016 0) + (xy 1.016 0.635) + (xy -1.016 1.27) + (xy 1.016 1.905) + (xy 0 2.286) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "R_Trim_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SI3471A-A01-IMR" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SI3471A-A01-IMR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:QFN50P500X700X90-38P" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.mouser.com/datasheet/2/368/Si3471-1855463.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Silicon Labs" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SI3471A-A01-IMR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "336-SI3471A-A01-IMRCT-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "PoE" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, Power Over Ethernet, PSE, 802.3af/802.3at/802.3bt, QFN-38 (5x7)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SI3471A-A01-IMR_0_1" + (rectangle (start 0 0) (end 25.4 -86.36) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "SI3471A-A01-IMR_1_1" + (pin power_in line (at -2.54 -83.82 0) (length 2.54) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -66.04 0) (length 2.54) + (name "DGND" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -2.54 -33.02 0) (length 2.54) + (name "LED1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -33.02 180) (length 2.54) + (name "SENSE2" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 27.94 -40.64 180) (length 2.54) + (name "GATE2" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -68.58 0) (length 2.54) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VPWR" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -15.24 0) (length 2.54) + (name "VDDA" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 27.94 -55.88 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -71.12 0) (length 2.54) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 27.94 -58.42 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 27.94 -60.96 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 27.94 -63.5 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -2.54 -35.56 0) (length 2.54) + (name "LED2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 27.94 -66.04 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -73.66 0) (length 2.54) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 27.94 -68.58 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -76.2 0) (length 2.54) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 27.94 -71.12 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -78.74 0) (length 2.54) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 27.94 -73.66 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -81.28 0) (length 2.54) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 27.94 -76.2 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -63.5 0) (length 2.54) + (name "DGND" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -60.96 0) (length 2.54) + (name "DGND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -17.78 0) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -38.1 0) (length 2.54) + (name "RESETb" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 27.94 -78.74 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -40.64 0) (length 2.54) + (name "MIDb" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -43.18 0) (length 2.54) + (name "PWRAVL0" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -45.72 0) (length 2.54) + (name "PWRAVL1" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -48.26 0) (length 2.54) + (name "PWRAVL2" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 27.94 -81.28 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 27.94 -83.82 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -53.34 0) (length 2.54) + (name "DECPL" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 27.94 -10.16 180) (length 2.54) + (name "GATE1" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -17.78 180) (length 2.54) + (name "SENSE1" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -2.54 180) (length 2.54) + (name "DRAIN1" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -25.4 180) (length 2.54) + (name "KSENSE" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -48.26 180) (length 2.54) + (name "DRAIN2" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74LVC1G00DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G00DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g00.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G04DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Spice_Primitive" "X" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Spice_Model" "SN74LVC1G00" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Spice_Netlist_Enabled" "Y" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Spice_Node_Sequence" "Y A B VCC GND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Spice_Lib_File" "/home/brendan/Documents/projects/kicad_new/spice/ti/SN74LVC1G00.cir" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, Logic, NAND, Single, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G00DCKR_0_1" + (polyline + (pts + (xy 5.715 -4.699) + (xy 3.175 -4.699) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.493 -5.08) + (xy 9.525 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.715 -5.461) + (xy 4.445 -5.461) + (xy 4.445 -7.62) + (xy 3.175 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.096 -4.191) + (xy 5.715 -4.191) + (xy 5.715 -5.969) + (xy 6.096 -5.969) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -10.16) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (circle (center 7.239 -5.08) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SN74LVC1G00DCKR_1_1" + (arc (start 6.096 -5.969) (mid 6.9812 -5.08) (end 6.096 -4.191) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -7.62 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74AHCT1G00DCKR" (extends "SN74LVC1G00DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74AHCT1G00DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74ahct1g00.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74AHCT1G00DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, NAND, Single, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SN74LVC1G02DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G02DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g02.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G02DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, NOR, Single, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G02DCKR_0_1" + (polyline + (pts + (xy 3.175 -4.699) + (xy 5.9182 -4.699) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.493 -5.08) + (xy 9.525 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.8928 -5.461) + (xy 4.445 -5.461) + (xy 4.445 -7.62) + (xy 3.175 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -10.16) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (arc (start 5.715 -5.969) (mid 5.9952 -5.08) (end 5.715 -4.191) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 5.715 -5.969) (mid 6.4959 -5.7319) (end 6.985 -5.08) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 7.239 -5.08) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SN74LVC1G02DCKR_1_1" + (arc (start 6.985 -5.08) (mid 6.4938 -4.4311) (end 5.715 -4.191) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -7.62 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74AHCT1G02DCKR" (extends "SN74LVC1G02DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74AHCT1G02DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74ahct1g02.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74AHCT1G02DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, NOR, Single, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SN74LVC1G04DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G04DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g04.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G04DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-11600-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, NOT, Single, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G04DCKR_0_1" + (polyline + (pts + (xy 5.715 -5.08) + (xy 3.175 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.493 -5.08) + (xy 9.525 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.715 -5.08) + (xy 5.715 -4.191) + (xy 6.985 -5.08) + (xy 5.715 -5.969) + (xy 5.715 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -10.16) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (circle (center 7.239 -5.08) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SN74LVC1G04DCKR_1_1" + (pin passive line (at -2.54 -7.62 0) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -7.62 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74AHCT1G04DCKR" (extends "SN74LVC1G04DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74AHCT1G04DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74ahct1g04.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74AHCT1G04DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-4706-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, NOT, Single, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SN74LVC1G08DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G08DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g08.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G08DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-11602-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, AND, Single, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G08DCKR_0_1" + (polyline + (pts + (xy 5.715 -4.699) + (xy 3.175 -4.699) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -5.08) + (xy 9.525 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.715 -5.461) + (xy 4.445 -5.461) + (xy 4.445 -7.62) + (xy 3.175 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.096 -4.191) + (xy 5.715 -4.191) + (xy 5.715 -5.969) + (xy 6.096 -5.969) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -10.16) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "SN74LVC1G08DCKR_1_1" + (arc (start 6.096 -5.969) (mid 6.9812 -5.08) (end 6.096 -4.191) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -7.62 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74AHCT1G08DCKR" (extends "SN74LVC1G08DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74AHCT1G08DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74ahct1g08.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74AHCT1G08DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-4707-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, AND, Single, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SN74LVC1G08DRLR" (extends "SN74LVC1G08DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G08DRLR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-553" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74lvc1g08.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G08DRLR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-18011-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, AND, Single, SOT-553" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SN74LVC1G125DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G125DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g125.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G125DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-11604-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, Buffer, Tri-state, Single, Low Enable, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G125DCKR_0_1" + (polyline + (pts + (xy 5.715 -5.08) + (xy 3.175 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -5.08) + (xy 9.525 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -5.842) + (xy 6.35 -7.62) + (xy 5.08 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.715 -5.08) + (xy 5.715 -4.191) + (xy 6.985 -5.08) + (xy 5.715 -5.969) + (xy 5.715 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -10.16) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (circle (center 6.35 -5.715) (radius 0.127) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SN74LVC1G125DCKR_1_1" + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -7.62 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74AHCT1G125DCKR" (extends "SN74LVC1G125DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74AHCT1G125DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74ahct1g125.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74AHCT1G125DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, Buffer, Tri-state, Single, Low Enable, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SN74LVC1G126DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G126DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g126.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G126DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, Buffer, Tri-state, Single, High Enable, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G126DCKR_0_1" + (polyline + (pts + (xy 5.715 -5.08) + (xy 3.175 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -5.08) + (xy 9.525 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -5.588) + (xy 6.35 -7.62) + (xy 5.08 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.715 -5.08) + (xy 5.715 -4.191) + (xy 6.985 -5.08) + (xy 5.715 -5.969) + (xy 5.715 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -10.16) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "SN74LVC1G126DCKR_1_1" + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "OE" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -7.62 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74AHCT1G126DCKR" (extends "SN74LVC1G126DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74AHCT1G126DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74ahct1g126.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74AHCT1G126DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, Buffer, Tri-state, Single, High Enable, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SN74LVC1G3157DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G3157DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-363" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g3157.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G3157DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Switch, Single, SOT-363" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G3157DCKR_0_0" + (polyline + (pts + (xy 6.35 -8.382) + (xy 6.35 -8.128) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -7.62) + (xy 6.35 -7.366) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -6.858) + (xy 6.35 -6.604) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.112 -6.35) + (xy 5.334 -5.842) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -6.35) + (xy 8.255 -6.35) + (xy 9.525 -5.08) + (xy 10.16 -5.08) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (circle (center 5.334 -7.62) (radius 0.254) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (circle (center 5.334 -5.08) (radius 0.254) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (circle (center 7.366 -6.35) (radius 0.254) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (text "0" (at 4.445 -4.445 0) + (effects (font (size 0.635 0.635))) + ) + (text "1" (at 4.445 -6.985 0) + (effects (font (size 0.635 0.635))) + ) + ) + (symbol "SN74LVC1G3157DCKR_0_1" + (polyline + (pts + (xy 3.81 -7.62) + (xy 5.08 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 -5.08) + (xy 3.81 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -8.89) + (xy 6.35 -10.16) + (xy 3.81 -10.16) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -12.7) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "SN74LVC1G3157DCKR_1_1" + (pin passive line (at -2.54 -7.62 0) (length 2.54) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -10.16 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -5.08 0) (length 2.54) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 15.24 -5.08 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -10.16 0) (length 2.54) + (name "S" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74LVC1G32DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G32DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g32.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G32DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-9848-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, OR, Single, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G32DCKR_0_1" + (polyline + (pts + (xy 3.175 -4.699) + (xy 5.9182 -4.699) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -5.08) + (xy 9.525 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.8928 -5.461) + (xy 4.445 -5.461) + (xy 4.445 -7.62) + (xy 3.175 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -10.16) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (arc (start 5.715 -5.969) (mid 5.9952 -5.08) (end 5.715 -4.191) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 5.715 -5.969) (mid 6.4959 -5.7319) (end 6.985 -5.08) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SN74LVC1G32DCKR_1_1" + (arc (start 6.985 -5.08) (mid 6.4938 -4.4311) (end 5.715 -4.191) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -7.62 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74AHCT1G32DCKR" (extends "SN74LVC1G32DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74AHCT1G32DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74ahct1g32.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74AHCT1G32DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, OR, Single, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SN74LVC1G57DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G57DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-363" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g57.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G57DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, Configurable, Single, SOT-363" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G57DCKR_0_0" + (text "0" (at 5.715 -5.08 0) + (effects (font (size 0.635 0.635))) + ) + (text "1" (at 5.715 -7.62 0) + (effects (font (size 0.635 0.635))) + ) + ) + (symbol "SN74LVC1G57DCKR_0_1" + (polyline + (pts + (xy 4.572 -5.08) + (xy 3.175 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 -7.62) + (xy 3.175 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -5.08) + (xy 9.525 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.096 -8.636) + (xy 6.096 -10.16) + (xy 3.175 -10.16) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -4.445) + (xy 5.08 -3.81) + (xy 5.08 -8.89) + (xy 6.985 -8.255) + (xy 6.985 -4.445) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -12.7) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (circle (center 4.826 -5.08) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SN74LVC1G57DCKR_1_1" + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -10.16 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -10.16 0) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74LVC1G57DRLR" (extends "SN74LVC1G57DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G57DRLR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-563" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74lvc1g57.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G57DRLR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-18653-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, Configurable, Single, SOT-563" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SN74LVC1G58DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G58DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-363" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g58.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G58DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, Configurable, Single, SOT-363" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G58DCKR_0_0" + (text "0" (at 5.715 -5.08 0) + (effects (font (size 0.635 0.635))) + ) + (text "1" (at 5.715 -7.62 0) + (effects (font (size 0.635 0.635))) + ) + ) + (symbol "SN74LVC1G58DCKR_0_1" + (polyline + (pts + (xy 4.572 -7.62) + (xy 3.175 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 -5.08) + (xy 3.175 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -5.08) + (xy 9.525 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.096 -8.636) + (xy 6.096 -10.16) + (xy 3.175 -10.16) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -4.445) + (xy 5.08 -3.81) + (xy 5.08 -8.89) + (xy 6.985 -8.255) + (xy 6.985 -4.445) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -12.7) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (circle (center 4.826 -7.62) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SN74LVC1G58DCKR_1_1" + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -10.16 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -10.16 0) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74LVC1G79DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G79DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g79.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G79DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, Flip-Flop, Single, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G79DCKR_0_1" + (polyline + (pts + (xy 0 -8.255) + (xy 0.635 -7.62) + (xy 0 -6.985) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -10.16) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "SN74LVC1G79DCKR_1_1" + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -7.62 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Q" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74LVC1G80DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G80DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g80.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G80DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, Flip-Flop, Single, Inverted, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G80DCKR_0_1" + (polyline + (pts + (xy 0 -8.255) + (xy 0.635 -7.62) + (xy 0 -6.985) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -10.16) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "SN74LVC1G80DCKR_1_1" + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -7.62 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "~{Q}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74LVC1G97DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G97DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-363" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g97.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G97DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, Configurable, Single, SOT-363" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G97DCKR_0_1" + (polyline + (pts + (xy 5.08 -7.62) + (xy 3.175 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 -5.08) + (xy 3.175 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -5.08) + (xy 9.525 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.096 -8.636) + (xy 6.096 -10.16) + (xy 3.175 -10.16) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -4.445) + (xy 5.08 -3.81) + (xy 5.08 -8.89) + (xy 6.985 -8.255) + (xy 6.985 -4.445) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -12.7) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (text "0" (at 5.715 -7.62 0) + (effects (font (size 0.635 0.635))) + ) + (text "1" (at 5.715 -5.08 0) + (effects (font (size 0.635 0.635))) + ) + ) + (symbol "SN74LVC1G97DCKR_0_2" + (polyline + (pts + (xy 5.715 -4.699) + (xy 3.175 -4.699) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -5.08) + (xy 9.525 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.715 -5.461) + (xy 4.445 -5.461) + (xy 4.445 -7.62) + (xy 3.175 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.096 -4.191) + (xy 5.715 -4.191) + (xy 5.715 -5.969) + (xy 6.096 -5.969) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -12.7) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "SN74LVC1G97DCKR_1_1" + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -10.16 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -10.16 0) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "SN74LVC1G97DCKR_1_2" + (arc (start 6.096 -5.969) (mid 6.9812 -5.08) (end 6.096 -4.191) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (pin input line (at -2.54 -10.16 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -10.16 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74LVC1G98DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1G98DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-363" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/sn74lvc1g98.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1G98DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, Configurable, Single, SOT-363" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1G98DCKR_0_0" + (text "0" (at 5.715 -7.62 0) + (effects (font (size 0.635 0.635))) + ) + (text "1" (at 5.715 -5.08 0) + (effects (font (size 0.635 0.635))) + ) + ) + (symbol "SN74LVC1G98DCKR_0_1" + (polyline + (pts + (xy 5.08 -7.62) + (xy 3.175 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 -5.08) + (xy 3.175 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.493 -5.08) + (xy 9.525 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.096 -8.636) + (xy 6.096 -10.16) + (xy 3.175 -10.16) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -4.445) + (xy 5.08 -3.81) + (xy 5.08 -8.89) + (xy 6.985 -8.255) + (xy 6.985 -4.445) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -12.7) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (circle (center 7.239 -5.08) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SN74LVC1G98DCKR_1_1" + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -10.16 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 15.24 -5.08 180) (length 2.54) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -10.16 0) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74LVC1T45DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC1T45DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-363" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74lvc1t45.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC1T45DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-16844-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Logic, Translator, Bidirectional, Single, SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC1T45DCKR_0_1" + (polyline + (pts + (xy 4.445 -6.35) + (xy 4.445 -5.08) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 -5.08) + (xy 2.54 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -6.35) + (xy 4.445 -6.35) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -5.08) + (xy 10.16 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -6.35) + (xy 8.255 -6.35) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 8.255 -6.35) + (xy 8.255 -5.08) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.715 -5.588) + (xy 5.715 -7.62) + (xy 4.445 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.985 -7.112) + (xy 6.985 -7.62) + (xy 5.715 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.08 -5.08) + (xy 5.08 -4.191) + (xy 6.35 -5.08) + (xy 5.08 -5.969) + (xy 5.08 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -6.35) + (xy 7.62 -5.461) + (xy 6.35 -6.35) + (xy 7.62 -7.239) + (xy 7.62 -6.35) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -10.16) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (circle (center 4.445 -5.08) (radius 0.127) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.715 -7.62) (radius 0.127) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 6.985 -6.985) (radius 0.127) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 8.255 -5.08) (radius 0.127) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SN74LVC1T45DCKR_1_1" + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCCA" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -7.62 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 15.24 -5.08 180) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "DIR" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -2.54 180) (length 2.54) + (name "VCCB" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74LVC540APWR" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74lvc540a.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC540APWR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-12909-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Buffer, Octal, Non-Inverting" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC540APWR_0_1" + (polyline + (pts + (xy 5.842 -27.94) + (xy 4.445 -27.94) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -22.86) + (xy 3.81 -22.86) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -20.32) + (xy 3.81 -20.32) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -17.78) + (xy 3.81 -17.78) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -15.24) + (xy 3.81 -15.24) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -12.7) + (xy 3.81 -12.7) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -10.16) + (xy 3.81 -10.16) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -7.62) + (xy 3.81 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -5.08) + (xy 3.81 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -23.4188) + (xy 6.35 -25.781) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -20.8788) + (xy 6.35 -22.3012) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -18.3388) + (xy 6.35 -19.7612) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -15.7988) + (xy 6.35 -17.2212) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -13.2588) + (xy 6.35 -14.6812) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -10.7188) + (xy 6.35 -12.1412) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -8.1788) + (xy 6.35 -9.6012) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -5.6388) + (xy 6.35 -7.0612) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 8.255 -27.686) + (xy 6.35 -25.781) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -22.86) + (xy 7.62 -22.86) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -20.32) + (xy 7.62 -20.32) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -17.78) + (xy 7.62 -17.78) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -15.24) + (xy 7.62 -15.24) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -12.7) + (xy 7.62 -12.7) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -10.16) + (xy 7.62 -10.16) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -7.62) + (xy 7.62 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -5.08) + (xy 7.62 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -28.321) + (xy 8.255 -28.321) + (xy 8.255 -27.686) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -28.702) + (xy 5.08 -28.702) + (xy 5.08 -30.48) + (xy 4.445 -30.48) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.731 -27.432) + (xy 6.35 -27.432) + (xy 6.35 -29.21) + (xy 6.731 -29.21) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -22.86) + (xy 5.842 -21.971) + (xy 7.112 -22.86) + (xy 5.842 -23.749) + (xy 5.842 -22.86) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -20.32) + (xy 5.842 -19.431) + (xy 7.112 -20.32) + (xy 5.842 -21.209) + (xy 5.842 -20.32) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -17.78) + (xy 5.842 -16.891) + (xy 7.112 -17.78) + (xy 5.842 -18.669) + (xy 5.842 -17.78) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -15.24) + (xy 5.842 -14.351) + (xy 7.112 -15.24) + (xy 5.842 -16.129) + (xy 5.842 -15.24) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -12.7) + (xy 5.842 -11.811) + (xy 7.112 -12.7) + (xy 5.842 -13.589) + (xy 5.842 -12.7) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -10.16) + (xy 5.842 -9.271) + (xy 7.112 -10.16) + (xy 5.842 -11.049) + (xy 5.842 -10.16) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -7.62) + (xy 5.842 -6.731) + (xy 7.112 -7.62) + (xy 5.842 -8.509) + (xy 5.842 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -5.08) + (xy 5.842 -4.191) + (xy 7.112 -5.08) + (xy 5.842 -5.969) + (xy 5.842 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -33.02) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (circle (center 6.096 -28.702) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 6.096 -27.94) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 7.366 -22.86) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 7.366 -20.32) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 7.366 -17.78) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 7.366 -15.24) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 7.366 -12.7) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 7.366 -10.16) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 7.366 -7.62) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 7.366 -5.08) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SN74LVC540APWR_1_1" + (arc (start 6.731 -29.21) (mid 7.6162 -28.321) (end 6.731 -27.432) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (pin input line (at -2.54 -27.94 0) (length 2.54) + (name "~{OE1}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -30.48 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -22.86 180) (length 2.54) + (name "Y8" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -20.32 180) (length 2.54) + (name "Y7" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -17.78 180) (length 2.54) + (name "Y6" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -15.24 180) (length 2.54) + (name "Y5" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -12.7 180) (length 2.54) + (name "Y4" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -10.16 180) (length 2.54) + (name "Y3" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -7.62 180) (length 2.54) + (name "Y2" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -5.08 180) (length 2.54) + (name "Y1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -30.48 0) (length 2.54) + (name "~{OE2}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -10.16 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -12.7 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -15.24 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -17.78 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -20.32 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -22.86 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74LVC541APWR" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/sn74lvc541a.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "SN74LVC541APWR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-8521-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Buffer, Octal, Inverting" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SN74LVC541APWR_0_1" + (polyline + (pts + (xy 5.842 -27.94) + (xy 4.445 -27.94) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -22.86) + (xy 3.81 -22.86) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -20.32) + (xy 3.81 -20.32) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -17.78) + (xy 3.81 -17.78) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -15.24) + (xy 3.81 -15.24) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -12.7) + (xy 3.81 -12.7) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -10.16) + (xy 3.81 -10.16) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -7.62) + (xy 3.81 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -5.08) + (xy 3.81 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -23.4188) + (xy 6.35 -25.781) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -20.8788) + (xy 6.35 -22.3012) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -18.3388) + (xy 6.35 -19.7612) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -15.7988) + (xy 6.35 -17.2212) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -13.2588) + (xy 6.35 -14.6812) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -10.7188) + (xy 6.35 -12.1412) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -8.1788) + (xy 6.35 -9.6012) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.35 -5.6388) + (xy 6.35 -7.0612) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 8.255 -27.686) + (xy 6.35 -25.781) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -22.86) + (xy 7.112 -22.86) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -20.32) + (xy 7.112 -20.32) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -17.78) + (xy 7.112 -17.78) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -15.24) + (xy 7.112 -15.24) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -12.7) + (xy 7.112 -12.7) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -10.16) + (xy 7.112 -10.16) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -7.62) + (xy 7.112 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 9.525 -5.08) + (xy 7.112 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -28.321) + (xy 8.255 -28.321) + (xy 8.255 -27.686) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -28.702) + (xy 5.08 -28.702) + (xy 5.08 -30.48) + (xy 4.445 -30.48) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 6.731 -27.432) + (xy 6.35 -27.432) + (xy 6.35 -29.21) + (xy 6.731 -29.21) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -22.86) + (xy 5.842 -21.971) + (xy 7.112 -22.86) + (xy 5.842 -23.749) + (xy 5.842 -22.86) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -20.32) + (xy 5.842 -19.431) + (xy 7.112 -20.32) + (xy 5.842 -21.209) + (xy 5.842 -20.32) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -17.78) + (xy 5.842 -16.891) + (xy 7.112 -17.78) + (xy 5.842 -18.669) + (xy 5.842 -17.78) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -15.24) + (xy 5.842 -14.351) + (xy 7.112 -15.24) + (xy 5.842 -16.129) + (xy 5.842 -15.24) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -12.7) + (xy 5.842 -11.811) + (xy 7.112 -12.7) + (xy 5.842 -13.589) + (xy 5.842 -12.7) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -10.16) + (xy 5.842 -9.271) + (xy 7.112 -10.16) + (xy 5.842 -11.049) + (xy 5.842 -10.16) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -7.62) + (xy 5.842 -6.731) + (xy 7.112 -7.62) + (xy 5.842 -8.509) + (xy 5.842 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 5.842 -5.08) + (xy 5.842 -4.191) + (xy 7.112 -5.08) + (xy 5.842 -5.969) + (xy 5.842 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 12.7 -33.02) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (circle (center 6.096 -28.702) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 6.096 -27.94) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SN74LVC541APWR_1_1" + (arc (start 6.731 -29.21) (mid 7.6162 -28.321) (end 6.731 -27.432) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (pin input line (at -2.54 -27.94 0) (length 2.54) + (name "~{OE1}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -30.48 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -22.86 180) (length 2.54) + (name "Y8" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -20.32 180) (length 2.54) + (name "Y7" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -17.78 180) (length 2.54) + (name "Y6" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -15.24 180) (length 2.54) + (name "Y5" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -12.7 180) (length 2.54) + (name "Y4" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -10.16 180) (length 2.54) + (name "Y3" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -7.62 180) (length 2.54) + (name "Y2" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 -5.08 180) (length 2.54) + (name "Y1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -30.48 0) (length 2.54) + (name "~{OE2}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -5.08 0) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -7.62 0) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -10.16 0) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -12.7 0) (length 2.54) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -15.24 0) (length 2.54) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -17.78 0) (length 2.54) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -20.32 0) (length 2.54) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -22.86 0) (length 2.54) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "STM32F410CBU3" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "STM32F410CBU3" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32f410cb.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "STMicroelectronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "STM32F410CBU3" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "497-STM32F410CBU3-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Microcontroller, ARM Cortex-M4, 100MHz, 128KB FLASH, QFN-48 (7x7)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "STM32F410CBU3_0_1" + (rectangle (start 0 0) (end 25.4 -88.9) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "STM32F410CBU3_1_1" + (pin power_in line (at -2.54 -58.42 0) (length 2.54) + (name "VBAT" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -2.54 0) (length 2.54) + (name "PA0" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -5.08 0) (length 2.54) + (name "PA1" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -7.62 0) (length 2.54) + (name "PA2" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -10.16 0) (length 2.54) + (name "PA3" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -12.7 0) (length 2.54) + (name "PA4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -15.24 0) (length 2.54) + (name "PA5" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -17.78 0) (length 2.54) + (name "PA6" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -20.32 0) (length 2.54) + (name "PA7" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -2.54 180) (length 2.54) + (name "PB0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -5.08 180) (length 2.54) + (name "PB1" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -48.26 180) (length 2.54) + (name "PC13" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -7.62 180) (length 2.54) + (name "PB2" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -27.94 180) (length 2.54) + (name "PB10" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -53.34 0) (length 2.54) + (name "VCAP_1" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -78.74 0) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -66.04 0) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -33.02 180) (length 2.54) + (name "PB12" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -35.56 180) (length 2.54) + (name "PB13" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -38.1 180) (length 2.54) + (name "PB14" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -40.64 180) (length 2.54) + (name "PB15" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -22.86 0) (length 2.54) + (name "PA8" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -50.8 180) (length 2.54) + (name "PC14-0SC32_IN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -25.4 0) (length 2.54) + (name "PA9" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -27.94 0) (length 2.54) + (name "PA10" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -30.48 0) (length 2.54) + (name "PA11" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -33.02 0) (length 2.54) + (name "PA12" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -35.56 0) (length 2.54) + (name "PA13" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -81.28 0) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -68.58 0) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -38.1 0) (length 2.54) + (name "PA14" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -40.64 0) (length 2.54) + (name "PA15" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -10.16 180) (length 2.54) + (name "PB3" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -58.42 180) (length 2.54) + (name "PC15-OSC32_OUT" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -12.7 180) (length 2.54) + (name "PB4" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -15.24 180) (length 2.54) + (name "PB5" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -17.78 180) (length 2.54) + (name "PB6" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -20.32 180) (length 2.54) + (name "PB7" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -48.26 0) (length 2.54) + (name "BOOT0" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -22.86 180) (length 2.54) + (name "PB8" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -25.4 180) (length 2.54) + (name "PB9" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -83.82 0) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -71.12 0) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -71.12 180) (length 2.54) + (name "PH0-OSC_IN" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -78.74 180) (length 2.54) + (name "PH1-OSC_OUT" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -45.72 0) (length 2.54) + (name "NRST" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -86.36 0) (length 2.54) + (name "VSSA/VREF-" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -63.5 0) (length 2.54) + (name "VDDA/VREF+" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "STM32H733ZGT6" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "STM32H733ZGT6" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:QFP50P2000X2000X160-144N" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32h733vg.pdf" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "STMicroelectronics" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "STM32H733ZGT6" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Mouser" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "511-STM32H733ZGT6" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Microcontroller, ARM Cortex-M7, 550MHz, Hardware Crypto, QFP-144" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "STM32H733ZGT6_0_1" + (rectangle (start 0 0) (end 25.4 -203.2) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "STM32H733ZGT6_1_1" + (pin bidirectional line (at 29.21 -50.8 180) (length 3.81) + (name "PE2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -88.9 180) (length 3.81) + (name "PF0" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -22.86 0) (length 3.81) + (name "PA8" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -25.4 0) (length 3.81) + (name "PA9" (effects (font (size 1.27 1.27)))) + (number "101" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -27.94 0) (length 3.81) + (name "PA10" (effects (font (size 1.27 1.27)))) + (number "102" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -30.48 0) (length 3.81) + (name "PA11" (effects (font (size 1.27 1.27)))) + (number "103" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -33.02 0) (length 3.81) + (name "PA12" (effects (font (size 1.27 1.27)))) + (number "104" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -35.56 0) (length 3.81) + (name "PA13-SWDIO" (effects (font (size 1.27 1.27)))) + (number "105" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -200.66 180) (length 3.81) + (name "VCAP" (effects (font (size 1.27 1.27)))) + (number "106" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -195.58 0) (length 3.81) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "107" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -154.94 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "108" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -38.1 0) (length 3.81) + (name "PA14-SWCLK" (effects (font (size 1.27 1.27)))) + (number "109" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -91.44 180) (length 3.81) + (name "PF1" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -40.64 0) (length 3.81) + (name "PA15-JTDI" (effects (font (size 1.27 1.27)))) + (number "110" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -114.3 0) (length 3.81) + (name "PC10" (effects (font (size 1.27 1.27)))) + (number "111" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -116.84 0) (length 3.81) + (name "PC11" (effects (font (size 1.27 1.27)))) + (number "112" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -119.38 0) (length 3.81) + (name "PC12" (effects (font (size 1.27 1.27)))) + (number "113" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -2.54 180) (length 3.81) + (name "PD0" (effects (font (size 1.27 1.27)))) + (number "114" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -5.08 180) (length 3.81) + (name "PD1" (effects (font (size 1.27 1.27)))) + (number "115" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -7.62 180) (length 3.81) + (name "PD2" (effects (font (size 1.27 1.27)))) + (number "116" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -10.16 180) (length 3.81) + (name "PD3" (effects (font (size 1.27 1.27)))) + (number "117" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -12.7 180) (length 3.81) + (name "PD4" (effects (font (size 1.27 1.27)))) + (number "118" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -15.24 180) (length 3.81) + (name "PD5" (effects (font (size 1.27 1.27)))) + (number "119" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -93.98 180) (length 3.81) + (name "PF2" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -198.12 0) (length 3.81) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "120" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -157.48 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "121" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -17.78 180) (length 3.81) + (name "PD6" (effects (font (size 1.27 1.27)))) + (number "122" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -20.32 180) (length 3.81) + (name "PD7" (effects (font (size 1.27 1.27)))) + (number "123" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -154.94 180) (length 3.81) + (name "PG9" (effects (font (size 1.27 1.27)))) + (number "124" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -157.48 180) (length 3.81) + (name "PG10" (effects (font (size 1.27 1.27)))) + (number "125" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -160.02 180) (length 3.81) + (name "PG11" (effects (font (size 1.27 1.27)))) + (number "126" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -162.56 180) (length 3.81) + (name "PG12" (effects (font (size 1.27 1.27)))) + (number "127" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -165.1 180) (length 3.81) + (name "PG13" (effects (font (size 1.27 1.27)))) + (number "128" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -167.64 180) (length 3.81) + (name "PG14" (effects (font (size 1.27 1.27)))) + (number "129" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -96.52 180) (length 3.81) + (name "PF3" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -200.66 0) (length 3.81) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "130" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -160.02 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "131" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -170.18 180) (length 3.81) + (name "PG15" (effects (font (size 1.27 1.27)))) + (number "132" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -53.34 0) (length 3.81) + (name "PB3-TRACESWO" (effects (font (size 1.27 1.27)))) + (number "133" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -55.88 0) (length 3.81) + (name "PB4-NJTRST" (effects (font (size 1.27 1.27)))) + (number "134" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -58.42 0) (length 3.81) + (name "PB5" (effects (font (size 1.27 1.27)))) + (number "135" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -60.96 0) (length 3.81) + (name "PB6" (effects (font (size 1.27 1.27)))) + (number "136" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -63.5 0) (length 3.81) + (name "PB7" (effects (font (size 1.27 1.27)))) + (number "137" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 29.21 -190.5 180) (length 3.81) + (name "BOOT0" (effects (font (size 1.27 1.27)))) + (number "138" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -66.04 0) (length 3.81) + (name "PB8" (effects (font (size 1.27 1.27)))) + (number "139" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -99.06 180) (length 3.81) + (name "PF4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -68.58 0) (length 3.81) + (name "PB9" (effects (font (size 1.27 1.27)))) + (number "140" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -45.72 180) (length 3.81) + (name "PE0" (effects (font (size 1.27 1.27)))) + (number "141" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -48.26 180) (length 3.81) + (name "PE1" (effects (font (size 1.27 1.27)))) + (number "142" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 29.21 -187.96 180) (length 3.81) + (name "PDR_ON" (effects (font (size 1.27 1.27)))) + (number "143" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -162.56 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "144" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -101.6 180) (length 3.81) + (name "PF5" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -180.34 0) (length 3.81) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -137.16 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -104.14 180) (length 3.81) + (name "PF6" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -106.68 180) (length 3.81) + (name "PF7" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -53.34 180) (length 3.81) + (name "PE3" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -109.22 180) (length 3.81) + (name "PF8" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -111.76 180) (length 3.81) + (name "PF9" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -114.3 180) (length 3.81) + (name "PF10" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -175.26 180) (length 3.81) + (name "PH0-OSC_IN" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -177.8 180) (length 3.81) + (name "PH1-OSC_OUT" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 29.21 -193.04 180) (length 3.81) + (name "NRST" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -88.9 0) (length 3.81) + (name "PC0" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -91.44 0) (length 3.81) + (name "PC1" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -93.98 0) (length 3.81) + (name "PC2_C" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -96.52 0) (length 3.81) + (name "PC3_C" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -55.88 180) (length 3.81) + (name "PE4" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -139.7 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -177.8 0) (length 3.81) + (name "VSSA" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -167.64 0) (length 3.81) + (name "VREF+" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -165.1 0) (length 3.81) + (name "VDDA" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -2.54 0) (length 3.81) + (name "PA0" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -5.08 0) (length 3.81) + (name "PA1" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -7.62 0) (length 3.81) + (name "PA2" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -10.16 0) (length 3.81) + (name "PA3" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -182.88 0) (length 3.81) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -142.24 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -58.42 180) (length 3.81) + (name "PE5" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -12.7 0) (length 3.81) + (name "PA4" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -15.24 0) (length 3.81) + (name "PA5" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -17.78 0) (length 3.81) + (name "PA6" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -20.32 0) (length 3.81) + (name "PA7" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -99.06 0) (length 3.81) + (name "PC4" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -101.6 0) (length 3.81) + (name "PC5" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -45.72 0) (length 3.81) + (name "PB0" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -48.26 0) (length 3.81) + (name "PB1" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -50.8 0) (length 3.81) + (name "PB2" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -116.84 180) (length 3.81) + (name "PF11" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -60.96 180) (length 3.81) + (name "PE6" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -119.38 180) (length 3.81) + (name "PF12" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -185.42 0) (length 3.81) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -144.78 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -121.92 180) (length 3.81) + (name "PF13" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -124.46 180) (length 3.81) + (name "PF14" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -127 180) (length 3.81) + (name "PF15" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -132.08 180) (length 3.81) + (name "PG0" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -134.62 180) (length 3.81) + (name "PG1" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -63.5 180) (length 3.81) + (name "PE7" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -66.04 180) (length 3.81) + (name "PE8" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -132.08 0) (length 3.81) + (name "VBAT" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -68.58 180) (length 3.81) + (name "PE9" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -187.96 0) (length 3.81) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -147.32 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -71.12 180) (length 3.81) + (name "PE10" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -73.66 180) (length 3.81) + (name "PE11" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -76.2 180) (length 3.81) + (name "PE12" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -78.74 180) (length 3.81) + (name "PE13" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -81.28 180) (length 3.81) + (name "PE14" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -83.82 180) (length 3.81) + (name "PE15" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -71.12 0) (length 3.81) + (name "PB10" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -121.92 0) (length 3.81) + (name "PC13" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -73.66 0) (length 3.81) + (name "PB11" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -198.12 180) (length 3.81) + (name "VCAP" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -149.86 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -76.2 0) (length 3.81) + (name "PB12" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -78.74 0) (length 3.81) + (name "PB13" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -81.28 0) (length 3.81) + (name "PB14" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -83.82 0) (length 3.81) + (name "PB15" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -22.86 180) (length 3.81) + (name "PD8" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -25.4 180) (length 3.81) + (name "PD9" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -27.94 180) (length 3.81) + (name "PD10" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -124.46 0) (length 3.81) + (name "PC14-OSC32_IN" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -30.48 180) (length 3.81) + (name "PD11" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -33.02 180) (length 3.81) + (name "PD12" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -35.56 180) (length 3.81) + (name "PD13" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -190.5 0) (length 3.81) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -152.4 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -38.1 180) (length 3.81) + (name "PD14" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -40.64 180) (length 3.81) + (name "PD15" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -137.16 180) (length 3.81) + (name "PG2" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -139.7 180) (length 3.81) + (name "PG3" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -142.24 180) (length 3.81) + (name "PG4" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -127 0) (length 3.81) + (name "PC15-OSC32_OUT" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -144.78 180) (length 3.81) + (name "PG5" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -147.32 180) (length 3.81) + (name "PG6" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -149.86 180) (length 3.81) + (name "PG7" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 29.21 -152.4 180) (length 3.81) + (name "PG8" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -193.04 0) (length 3.81) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -134.62 0) (length 3.81) + (name "VDD33USB" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -104.14 0) (length 3.81) + (name "PC6" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -106.68 0) (length 3.81) + (name "PC7" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -109.22 0) (length 3.81) + (name "PC8" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -111.76 0) (length 3.81) + (name "PC9" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "STM32H723ZGT6" (extends "STM32H733ZGT6") + (property "Reference" "U" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "STM32H723ZGT6" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:QFP50P2000X2000X160-144N" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32h723ve.pdf" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "STMicroelectronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "STM32H723ZGT6" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "497-STM32H723ZGT6-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Microcontroller, ARM Cortex-M7, 550MHz, QFP-144" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "STM32H750VBT6" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "STM32H750VBT6" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.st.com/resource/en/datasheet/stm32h750ib.pdf" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "STMicroelectronics" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "STM32H750VBT6" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Mouser" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "511-STM32H750VBT6 " (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Microcontroller, ARM Cortex-M7" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "STM32H750VBT6_0_1" + (rectangle (start 0 0) (end 25.4 -147.32) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "STM32H750VBT6_1_1" + (pin bidirectional line (at 27.94 -50.8 180) (length 2.54) + (name "PE2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -134.62 180) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -119.38 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -109.22 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -88.9 180) (length 2.54) + (name "PH0-OSC_IN" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -91.44 180) (length 2.54) + (name "PH1-OSC_OUT" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -99.06 180) (length 2.54) + (name "NRST" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -88.9 0) (length 2.54) + (name "PC0" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -91.44 0) (length 2.54) + (name "PC1" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -93.98 0) (length 2.54) + (name "PC2_C" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -96.52 0) (length 2.54) + (name "PC3_C" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -144.78 0) (length 2.54) + (name "VSSA" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -53.34 180) (length 2.54) + (name "PE3" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -134.62 0) (length 2.54) + (name "VREF+" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -132.08 0) (length 2.54) + (name "VDDA" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -2.54 0) (length 2.54) + (name "PA0" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -5.08 0) (length 2.54) + (name "PA1" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -7.62 0) (length 2.54) + (name "PA2" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -10.16 0) (length 2.54) + (name "PA3" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -137.16 180) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -111.76 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -12.7 0) (length 2.54) + (name "PA4" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -15.24 0) (length 2.54) + (name "PA5" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -55.88 180) (length 2.54) + (name "PE4" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -17.78 0) (length 2.54) + (name "PA6" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -20.32 0) (length 2.54) + (name "PA7" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -99.06 0) (length 2.54) + (name "PC4" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -101.6 0) (length 2.54) + (name "PC5" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -45.72 0) (length 2.54) + (name "PB0" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -48.26 0) (length 2.54) + (name "PB1" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -50.8 0) (length 2.54) + (name "PB2" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -63.5 180) (length 2.54) + (name "PE7" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -66.04 180) (length 2.54) + (name "PE8" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -68.58 180) (length 2.54) + (name "PE9" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -58.42 180) (length 2.54) + (name "PE5" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -71.12 180) (length 2.54) + (name "PE10" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -73.66 180) (length 2.54) + (name "PE11" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -76.2 180) (length 2.54) + (name "PE12" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -78.74 180) (length 2.54) + (name "PE13" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -81.28 180) (length 2.54) + (name "PE14" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -83.82 180) (length 2.54) + (name "PE15" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -71.12 0) (length 2.54) + (name "PB10" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -73.66 0) (length 2.54) + (name "PB11" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 27.94 -121.92 180) (length 2.54) + (name "VCAP" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 27.94 -139.7 180) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -60.96 180) (length 2.54) + (name "PE6" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 27.94 -114.3 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -76.2 0) (length 2.54) + (name "PB12" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -78.74 0) (length 2.54) + (name "PB13" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -81.28 0) (length 2.54) + (name "PB14" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -83.82 0) (length 2.54) + (name "PB15" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -22.86 180) (length 2.54) + (name "PD8" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -25.4 180) (length 2.54) + (name "PD9" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -27.94 180) (length 2.54) + (name "PD10" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -30.48 180) (length 2.54) + (name "PD11" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -33.02 180) (length 2.54) + (name "PD12" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -104.14 180) (length 2.54) + (name "VBAT" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -35.56 180) (length 2.54) + (name "PD13" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -38.1 180) (length 2.54) + (name "PD14" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -40.64 180) (length 2.54) + (name "PD15" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -104.14 0) (length 2.54) + (name "PC6" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -106.68 0) (length 2.54) + (name "PC7" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -109.22 0) (length 2.54) + (name "PC8" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -111.76 0) (length 2.54) + (name "PC9" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -22.86 0) (length 2.54) + (name "PA8" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -25.4 0) (length 2.54) + (name "PA9" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -27.94 0) (length 2.54) + (name "PA10" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -121.92 0) (length 2.54) + (name "PC13" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -30.48 0) (length 2.54) + (name "PA11" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -33.02 0) (length 2.54) + (name "PA12" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -35.56 0) (length 2.54) + (name "PA13-SWDIO" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 27.94 -124.46 180) (length 2.54) + (name "VCAP" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -142.24 180) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -116.84 180) (length 2.54) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -38.1 0) (length 2.54) + (name "PA14-SWCLK" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -40.64 0) (length 2.54) + (name "PA15-JTDI" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -114.3 0) (length 2.54) + (name "PC10" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -116.84 0) (length 2.54) + (name "PC11" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -124.46 0) (length 2.54) + (name "PC14-OSC32_IN" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -119.38 0) (length 2.54) + (name "PC12" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -2.54 180) (length 2.54) + (name "PD0" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -5.08 180) (length 2.54) + (name "PD1" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -7.62 180) (length 2.54) + (name "PD2" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -10.16 180) (length 2.54) + (name "PD3" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -12.7 180) (length 2.54) + (name "PD4" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -15.24 180) (length 2.54) + (name "PD5" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -17.78 180) (length 2.54) + (name "PD6" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -20.32 180) (length 2.54) + (name "PD7" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -53.34 0) (length 2.54) + (name "PB3-TRACESWO" (effects (font (size 1.27 1.27)))) + (number "89" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -127 0) (length 2.54) + (name "PC15-OSC32_OUT" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -55.88 0) (length 2.54) + (name "PB4-NJTRST" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -58.42 0) (length 2.54) + (name "PB5" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -60.96 0) (length 2.54) + (name "PB6" (effects (font (size 1.27 1.27)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -63.5 0) (length 2.54) + (name "PB7" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -96.52 180) (length 2.54) + (name "BOOT0" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -66.04 0) (length 2.54) + (name "PB8" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -68.58 0) (length 2.54) + (name "PB9" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -45.72 180) (length 2.54) + (name "PE0" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 27.94 -48.26 180) (length 2.54) + (name "PE1" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -144.78 180) (length 2.54) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SW_DIP_1" (pin_names (offset 0.002) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DIP_1" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 1" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DIP_1_0_0" + (polyline + (pts + (xy 2.286 -1.016) + (xy 5.08 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DIP_1_0_1" + (polyline + (pts + (xy 1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -1.27) + (xy 6.35 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 7.62 -2.54) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "SW_DIP_1_1_1" + (pin passive line (at -2.54 -1.27 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -1.27 180) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TDA01H0SB1" (extends "SW_DIP_1") + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TDA01H0SB1" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:TDA01H0SB1" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ckswitches.com/media/1328/tda.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "C&K" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TDA01H0SB1" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 1, SMD, .050\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SW_DIP_10" (pin_names (offset 0.002) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DIP_10" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 10" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DIP_10_0_0" + (polyline + (pts + (xy 2.286 -23.876) + (xy 5.08 -23.368) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -21.336) + (xy 5.08 -20.828) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -18.796) + (xy 5.08 -18.288) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -16.256) + (xy 5.08 -15.748) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -13.716) + (xy 5.08 -13.208) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -11.176) + (xy 5.08 -10.668) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -8.636) + (xy 5.08 -8.128) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -6.096) + (xy 5.08 -5.588) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -3.556) + (xy 5.08 -3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -1.016) + (xy 5.08 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -24.13) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -21.59) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -19.05) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -16.51) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -13.97) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -11.43) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -24.13) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -21.59) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -19.05) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -16.51) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -13.97) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -11.43) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DIP_10_0_1" + (polyline + (pts + (xy 1.27 -24.13) + (xy 0 -24.13) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -21.59) + (xy 0 -21.59) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -19.05) + (xy 0 -19.05) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -16.51) + (xy 0 -16.51) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -13.97) + (xy 0 -13.97) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -11.43) + (xy 0 -11.43) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -8.89) + (xy 0 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -6.35) + (xy 0 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -3.81) + (xy 0 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -24.13) + (xy 6.35 -24.13) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -21.59) + (xy 6.35 -21.59) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -19.05) + (xy 6.35 -19.05) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -16.51) + (xy 6.35 -16.51) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -13.97) + (xy 6.35 -13.97) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -11.43) + (xy 6.35 -11.43) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -8.89) + (xy 6.35 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -6.35) + (xy 6.35 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -3.81) + (xy 6.35 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -1.27) + (xy 6.35 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 7.62 -25.4) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "SW_DIP_10_1_1" + (pin passive line (at -2.54 -1.27 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -11.43 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -13.97 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -13.97 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -16.51 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -16.51 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -19.05 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -19.05 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -21.59 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -21.59 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -24.13 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -1.27 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -24.13 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -3.81 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -3.81 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -6.35 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -6.35 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -8.89 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -8.89 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -11.43 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TDA10H0SB1" (extends "SW_DIP_10") + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TDA10H0SB1" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:TDA10H0SB1" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ckswitches.com/media/1328/tda.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "C&K" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TDA10H0SB1" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 10, SMD, .050\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SW_DIP_2" (pin_names (offset 0.002) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DIP_2" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 2" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DIP_2_0_0" + (polyline + (pts + (xy 2.286 -3.556) + (xy 5.08 -3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -1.016) + (xy 5.08 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DIP_2_0_1" + (polyline + (pts + (xy 1.27 -3.81) + (xy 0 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -3.81) + (xy 6.35 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -1.27) + (xy 6.35 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 7.62 -5.08) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "SW_DIP_2_1_1" + (pin passive line (at -2.54 -1.27 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -1.27 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -3.81 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -3.81 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TDA02H0SB1" (extends "SW_DIP_2") + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TDA02H0SB1" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:TDA02H0SB1" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ckswitches.com/media/1328/tda.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "C&K" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TDA02H0SB1" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 2, SMD, .050\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SW_DIP_3" (pin_names (offset 0.002) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DIP_3" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 3" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DIP_3_0_0" + (polyline + (pts + (xy 2.286 -6.096) + (xy 5.08 -5.588) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -3.556) + (xy 5.08 -3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -1.016) + (xy 5.08 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DIP_3_0_1" + (polyline + (pts + (xy 1.27 -6.35) + (xy 0 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -3.81) + (xy 0 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -6.35) + (xy 6.35 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -3.81) + (xy 6.35 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -1.27) + (xy 6.35 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 7.62 -7.62) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "SW_DIP_3_1_1" + (pin passive line (at -2.54 -1.27 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -1.27 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -3.81 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -3.81 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -6.35 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -6.35 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SW_DIP_4" (pin_names (offset 0.002) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DIP_4" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 4" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DIP_4_0_0" + (polyline + (pts + (xy 2.286 -8.636) + (xy 5.08 -8.128) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -6.096) + (xy 5.08 -5.588) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -3.556) + (xy 5.08 -3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -1.016) + (xy 5.08 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DIP_4_0_1" + (polyline + (pts + (xy 1.27 -8.89) + (xy 0 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -6.35) + (xy 0 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -3.81) + (xy 0 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -8.89) + (xy 6.35 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -6.35) + (xy 6.35 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -3.81) + (xy 6.35 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -1.27) + (xy 6.35 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 7.62 -10.16) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "SW_DIP_4_1_1" + (pin passive line (at -2.54 -1.27 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -1.27 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -3.81 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -3.81 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -6.35 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -6.35 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -8.89 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -8.89 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TDA04H0SB1" (extends "SW_DIP_4") + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TDA04H0SB1" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:TDA04H0SB1" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ckswitches.com/media/1328/tda.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "C&K" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TDA04H0SB1" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 4, SMD, .050\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SW_DIP_5" (pin_names (offset 0.002) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DIP_5" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DIP_5_0_0" + (polyline + (pts + (xy 2.286 -11.176) + (xy 5.08 -10.668) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -8.636) + (xy 5.08 -8.128) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -6.096) + (xy 5.08 -5.588) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -3.556) + (xy 5.08 -3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -1.016) + (xy 5.08 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -11.43) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -11.43) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DIP_5_0_1" + (polyline + (pts + (xy 1.27 -11.43) + (xy 0 -11.43) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -8.89) + (xy 0 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -6.35) + (xy 0 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -3.81) + (xy 0 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -11.43) + (xy 6.35 -11.43) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -8.89) + (xy 6.35 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -6.35) + (xy 6.35 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -3.81) + (xy 6.35 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -1.27) + (xy 6.35 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 7.62 -12.7) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "SW_DIP_5_1_1" + (pin passive line (at -2.54 -1.27 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -11.43 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -1.27 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -3.81 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -3.81 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -6.35 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -6.35 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -8.89 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -8.89 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -11.43 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SW_DIP_6" (pin_names (offset 0.002) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DIP_6" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 6" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DIP_6_0_0" + (polyline + (pts + (xy 2.286 -13.716) + (xy 5.08 -13.208) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -11.176) + (xy 5.08 -10.668) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -8.636) + (xy 5.08 -8.128) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -6.096) + (xy 5.08 -5.588) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -3.556) + (xy 5.08 -3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -1.016) + (xy 5.08 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -13.97) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -11.43) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -13.97) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -11.43) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DIP_6_0_1" + (polyline + (pts + (xy 1.27 -13.97) + (xy 0 -13.97) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -11.43) + (xy 0 -11.43) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -8.89) + (xy 0 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -6.35) + (xy 0 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -3.81) + (xy 0 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -13.97) + (xy 6.35 -13.97) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -11.43) + (xy 6.35 -11.43) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -8.89) + (xy 6.35 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -6.35) + (xy 6.35 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -3.81) + (xy 6.35 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -1.27) + (xy 6.35 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 7.62 -15.24) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "SW_DIP_6_1_1" + (pin passive line (at -2.54 -1.27 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -11.43 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -13.97 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -13.97 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -1.27 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -3.81 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -3.81 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -6.35 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -6.35 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -8.89 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -8.89 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -11.43 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TDA06H0SB1" (extends "SW_DIP_6") + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TDA06H0SB1" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:TDA06H0SB1" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ckswitches.com/media/1328/tda.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "C&K" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TDA06H0SB1" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 6, SMD, .050\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SW_DIP_7" (pin_names (offset 0.002) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DIP_7" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 7" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DIP_7_0_0" + (polyline + (pts + (xy 2.286 -16.256) + (xy 5.08 -15.748) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -13.716) + (xy 5.08 -13.208) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -11.176) + (xy 5.08 -10.668) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -8.636) + (xy 5.08 -8.128) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -6.096) + (xy 5.08 -5.588) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -3.556) + (xy 5.08 -3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -1.016) + (xy 5.08 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -16.51) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -13.97) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -11.43) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -16.51) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -13.97) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -11.43) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DIP_7_0_1" + (polyline + (pts + (xy 1.27 -16.51) + (xy 0 -16.51) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -13.97) + (xy 0 -13.97) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -11.43) + (xy 0 -11.43) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -8.89) + (xy 0 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -6.35) + (xy 0 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -3.81) + (xy 0 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -16.51) + (xy 6.35 -16.51) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -13.97) + (xy 6.35 -13.97) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -11.43) + (xy 6.35 -11.43) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -8.89) + (xy 6.35 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -6.35) + (xy 6.35 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -3.81) + (xy 6.35 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -1.27) + (xy 6.35 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 7.62 -17.78) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "SW_DIP_7_1_1" + (pin passive line (at -2.54 -1.27 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -11.43 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -13.97 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -13.97 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -16.51 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -16.51 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -1.27 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -3.81 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -3.81 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -6.35 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -6.35 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -8.89 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -8.89 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -11.43 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SW_DIP_8" (pin_names (offset 0.002) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DIP_8" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 8" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DIP_8_0_0" + (polyline + (pts + (xy 2.286 -18.796) + (xy 5.08 -18.288) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -16.256) + (xy 5.08 -15.748) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -13.716) + (xy 5.08 -13.208) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -11.176) + (xy 5.08 -10.668) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -8.636) + (xy 5.08 -8.128) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -6.096) + (xy 5.08 -5.588) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -3.556) + (xy 5.08 -3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -1.016) + (xy 5.08 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -19.05) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -16.51) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -13.97) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -11.43) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -19.05) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -16.51) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -13.97) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -11.43) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DIP_8_0_1" + (polyline + (pts + (xy 1.27 -19.05) + (xy 0 -19.05) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -16.51) + (xy 0 -16.51) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -13.97) + (xy 0 -13.97) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -11.43) + (xy 0 -11.43) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -8.89) + (xy 0 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -6.35) + (xy 0 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -3.81) + (xy 0 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -19.05) + (xy 6.35 -19.05) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -16.51) + (xy 6.35 -16.51) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -13.97) + (xy 6.35 -13.97) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -11.43) + (xy 6.35 -11.43) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -8.89) + (xy 6.35 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -6.35) + (xy 6.35 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -3.81) + (xy 6.35 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -1.27) + (xy 6.35 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 7.62 -20.32) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "SW_DIP_8_1_1" + (pin passive line (at -2.54 -1.27 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -11.43 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -13.97 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -13.97 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -16.51 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -16.51 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -19.05 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -19.05 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -1.27 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -3.81 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -3.81 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -6.35 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -6.35 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -8.89 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -8.89 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -11.43 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TDA08H0SB1" (extends "SW_DIP_8") + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TDA08H0SB1" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:TDA08H0SB1" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ckswitches.com/media/1328/tda.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "C&K" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TDA08H0SB1" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 8, SMD, .050\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "SW_DIP_9" (pin_names (offset 0.002) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DIP_9" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 2.54 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DIP, 9" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DIP_9_0_0" + (polyline + (pts + (xy 2.286 -21.336) + (xy 5.08 -20.828) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -18.796) + (xy 5.08 -18.288) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -16.256) + (xy 5.08 -15.748) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -13.716) + (xy 5.08 -13.208) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -11.176) + (xy 5.08 -10.668) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -8.636) + (xy 5.08 -8.128) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -6.096) + (xy 5.08 -5.588) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -3.556) + (xy 5.08 -3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.286 -1.016) + (xy 5.08 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -21.59) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -19.05) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -16.51) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -13.97) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -11.43) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 1.778 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -21.59) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -19.05) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -16.51) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -13.97) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -11.43) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -8.89) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -6.35) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -3.81) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 5.842 -1.27) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DIP_9_0_1" + (polyline + (pts + (xy 1.27 -21.59) + (xy 0 -21.59) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -19.05) + (xy 0 -19.05) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -16.51) + (xy 0 -16.51) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -13.97) + (xy 0 -13.97) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -11.43) + (xy 0 -11.43) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -8.89) + (xy 0 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -6.35) + (xy 0 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -3.81) + (xy 0 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -21.59) + (xy 6.35 -21.59) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -19.05) + (xy 6.35 -19.05) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -16.51) + (xy 6.35 -16.51) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -13.97) + (xy 6.35 -13.97) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -11.43) + (xy 6.35 -11.43) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -8.89) + (xy 6.35 -8.89) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -6.35) + (xy 6.35 -6.35) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -3.81) + (xy 6.35 -3.81) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 7.62 -1.27) + (xy 6.35 -1.27) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 7.62 -22.86) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + ) + (symbol "SW_DIP_9_1_1" + (pin passive line (at -2.54 -1.27 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -11.43 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -13.97 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -13.97 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -16.51 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -16.51 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -19.05 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -19.05 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -21.59 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -21.59 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -1.27 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -3.81 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -3.81 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -6.35 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -6.35 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -8.89 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 -8.89 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -11.43 0) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SW_DPDT" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 4.318 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DPDT" (at 0 -12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 -0.254 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 -0.254 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DPDT" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DPDT_0_0" + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 -2.54) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DPDT_0_1" + (polyline + (pts + (xy -1.524 0.254) + (xy 1.905 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -5.969) + (xy 0 -6.604) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -4.699) + (xy 0 -5.334) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -3.429) + (xy 0 -4.064) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.159) + (xy 0 -2.794) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -0.889) + (xy 0 -1.524) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0.381) + (xy 0 -0.254) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 2.54) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DPDT_1_1" + (circle (center -2.032 -7.62) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 -7.366) + (xy 1.905 -6.35) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 -10.16) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 -5.08) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (pin passive line (at 5.08 2.54 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -2.54 180) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -5.08 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -10.16 180) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SW_DPST" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DPST" (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0.127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0.127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0.127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0.127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0.127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0.127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0.127 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, DPST" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DPST_0_0" + (circle (center -2.032 -5.08) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 -4.826) + (xy 1.524 -3.302) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.254) + (xy 1.524 1.778) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 -5.08) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DPST_0_1" + (polyline + (pts + (xy 0 -3.429) + (xy 0 -4.064) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.159) + (xy 0 -2.794) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -0.889) + (xy 0 -1.524) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0.381) + (xy 0 -0.254) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DPST_1_1" + (pin passive line (at -5.08 0 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -5.08 180) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SW_Push" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at -1.27 3.81 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "SW_Push" (at 0 -1.524 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, SPST, Pushbutton" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_Push_0_1" + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.27) + (xy 0 3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 1.27) + (xy -2.54 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 2.54) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SW_Push_Shielded" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at -1.27 5.08 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "SW_Push_Shielded" (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, SPST, Pushbutton" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_Push_Shielded_0_1" + (rectangle (start -3.81 3.81) (end 3.81 -3.81) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.81 -2.54) + (xy -2.54 -2.54) + ) + (stroke (width 0.0508) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.27) + (xy 0 3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 1.27) + (xy -2.54 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 -2.54) + (xy -2.54 -2.921) + (xy -2.159 -2.921) + (xy -2.54 -3.302) + (xy -2.921 -2.921) + (xy -2.54 -2.921) + ) + (stroke (width 0.0508) (type default)) + (fill (type none)) + ) + (circle (center 2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 -2.54 0) (length 1.27) + (name "0" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 2.54) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SW_SPDT" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 4.318 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_SPDT" (at 0 -5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, SPDT" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_SPDT_0_0" + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 -2.54) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_SPDT_0_1" + (polyline + (pts + (xy -1.524 0.254) + (xy 1.905 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 2.54) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_SPDT_1_1" + (pin passive line (at 5.08 2.54 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -2.54 180) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SW_SPST" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_SPST" (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Switch, SPST" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_SPST_0_0" + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.254) + (xy 1.524 1.778) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_SPST_1_1" + (pin passive line (at -5.08 0 0) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 2.54) + (name "B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TCMT1119" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at -5.08 5.08 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TCMT1119" (at 0 5.08 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "common:SOIC127P440X200-4" (at -5.08 -5.08 0) + (effects (font (size 1.27 1.27) italic) (justify left) hide) + ) + (property "Datasheet" "https://www.vishay.com/docs/81862/tcmt1110.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Manufacturer" "Vishay Semiconductor Opto Division" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TCMT1119" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "TCMT1119CT-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populat" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Optoisolator, 3.75KV TRANS 4SOP" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP*W7.62mm*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TCMT1119_0_1" + (rectangle (start -5.08 3.81) (end 5.08 -3.81) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (polyline + (pts + (xy -3.175 -0.635) + (xy -1.905 -0.635) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 0.635) + (xy 4.445 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 4.445 -2.54) + (xy 2.54 -0.635) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + (polyline + (pts + (xy 4.445 -2.54) + (xy 5.08 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 4.445 2.54) + (xy 5.08 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -5.08 2.54) + (xy -2.54 2.54) + (xy -2.54 0.635) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 -0.635) + (xy -2.54 -2.54) + (xy -5.08 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 1.905) + (xy 2.54 -1.905) + (xy 2.54 -1.905) + ) + (stroke (width 0.508) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 -0.635) + (xy -3.175 0.635) + (xy -1.905 0.635) + (xy -2.54 -0.635) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.508 -0.508) + (xy 0.762 -0.508) + (xy 0.381 -0.635) + (xy 0.381 -0.381) + (xy 0.762 -0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -0.508 0.508) + (xy 0.762 0.508) + (xy 0.381 0.381) + (xy 0.381 0.635) + (xy 0.762 0.508) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 3.048 -1.651) + (xy 3.556 -1.143) + (xy 4.064 -2.159) + (xy 3.048 -1.651) + (xy 3.048 -1.651) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + ) + (symbol "TCMT1119_1_1" + (pin passive line (at -7.62 2.54 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 -2.54 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TE_2337992-8" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "P" (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "~" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "ceres:TE_2337992-8" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.te.com/commerce/DocumentDelivery/DDEController?Action=showdoc&DocId=Customer+Drawing%7F2337992-8%7FA%7Fpdf%7FEnglish%7FENG_CD_2337992-8_A.pdf%7F2337992-8" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "TE" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "2337992-8" (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "Ethernet, 8P8C" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Connector, Modular, RJ45, LED, PoE, Gigabit, integrated magnetics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TE_2337992-8_0_0" + (text "G" (at 3.81 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (text "Y" (at 3.81 -36.83 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "TE_2337992-8_0_1" + (polyline + (pts + (xy 0 -38.1) + (xy 1.27 -38.1) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -35.56) + (xy 1.27 -35.56) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -5.08) + (xy 1.27 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy 1.27 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -37.338) + (xy 1.778 -37.338) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -4.318) + (xy 1.778 -4.318) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -38.1) + (xy 1.27 -37.338) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -35.56) + (xy 1.27 -36.322) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -5.08) + (xy 1.27 -4.318) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -2.54) + (xy 1.27 -3.302) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -37.592) + (xy 2.413 -37.211) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -36.957) + (xy 2.413 -36.576) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -4.572) + (xy 2.413 -4.191) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -3.937) + (xy 2.413 -3.556) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 12.7 -26.035) + (xy 13.97 -26.035) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 12.7 -24.765) + (xy 13.97 -24.765) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 16.51 -30.48) + (xy 17.78 -30.48) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 16.51 -27.94) + (xy 17.78 -27.94) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 16.51 -25.4) + (xy 17.78 -25.4) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 16.51 -22.86) + (xy 17.78 -22.86) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 16.51 -20.32) + (xy 17.78 -20.32) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 16.51 -17.78) + (xy 17.78 -17.78) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 16.51 -15.24) + (xy 17.78 -15.24) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 16.51 -12.7) + (xy 17.78 -12.7) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.399 -36.449) + (xy 18.161 -36.449) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.6276 -37.719) + (xy 17.78 -37.7698) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.6276 -37.5158) + (xy 17.9324 -37.6174) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.6276 -37.3126) + (xy 17.9324 -37.4142) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -38.1) + (xy 24.13 -38.1) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -37.7698) + (xy 17.78 -38.1) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -37.1602) + (xy 17.9324 -37.211) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -36.83) + (xy 17.78 -37.1602) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -36.83) + (xy 17.78 -36.4998) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -36.195) + (xy 17.78 -29.21) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -30.48) + (xy 19.05 -30.48) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -29.21) + (xy 17.78 -2.54) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -29.21) + (xy 22.86 -29.21) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -27.94) + (xy 19.05 -27.94) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -25.4) + (xy 19.05 -25.4) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -22.86) + (xy 19.05 -22.86) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -20.32) + (xy 19.05 -20.32) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -17.78) + (xy 19.05 -17.78) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -15.24) + (xy 19.05 -15.24) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -12.7) + (xy 19.05 -12.7) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.78 -2.54) + (xy 33.02 -2.54) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.9324 -37.6174) + (xy 17.6276 -37.719) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.9324 -37.4142) + (xy 17.6276 -37.5158) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 17.9324 -37.211) + (xy 17.6276 -37.3126) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 18.161 -36.195) + (xy 17.399 -36.195) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 18.669 -36.449) + (xy 19.431 -36.449) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 18.669 -36.195) + (xy 19.431 -36.195) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 18.8976 -37.719) + (xy 19.05 -37.7698) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 18.8976 -37.5158) + (xy 19.2024 -37.6174) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 18.8976 -37.3126) + (xy 19.2024 -37.4142) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -37.7698) + (xy 19.05 -38.1) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -37.1602) + (xy 19.2024 -37.211) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -36.83) + (xy 19.05 -37.1602) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -36.83) + (xy 19.05 -36.4998) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -36.195) + (xy 19.05 -24.13) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -30.48) + (xy 20.32 -30.48) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -27.94) + (xy 20.32 -27.94) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -25.4) + (xy 20.32 -25.4) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -24.13) + (xy 19.05 -5.08) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -24.13) + (xy 22.86 -24.13) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -22.86) + (xy 20.32 -22.86) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -20.32) + (xy 20.32 -20.32) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -17.78) + (xy 20.32 -17.78) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -15.24) + (xy 20.32 -15.24) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -12.7) + (xy 20.32 -12.7) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.05 -5.08) + (xy 33.02 -5.08) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.2024 -37.6174) + (xy 18.8976 -37.719) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.2024 -37.4142) + (xy 18.8976 -37.5158) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.2024 -37.211) + (xy 18.8976 -37.3126) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 19.939 -36.449) + (xy 20.701 -36.449) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.1676 -37.719) + (xy 20.32 -37.7698) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.1676 -37.5158) + (xy 20.4724 -37.6174) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.1676 -37.3126) + (xy 20.4724 -37.4142) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -37.7698) + (xy 20.32 -38.1) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -37.1602) + (xy 20.4724 -37.211) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -36.83) + (xy 20.32 -37.1602) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -36.83) + (xy 20.32 -36.4998) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -36.195) + (xy 20.32 -19.05) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -30.48) + (xy 21.59 -30.48) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -27.94) + (xy 21.59 -27.94) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -25.4) + (xy 21.59 -25.4) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -22.86) + (xy 21.59 -22.86) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -20.32) + (xy 21.59 -20.32) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -19.05) + (xy 20.32 -7.62) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -19.05) + (xy 22.86 -19.05) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -17.78) + (xy 21.59 -17.78) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -15.24) + (xy 21.59 -15.24) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -12.7) + (xy 21.59 -12.7) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.32 -7.62) + (xy 33.02 -7.62) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.4724 -37.6174) + (xy 20.1676 -37.719) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.4724 -37.4142) + (xy 20.1676 -37.5158) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.4724 -37.211) + (xy 20.1676 -37.3126) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 20.701 -36.195) + (xy 19.939 -36.195) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.209 -36.449) + (xy 21.971 -36.449) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.209 -36.195) + (xy 21.971 -36.195) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.4376 -37.719) + (xy 21.59 -37.7698) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.4376 -37.5158) + (xy 21.7424 -37.6174) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.4376 -37.3126) + (xy 21.7424 -37.4142) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -37.7698) + (xy 21.59 -38.1) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -37.1602) + (xy 21.7424 -37.211) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -36.83) + (xy 21.59 -37.1602) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -36.83) + (xy 21.59 -36.4998) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -36.195) + (xy 21.59 -13.97) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -30.48) + (xy 22.86 -30.48) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -27.94) + (xy 22.86 -27.94) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -25.4) + (xy 22.86 -25.4) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -22.86) + (xy 22.86 -22.86) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -20.32) + (xy 22.86 -20.32) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -17.78) + (xy 22.86 -17.78) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -15.24) + (xy 22.86 -15.24) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -13.97) + (xy 21.59 -10.16) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -13.97) + (xy 22.86 -13.97) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -12.7) + (xy 22.86 -12.7) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.59 -10.16) + (xy 33.02 -10.16) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.7424 -37.6174) + (xy 21.4376 -37.719) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.7424 -37.4142) + (xy 21.4376 -37.5158) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 21.7424 -37.211) + (xy 21.4376 -37.3126) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 24.13 -38.735) + (xy 24.13 -37.465) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 24.13 -27.94) + (xy 24.13 -30.48) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 24.13 -22.86) + (xy 24.13 -25.4) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 24.13 -17.78) + (xy 24.13 -20.32) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 24.13 -12.7) + (xy 24.13 -15.24) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 24.4348 -38.1) + (xy 24.765 -38.1) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 24.4348 -37.465) + (xy 24.4348 -38.735) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 24.765 -38.1) + (xy 33.02 -38.1) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 24.765 -30.48) + (xy 24.765 -27.94) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 24.765 -25.4) + (xy 24.765 -22.86) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 24.765 -20.32) + (xy 24.765 -17.78) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 24.765 -15.24) + (xy 24.765 -12.7) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 26.035 -30.48) + (xy 28.575 -30.48) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 26.035 -27.94) + (xy 28.575 -27.94) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 26.035 -25.4) + (xy 28.575 -25.4) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 26.035 -22.86) + (xy 28.575 -22.86) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 26.035 -20.32) + (xy 28.575 -20.32) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 26.035 -17.78) + (xy 28.575 -17.78) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 26.035 -15.24) + (xy 28.575 -15.24) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 26.035 -12.7) + (xy 28.575 -12.7) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 27.305 -35.56) + (xy 33.02 -35.56) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 27.305 -33.02) + (xy 33.02 -33.02) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 27.305 -29.21) + (xy 26.035 -29.21) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 27.305 -24.13) + (xy 26.035 -24.13) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 27.305 -19.05) + (xy 26.035 -19.05) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 27.305 -13.97) + (xy 26.035 -13.97) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 27.305 -13.97) + (xy 27.305 -35.56) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 28.575 -29.464) + (xy 31.115 -29.464) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 28.575 -28.956) + (xy 31.115 -28.956) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 28.575 -24.384) + (xy 31.115 -24.384) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 28.575 -23.876) + (xy 31.115 -23.876) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 28.575 -19.304) + (xy 31.115 -19.304) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 28.575 -18.796) + (xy 31.115 -18.796) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 28.575 -14.224) + (xy 31.115 -14.224) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 28.575 -13.716) + (xy 31.115 -13.716) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 31.115 -30.48) + (xy 33.02 -30.48) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 31.115 -27.94) + (xy 33.02 -27.94) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 31.115 -25.4) + (xy 33.02 -25.4) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 31.115 -22.86) + (xy 33.02 -22.86) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 31.115 -20.32) + (xy 33.02 -20.32) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 31.115 -17.78) + (xy 33.02 -17.78) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 31.115 -15.24) + (xy 33.02 -15.24) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 31.115 -12.7) + (xy 33.02 -12.7) + ) + (stroke (width 0.0005) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -37.084) + (xy 2.54 -37.592) + (xy 2.159 -37.465) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -36.449) + (xy 2.54 -36.957) + (xy 2.159 -36.83) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -4.064) + (xy 2.54 -4.572) + (xy 2.159 -4.445) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -3.429) + (xy 2.54 -3.937) + (xy 2.159 -3.81) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 12.7 -23.495) + (xy 13.97 -23.495) + (xy 13.97 -23.495) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -22.225) + (xy 12.7 -22.225) + (xy 12.7 -22.225) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -20.955) + (xy 12.7 -20.955) + (xy 12.7 -20.955) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -19.685) + (xy 12.7 -19.685) + (xy 12.7 -19.685) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -18.415) + (xy 12.7 -18.415) + (xy 12.7 -18.415) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -17.145) + (xy 12.7 -17.145) + (xy 12.7 -17.145) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -37.338) + (xy 0.762 -36.322) + (xy 1.778 -36.322) + (xy 1.27 -37.338) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -4.318) + (xy 0.762 -3.302) + (xy 1.778 -3.302) + (xy 1.27 -4.318) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -15.875) + (xy 13.97 -27.305) + (xy 3.81 -27.305) + (xy 3.81 -24.765) + (xy 2.54 -24.765) + (xy 2.54 -23.495) + (xy 1.27 -23.495) + (xy 1.27 -19.685) + (xy 2.54 -19.685) + (xy 2.54 -18.415) + (xy 3.81 -18.415) + (xy 3.81 -15.875) + (xy 13.97 -15.875) + (xy 13.97 -15.875) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 33.02 -40.64) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (circle (center 17.78 -29.21) (radius 0.0762) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 19.05 -24.13) (radius 0.0762) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 20.32 -19.05) (radius 0.0762) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 21.59 -13.97) (radius 0.0762) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 22.86 -30.48) (mid 23.4923 -29.845) (end 22.86 -29.21) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 22.86 -29.21) (mid 23.4923 -28.575) (end 22.86 -27.94) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 22.86 -25.4) (mid 23.4923 -24.765) (end 22.86 -24.13) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 22.86 -24.13) (mid 23.4923 -23.495) (end 22.86 -22.86) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 22.86 -20.32) (mid 23.4923 -19.685) (end 22.86 -19.05) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 22.86 -19.05) (mid 23.4923 -18.415) (end 22.86 -17.78) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 22.86 -15.24) (mid 23.4923 -14.605) (end 22.86 -13.97) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 22.86 -13.97) (mid 23.4923 -13.335) (end 22.86 -12.7) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 26.035 -29.21) (mid 25.4027 -29.845) (end 26.035 -30.48) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 26.035 -27.94) (mid 25.4027 -28.575) (end 26.035 -29.21) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 26.035 -24.13) (mid 25.4027 -24.765) (end 26.035 -25.4) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 26.035 -22.86) (mid 25.4027 -23.495) (end 26.035 -24.13) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 26.035 -19.05) (mid 25.4027 -19.685) (end 26.035 -20.32) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 26.035 -17.78) (mid 25.4027 -18.415) (end 26.035 -19.05) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 26.035 -13.97) (mid 25.4027 -14.605) (end 26.035 -15.24) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 26.035 -12.7) (mid 25.4027 -13.335) (end 26.035 -13.97) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 27.305 -33.02) (radius 0.0762) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 27.305 -29.21) (radius 0.0762) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 27.305 -24.13) (radius 0.0762) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 27.305 -19.05) (radius 0.0762) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 28.575 -27.94) (mid 29.21 -28.5723) (end 29.845 -27.94) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 28.575 -22.86) (mid 29.21 -23.4923) (end 29.845 -22.86) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 28.575 -17.78) (mid 29.21 -18.4123) (end 29.845 -17.78) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 28.575 -12.7) (mid 29.21 -13.3323) (end 29.845 -12.7) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 29.845 -30.48) (mid 29.21 -29.8477) (end 28.575 -30.48) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 29.845 -27.94) (mid 30.48 -28.5723) (end 31.115 -27.94) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 29.845 -25.4) (mid 29.21 -24.7677) (end 28.575 -25.4) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 29.845 -22.86) (mid 30.48 -23.4923) (end 31.115 -22.86) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 29.845 -20.32) (mid 29.21 -19.6877) (end 28.575 -20.32) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 29.845 -17.78) (mid 30.48 -18.4123) (end 31.115 -17.78) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 29.845 -15.24) (mid 29.21 -14.6077) (end 28.575 -15.24) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 29.845 -12.7) (mid 30.48 -13.3323) (end 31.115 -12.7) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 31.115 -30.48) (mid 30.48 -29.8477) (end 29.845 -30.48) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 31.115 -25.4) (mid 30.48 -24.7677) (end 29.845 -25.4) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 31.115 -20.32) (mid 30.48 -19.6877) (end 29.845 -20.32) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 31.115 -15.24) (mid 30.48 -14.6077) (end 29.845 -15.24) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "TE_2337992-8_1_1" + (pin passive line (at 35.56 -38.1 180) (length 2.54) + (name "SHIELD" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -12.7 180) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -30.48 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -10.16 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -7.62 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -5.08 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -2.54 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -2.54 0) (length 2.54) + (name "LEDG_A" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -5.08 0) (length 2.54) + (name "LEDG_K" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -35.56 0) (length 2.54) + (name "LEDY_A" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -38.1 0) (length 2.54) + (name "LEDY_K" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -15.24 180) (length 2.54) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -17.78 180) (length 2.54) + (name "3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -33.02 180) (length 2.54) + (name "4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -35.56 180) (length 2.54) + (name "5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -20.32 180) (length 2.54) + (name "6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -22.86 180) (length 2.54) + (name "7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -25.4 180) (length 2.54) + (name "8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 35.56 -27.94 180) (length 2.54) + (name "" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TE_6116075-1" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "P" (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TE_6116075-1" (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:TE_6116075-1" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.te.com/commerce/DocumentDelivery/DDEController?Action=srchrtrv&DocNm=6116075&DocType=Customer+Drawing&DocLang=English" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "TE" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "6116075-1" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "A130943-ND" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "Ethernet, 8P8C" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Connector, Modular, RJ45, LED" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TE_6116075-1_0_0" + (text "G" (at 3.81 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (text "Y" (at 3.81 -21.59 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "TE_6116075-1_0_1" + (polyline + (pts + (xy 0 -22.86) + (xy 1.27 -22.86) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -20.32) + (xy 1.27 -20.32) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -5.08) + (xy 1.27 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy 1.27 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -22.098) + (xy 1.778 -22.098) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -4.318) + (xy 1.778 -4.318) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -22.86) + (xy 1.27 -22.098) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -20.32) + (xy 1.27 -21.082) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -5.08) + (xy 1.27 -4.318) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -2.54) + (xy 1.27 -3.302) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -22.352) + (xy 2.413 -21.971) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -21.717) + (xy 2.413 -21.336) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -4.572) + (xy 2.413 -4.191) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -3.937) + (xy 2.413 -3.556) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 12.7 -17.145) + (xy 13.97 -17.145) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 12.7 -15.875) + (xy 13.97 -15.875) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -21.844) + (xy 2.54 -22.352) + (xy 2.159 -22.225) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -21.209) + (xy 2.54 -21.717) + (xy 2.159 -21.59) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -4.064) + (xy 2.54 -4.572) + (xy 2.159 -4.445) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -3.429) + (xy 2.54 -3.937) + (xy 2.159 -3.81) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 12.7 -14.605) + (xy 13.97 -14.605) + (xy 13.97 -14.605) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -13.335) + (xy 12.7 -13.335) + (xy 12.7 -13.335) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -12.065) + (xy 12.7 -12.065) + (xy 12.7 -12.065) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -10.795) + (xy 12.7 -10.795) + (xy 12.7 -10.795) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -9.525) + (xy 12.7 -9.525) + (xy 12.7 -9.525) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -8.255) + (xy 12.7 -8.255) + (xy 12.7 -8.255) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -22.098) + (xy 0.762 -21.082) + (xy 1.778 -21.082) + (xy 1.27 -22.098) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -4.318) + (xy 0.762 -3.302) + (xy 1.778 -3.302) + (xy 1.27 -4.318) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -6.985) + (xy 13.97 -18.415) + (xy 3.81 -18.415) + (xy 3.81 -15.875) + (xy 2.54 -15.875) + (xy 2.54 -14.605) + (xy 1.27 -14.605) + (xy 1.27 -10.795) + (xy 2.54 -10.795) + (xy 2.54 -9.525) + (xy 3.81 -9.525) + (xy 3.81 -6.985) + (xy 13.97 -6.985) + (xy 13.97 -6.985) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 15.24 -25.4) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "TE_6116075-1_1_1" + (pin passive line (at 17.78 -22.86 180) (length 2.54) + (name "SHIELD" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -2.54 180) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -2.54 0) (length 2.54) + (name "LEDG_A" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -22.86 0) (length 2.54) + (name "LEDY_K" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -20.32 0) (length 2.54) + (name "LEDY_A" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -5.08 180) (length 2.54) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -7.62 180) (length 2.54) + (name "3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -10.16 180) (length 2.54) + (name "4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -12.7 180) (length 2.54) + (name "5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -15.24 180) (length 2.54) + (name "6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -17.78 180) (length 2.54) + (name "7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 17.78 -20.32 180) (length 2.54) + (name "8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -5.08 0) (length 2.54) + (name "LEDG_K" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TLV70033DCKR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TLV70033DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/tlv700.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TLV70033DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-32413-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Regulator, Linear, 3.3V 200MA, SC70-5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TLV70033DCKR_0_1" + (rectangle (start 0 0) (end 12.7 -12.7) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "TLV70033DCKR_1_1" + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -10.16 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -10.16 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 15.24 -7.62 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 15.24 -2.54 180) (length 2.54) + (name "OUT" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TLV70012DCKR" (extends "TLV70033DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TLV70012DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/tlv700.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TLV70012DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-27438-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Spice_Primitive" "X" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Spice_Model" "TLV70012" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Spice_Netlist_Enabled" "Y" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Spice_Lib_File" "/home/brendan/Documents/projects/kicad_new/spice/ti/TLV70012.lib" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, Regulator, Linear, 1.2V 200MA, SC70-5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "TLV70015DCKR" (extends "TLV70033DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TLV70015DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/tlv700.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TLV70015DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-41832-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Regulator, Linear, 1.5V 200MA, SC70-5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "TLV70018DCKR" (extends "TLV70033DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TLV70018DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/tlv700.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TLV70018DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-32409-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Regulator, Linear, 1.8V 200MA, SC70-5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "TLV70025DCKR" (extends "TLV70033DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TLV70025DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/tlv700.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TLV70025DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-27793-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Regulator, Linear, 2.5V 200MA, SC70-5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "TLV70028DCKR" (extends "TLV70033DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TLV70028DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/tlv700.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TLV70028DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-39279-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Regulator, Linear, 2.8V 200MA, SC70-5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "TLV70030DCKR" (extends "TLV70033DCKR") + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TLV70030DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:SOT-353" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/tlv700.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TLV70030DCKR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-39281-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Regulator, Linear, 3.0V 200MA, SC70-5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "TODO_ADP7182ACPZN-R7" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TODO_ADP7182ACPZN-R7" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, Regulator, Linear, Negative, 200mA" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "TODO_LT3580EDD#TRPBF" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TODO_LT3580EDD#TRPBF" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, Regulator, Boost/SEPIC/Inverting, 2A" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "TODO_LT3754EUH#PBF" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TODO_LT3754EUH#PBF" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, Regulator, LED Driver, Hex, 50mA" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "TODO_TPS25750DRJKR" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TODO_TPS25750DRJKR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TODO_TPS25750DRJKR_1_1" + (pin power_in line (at 46.99 -105.41 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "0A" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -7.62 180) (length 2.54) + (name "DRAIN" (effects (font (size 1.27 1.27)))) + (number "0B" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 46.99 -72.39 180) (length 2.54) + (name "LDO_3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -2.54 -33.02 0) (length 2.54) + (name "I2Cs_IRQ" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 46.99 -95.25 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 46.99 -97.79 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -54.61 -45.72 0) (length 2.54) + (name "GPIO11" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 46.99 -100.33 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -2.54 180) (length 2.54) + (name "DRAIN" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -40.64 0) (length 2.54) + (name "I2Cm_SDA" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -2.54 -38.1 0) (length 2.54) + (name "I2Cm_SCL" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -43.18 0) (length 2.54) + (name "I2Cm_IRQ" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -54.61 -33.02 0) (length 2.54) + (name "GPIO3" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 46.99 -82.55 180) (length 2.54) + (name "ADCIN1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -2.54 0) (length 2.54) + (name "PPHV" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -5.08 0) (length 2.54) + (name "PPHV" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -7.62 0) (length 2.54) + (name "PPHV" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 46.99 -44.45 180) (length 2.54) + (name "VBUS_IN" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 46.99 -46.99 180) (length 2.54) + (name "VBUS_IN" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 46.99 -49.53 180) (length 2.54) + (name "VBUS_IN" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -54.61 -35.56 0) (length 2.54) + (name "GPIO4/USB_P" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -54.61 -38.1 0) (length 2.54) + (name "GPIO5/USB_N" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 46.99 -54.61 180) (length 2.54) + (name "CC1" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 46.99 -57.15 180) (length 2.54) + (name "CC2" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 46.99 -85.09 180) (length 2.54) + (name "ADCIN2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -5.08 180) (length 2.54) + (name "DRAIN" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 46.99 -102.87 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 46.99 -39.37 180) (length 2.54) + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 46.99 -41.91 180) (length 2.54) + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -12.7 0) (length 2.54) + (name "PP5V" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -15.24 0) (length 2.54) + (name "PP5V" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -54.61 -43.18 0) (length 2.54) + (name "GPIO7" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -54.61 -40.64 0) (length 2.54) + (name "GPIO6" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -46.99 -53.34 0) (length 2.54) + (name "VIN_3V3" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 46.99 -69.85 180) (length 2.54) + (name "LDO_1V5" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -54.61 -25.4 0) (length 2.54) + (name "GPIO0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -54.61 -27.94 0) (length 2.54) + (name "GPIO1" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -54.61 -30.48 0) (length 2.54) + (name "GPIO2" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -30.48 0) (length 2.54) + (name "I2Cs_SDA" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -27.94 0) (length 2.54) + (name "I2Cs_SCL" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TODO_TPS65987DDKRSHR" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TODO_TPS65987DDKRSHR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/tps65987ddk.pdf?ts=1622804068740" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TPS65987DDKRSHR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-TPS65987DDKRSHRCT-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "~" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Lifecycle" "Draft" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, PMIC, USB PD Type-C Controller" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TODO_TPS65987DDKRSHR_1_1" + (pin unspecified line (at -2.54 -2.54 0) (length 2.54) + (name "PP_HV2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -25.4 0) (length 2.54) + (name "ADCIN2" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -27.94 0) (length 2.54) + (name "PP_HV1" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -30.48 0) (length 2.54) + (name "PP_HV1" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -33.02 0) (length 2.54) + (name "VBUS1" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -35.56 0) (length 2.54) + (name "VBUS1" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -38.1 0) (length 2.54) + (name "DRAIN1" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -40.64 0) (length 2.54) + (name "GPIO0" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -43.18 0) (length 2.54) + (name "GPIO1" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -45.72 0) (length 2.54) + (name "GPIO2" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -48.26 0) (length 2.54) + (name "DRAIN1" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -5.08 0) (length 2.54) + (name "PP_HV2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -50.8 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -53.34 0) (length 2.54) + (name "I2C3_SCL/GPIO5" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -55.88 0) (length 2.54) + (name "I2C3_SDA/GPIO6" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -58.42 0) (length 2.54) + (name "I2C3_IRQ/GPIO7" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -60.96 0) (length 2.54) + (name "C_CC1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -63.5 0) (length 2.54) + (name "PP_CABLE" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -66.04 0) (length 2.54) + (name "C_CC2" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -68.58 0) (length 2.54) + (name "I2C1_SCL" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -71.12 0) (length 2.54) + (name "I2C1_SDA" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -73.66 0) (length 2.54) + (name "I2C1_IRQ" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -7.62 0) (length 2.54) + (name "VBUS2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -76.2 0) (length 2.54) + (name "HPD/GPIO3" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -78.74 0) (length 2.54) + (name "GPIO4" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -81.28 0) (length 2.54) + (name "I2C2_SCL" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -83.82 0) (length 2.54) + (name "I2C2_SDA" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -86.36 0) (length 2.54) + (name "I2C2_IRQ" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -88.9 0) (length 2.54) + (name "LDO_1V8" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -91.44 0) (length 2.54) + (name "SPI_MISO/GPIO8" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -93.98 0) (length 2.54) + (name "SPI_MOSI/GPIO9" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -96.52 0) (length 2.54) + (name "SPI_CLK/GPIO10" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -99.06 0) (length 2.54) + (name "SPI_SS/GPIO11" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -10.16 0) (length 2.54) + (name "VBUS2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -101.6 0) (length 2.54) + (name "GPIO12" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -104.14 0) (length 2.54) + (name "GPIO13" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -106.68 0) (length 2.54) + (name "GPIO14/PWM" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -109.22 0) (length 2.54) + (name "GPIO15/PWM" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -111.76 0) (length 2.54) + (name "HRESET" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -114.3 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -116.84 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -119.38 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -121.92 0) (length 2.54) + (name "GPIO16/PEXT1" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -124.46 0) (length 2.54) + (name "GPIO17/PEXT2" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -12.7 0) (length 2.54) + (name "VIN_3V3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -127 0) (length 2.54) + (name "C_USB_P/GPIO18" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -129.54 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -132.08 0) (length 2.54) + (name "DRAIN2" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -134.62 0) (length 2.54) + (name "C_USB_N/GPIO19" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -137.16 0) (length 2.54) + (name "GPIO20" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -139.7 0) (length 2.54) + (name "GPIO21" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -142.24 0) (length 2.54) + (name "DRAIN2" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -144.78 0) (length 2.54) + (name "DRAIN2" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -147.32 0) (length 2.54) + (name "DRAIN1" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -149.86 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -15.24 0) (length 2.54) + (name "ADCIN1" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -17.78 0) (length 2.54) + (name "DRAIN2" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -20.32 0) (length 2.54) + (name "DRAIN1" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -2.54 -22.86 0) (length 2.54) + (name "LDO_3V3" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TODO_XC7Z020-2CLG484I" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TODO_XC7Z020-2CLG484I" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, FPGA, Zynq-7000, BGA-484" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "TP" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) + (property "Reference" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:TestPoint_35" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Test Point" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test* *TP*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TP_0_1" + (circle (center 0 3.302) (radius 0.762) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "TP_1_1" + (pin passive line (at 0 0 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Keystone_5005" (extends "TP") + (property "Reference" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Keystone_5005" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:Keystone_5005_Red" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.keyelco.com/product-pdf.cfm?p=1314" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Keystone Electronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "5005" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "36-5005-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Test Point, Loop, PTH, Compact, Red, Keystone" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test* *TP*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "Keystone_5006" (extends "TP") + (property "Reference" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Keystone_5006" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:Keystone_5006_Black" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.keyelco.com/product-pdf.cfm?p=1314" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Keystone Electronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "5006" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "36-5006-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Test Point, Loop, PTH, Compact, Black, Keystone" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test* *TP*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "Keystone_5007" (extends "TP") + (property "Reference" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Keystone_5007" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:Keystone_5007_White" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.keyelco.com/product-pdf.cfm?p=1314" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Keystone Electronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "5007" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "36-5007-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Test Point, Loop, PTH, Compact, White, Keystone" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test* *TP*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "Keystone_5008" (extends "TP") + (property "Reference" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Keystone_5008" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:Keystone_5008_Orange" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.keyelco.com/product-pdf.cfm?p=1314" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Keystone Electronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "5008" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "36-5008-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Test Point, Loop, PTH, Compact, Orange, Keystone" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test* *TP*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "Keystone_5009" (extends "TP") + (property "Reference" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Keystone_5009" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:Keystone_5009_Yellow" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.keyelco.com/product-pdf.cfm?p=1314" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Keystone Electronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "5009" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "36-5009-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Test Point, Loop, PTH, Compact, Yellow, Keystone" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test* *TP*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "Keystone_5010" (extends "TP") + (property "Reference" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Keystone_5010" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:Keystone_5010_Red" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.keyelco.com/product-pdf.cfm?p=1319" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Keystone Electronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "5010" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "36-5010-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Test Point, Loop, PTH, Multipurpose, Red, Keystone" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test* *TP*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "Keystone_5011" (extends "TP") + (property "Reference" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Keystone_5011" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:Keystone_5011_Black" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.keyelco.com/product-pdf.cfm?p=1320" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Keystone Electronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "5011" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "36-5011-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Test Point, Loop, PTH, Multipurpose, Black, Keystone" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test* *TP*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "Keystone_5012" (extends "TP") + (property "Reference" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Keystone_5012" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:Keystone_5012_White" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.keyelco.com/product-pdf.cfm?p=1321" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Keystone Electronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "5012" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "36-5012-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Test Point, Loop, PTH, Multipurpose, White, Keystone" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test* *TP*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "Keystone_5013" (extends "TP") + (property "Reference" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Keystone_5013" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:Keystone_5013_Orange" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.keyelco.com/product-pdf.cfm?p=1322" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Keystone Electronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "5013" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "36-5013-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Test Point, Loop, PTH, Multipurpose, Orange, Keystone" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test* *TP*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "Keystone_5014" (extends "TP") + (property "Reference" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Keystone_5014" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:Keystone_5014_Yellow" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.keyelco.com/product-pdf.cfm?p=1323" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Keystone Electronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "5014" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "36-5014-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Test Point, Loop, PTH, Multipurpose, Yellow, Keystone" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test* *TP*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "Keystone_5019" (extends "TP") + (property "Reference" "TP" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Keystone_5019" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:Keystone_5019" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.keyelco.com/product-pdf.cfm?p=1357" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Keystone Electronics" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "5019" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "36-5019CT-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Test Point, Loop, SMD, 150x80, Keystone" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test* *TP*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "TPS65400RGZR" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TPS65400RGZR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:QFN50P700X700X100-48P" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/tps65400.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TPS65400RGZR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-40902-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "IC, Regulator, Buck, Quad, 4A/4A/2A/2A" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TPS65400RGZR_0_0" + (pin power_in line (at 27.94 -111.76 180) (length 2.54) + (name "PGND" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "TPS65400RGZR_0_1" + (rectangle (start 0 0) (end 25.4 -114.3) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + (rectangle (start 25.4 -102.87) (end 19.05 -85.09) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 25.4 -74.93) (end 19.05 -57.15) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 25.4 -46.99) (end 19.05 -29.21) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 25.4 -19.05) (end 19.05 -1.27) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + ) + (symbol "TPS65400RGZR_1_1" + (pin passive line (at 27.94 -2.54 180) (length 2.54) + (name "CB1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 27.94 -40.64 180) (length 2.54) + (name "SW2" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 27.94 -43.18 180) (length 2.54) + (name "SW2" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 27.94 -30.48 180) (length 2.54) + (name "CB2" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -60.96 0) (length 2.54) + (name "ENSW2" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -45.72 180) (length 2.54) + (name "VFB2" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -88.9 0) (length 2.54) + (name "COMP2" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -76.2 0) (length 2.54) + (name "SS2/PG2" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -2.54 -71.12 0) (length 2.54) + (name "PGOOD" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -2.54 -17.78 0) (length 2.54) + (name "VDDG" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -2.54 -20.32 0) (length 2.54) + (name "VDDA" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 27.94 -10.16 180) (length 2.54) + (name "SW1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -2.54 -22.86 0) (length 2.54) + (name "VDDD" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -111.76 0) (length 2.54) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -12.7 0) (length 2.54) + (name "VIN" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -55.88 0) (length 2.54) + (name "CE" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -78.74 0) (length 2.54) + (name "SS3/PG3" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -91.44 0) (length 2.54) + (name "COMP3" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -73.66 180) (length 2.54) + (name "VFB3" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -63.5 0) (length 2.54) + (name "ENSW3" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 27.94 -58.42 180) (length 2.54) + (name "CB3" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 27.94 -66.04 180) (length 2.54) + (name "SW3" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 27.94 -12.7 180) (length 2.54) + (name "SW1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -7.62 0) (length 2.54) + (name "PVIN3" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -10.16 0) (length 2.54) + (name "PVIN4" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 27.94 -93.98 180) (length 2.54) + (name "SW4" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 27.94 -86.36 180) (length 2.54) + (name "CB4" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -66.04 0) (length 2.54) + (name "ENSW4" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -101.6 180) (length 2.54) + (name "VFB4" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -93.98 0) (length 2.54) + (name "COMP4" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -81.28 0) (length 2.54) + (name "SS4/PG4" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -50.8 0) (length 2.54) + (name "I2CADDR" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -35.56 0) (length 2.54) + (name "RST_N" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 27.94 -15.24 180) (length 2.54) + (name "SW1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -48.26 0) (length 2.54) + (name "RCLOCK_SYNC" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -2.54 -43.18 0) (length 2.54) + (name "I2CALERT" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -40.64 0) (length 2.54) + (name "SDA" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -38.1 0) (length 2.54) + (name "SCL" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -2.54 -45.72 0) (length 2.54) + (name "CLK_OUT" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -73.66 0) (length 2.54) + (name "SS1/PG1" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -86.36 0) (length 2.54) + (name "COMP1" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 27.94 -17.78 180) (length 2.54) + (name "VFB1" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -58.42 0) (length 2.54) + (name "ENSW1/ENSEQ" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "PVIN1" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -5.08 0) (length 2.54) + (name "PVIN2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -106.68 180) (length 2.54) + (name "PGND1" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 27.94 -109.22 180) (length 2.54) + (name "PGND2" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 27.94 -38.1 180) (length 2.54) + (name "SW2" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TPS7A1633DRBR" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TPS7A1633DRBR" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:DFN50P300X300X80-8P" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ti.com/lit/ds/symlink/tps7a16.pdf?HQS=dis-dk-null-digikeymode-dsf-pf-null-wwe&ts=1613594922653" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TPS7A1633DRBR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-40970-1-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "LDO" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, Regulator, Linear, 3.3V 100mA, 8SON" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TPS7A1633DRBR_0_1" + (rectangle (start 0 0) (end 12.7 -20.32) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "TPS7A1633DRBR_1_1" + (pin power_in line (at 15.24 -17.78 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 15.24 -2.54 180) (length 2.54) + (name "OUT" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 15.24 -7.62 180) (length 2.54) + (name "DNC" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 15.24 -10.16 180) (length 2.54) + (name "PG" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 15.24 -15.24 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 -12.7 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -17.78 0) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -15.24 0) (length 2.54) + (name "DELAY" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -2.54 0) (length 2.54) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TRANSITION" (pin_numbers hide) (pin_names hide) (in_bom no) (on_board yes) + (property "Reference" "TRANS" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TRANSITION" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "TRANSITION*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TRANSITION_0_1" + (polyline + (pts + (xy 0 -1.2192) + (xy 0.8636 -1.2192) + (xy 0.8636 -1.3208) + (xy 0 -1.3208) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy 0.635 -2.54) + (xy 0.635 -2.794) + (xy 0.889 -2.794) + (xy 0.635 -3.048) + (xy 0.381 -2.794) + (xy 0.635 -2.794) + ) + (stroke (width 0.0508) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 2.54 -3.81) + (stroke (width 0.1524) (type default)) + (fill (type background)) + ) + (circle (center 0.635 -1.905) (radius 0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (circle (center 0.635 -0.635) (radius 0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (circle (center 1.27 -1.27) (radius 0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (circle (center 1.27 -1.27) (radius 0.381) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 1.7018 -1.2446) (end 1.778 -1.2954) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (circle (center 1.905 -1.905) (radius 0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (circle (center 1.905 -0.635) (radius 0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 2.0828 -1.2446) (end 2.1336 -1.2954) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 2.413 -1.2446) (end 2.4638 -1.2954) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + ) + (symbol "TRANSITION_1_1" + (pin passive line (at -1.27 -2.54 0) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -1.27 -1.27 0) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "TS3DV642A0RUAR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TS3DV642A0RUAR" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/ts3dv642.pdf" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Texas Instruments" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "TS3DV642A0RUAR" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "296-39510-1-ND" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "DisplayPort" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "IC, Multiplexer/Demultiplexer, 12 x 2:1, WQFN-42 (3.5x9.0)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "*QFN50P900X350-42P*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TS3DV642A0RUAR_0_1" + (rectangle (start 0 0) (end 20.32 -68.58) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "TS3DV642A0RUAR_1_1" + (pin power_in line (at 22.86 -66.04 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 22.86 -2.54 180) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -33.02 180) (length 2.54) + (name "D2_P" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -35.56 180) (length 2.54) + (name "D2_N" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -38.1 180) (length 2.54) + (name "D3_P" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -40.64 180) (length 2.54) + (name "D3_N" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -48.26 180) (length 2.54) + (name "HPD" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -50.8 180) (length 2.54) + (name "CEC" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 22.86 -15.24 180) (length 2.54) + (name "SEL1" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 22.86 -17.78 180) (length 2.54) + (name "SEL2" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -30.48 0) (length 2.54) + (name "CEC_A" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -27.94 0) (length 2.54) + (name "HPD_A" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 22.86 -12.7 180) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -66.04 0) (length 2.54) + (name "CEC_B" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -63.5 0) (length 2.54) + (name "HPD_B" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -55.88 0) (length 2.54) + (name "DB3_N" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -53.34 0) (length 2.54) + (name "DB3_P" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -50.8 0) (length 2.54) + (name "DB2_N" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -48.26 0) (length 2.54) + (name "DB2_P" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -45.72 0) (length 2.54) + (name "DB1_N" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -43.18 0) (length 2.54) + (name "DB1_P" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -40.64 0) (length 2.54) + (name "DB0_N" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -38.1 0) (length 2.54) + (name "DB0_P" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -43.18 180) (length 2.54) + (name "SCL" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 22.86 -60.96 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -20.32 0) (length 2.54) + (name "DA3_N" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -17.78 0) (length 2.54) + (name "DA3_P" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -15.24 0) (length 2.54) + (name "DA2_N" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -12.7 0) (length 2.54) + (name "DA2_P" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -10.16 0) (length 2.54) + (name "DA1_N" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -7.62 0) (length 2.54) + (name "DA1_P" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -5.08 0) (length 2.54) + (name "DA0_N" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -2.54 0) (length 2.54) + (name "DA0_P" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -60.96 0) (length 2.54) + (name "SDA_B" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -45.72 180) (length 2.54) + (name "SDA" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -58.42 0) (length 2.54) + (name "SCL_B" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -25.4 0) (length 2.54) + (name "SDA_A" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -2.54 -22.86 0) (length 2.54) + (name "SCL_A" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -22.86 180) (length 2.54) + (name "D0_P" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -25.4 180) (length 2.54) + (name "D0_N" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -27.94 180) (length 2.54) + (name "D1_P" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -30.48 180) (length 2.54) + (name "D1_N" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 22.86 -58.42 180) (length 2.54) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VBAT" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VBAT" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VBAT_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VBAT_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VBAT" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_12V0" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_12V0" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_12V0_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_12V0_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_12V0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_1V2" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_1V2" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_1V2_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_1V2_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_1V2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_1V5" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_1V5" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_1V5_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_1V5_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_1V5" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_1V8" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_1V8" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_1V8_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_1V8_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_1V8" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_24V0" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_24V0" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_24V0_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_24V0_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_24V0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_2V5" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_2V5" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_2V5_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_2V5_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_2V5" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_2V8" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_2V8" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_2V8_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_2V8_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_2V8" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_3V0" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_3V0" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_3V0_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_3V0_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_3V0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_3V3" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_5V0" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_5V0" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_5V0_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_5V0_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_5V0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_9V0" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_9V0" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_9V0_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_9V0_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_9V0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_N12V0" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_N12V0" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_N12V0_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_N12V0_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_N12V0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_N5V0" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_N5V0" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_N5V0_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_N5V0_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_N5V0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "VDC_N9V0" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VDC_N9V0" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power Symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VDC_N9V0_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VDC_N9V0_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VDC_N9V0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "WURTH_7499210124A" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "P" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "WURTH_7499210124A" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:CONN_RJ45_LED_POE_WURTH_7499210124A" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://katalog.we-online.de/pbs/datasheet/7499210124A.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacture" "Wurth Electronics Inc." (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "MPN" "7499210124A" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "Digi-Key" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SPN" "732-4975-ND" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "PoE" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Connector, Modular, RJ45, Magjack, 10/100 Base-T, Power over Ethernet" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "WURTH_7499210124A_0_0" + (text "G" (at 3.81 -11.43 0) + (effects (font (size 1.27 1.27))) + ) + (text "Y" (at 3.81 -29.21 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "WURTH_7499210124A_0_1" + (polyline + (pts + (xy 0 -30.48) + (xy 1.27 -30.48) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -27.94) + (xy 1.27 -27.94) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -12.7) + (xy 1.27 -12.7) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -10.16) + (xy 1.27 -10.16) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -7.62) + (xy 20.32 -7.62) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -29.718) + (xy 1.778 -29.718) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -11.938) + (xy 1.778 -11.938) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -30.48) + (xy 1.27 -29.718) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -27.94) + (xy 1.27 -28.702) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -12.7) + (xy 1.27 -11.938) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -10.16) + (xy 1.27 -10.922) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -29.972) + (xy 2.413 -29.591) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -29.337) + (xy 2.413 -28.956) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -12.192) + (xy 2.413 -11.811) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -11.557) + (xy 2.413 -11.176) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 12.7 -24.765) + (xy 13.97 -24.765) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 12.7 -23.495) + (xy 13.97 -23.495) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -29.464) + (xy 2.54 -29.972) + (xy 2.159 -29.845) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -28.829) + (xy 2.54 -29.337) + (xy 2.159 -29.21) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -11.684) + (xy 2.54 -12.192) + (xy 2.159 -12.065) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.032 -11.049) + (xy 2.54 -11.557) + (xy 2.159 -11.43) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 12.7 -22.225) + (xy 13.97 -22.225) + (xy 13.97 -22.225) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -20.955) + (xy 12.7 -20.955) + (xy 12.7 -20.955) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -19.685) + (xy 12.7 -19.685) + (xy 12.7 -19.685) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -18.415) + (xy 12.7 -18.415) + (xy 12.7 -18.415) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -17.145) + (xy 12.7 -17.145) + (xy 12.7 -17.145) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -15.875) + (xy 12.7 -15.875) + (xy 12.7 -15.875) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -29.718) + (xy 0.762 -28.702) + (xy 1.778 -28.702) + (xy 1.27 -29.718) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -11.938) + (xy 0.762 -10.922) + (xy 1.778 -10.922) + (xy 1.27 -11.938) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 13.97 -14.605) + (xy 13.97 -26.035) + (xy 3.81 -26.035) + (xy 3.81 -23.495) + (xy 2.54 -23.495) + (xy 2.54 -22.225) + (xy 1.27 -22.225) + (xy 1.27 -18.415) + (xy 2.54 -18.415) + (xy 2.54 -17.145) + (xy 3.81 -17.145) + (xy 3.81 -14.605) + (xy 13.97 -14.605) + (xy 13.97 -14.605) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0 0) (end 20.32 -33.02) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + (symbol "WURTH_7499210124A_1_1" + (pin passive line (at 22.86 -30.48 180) (length 2.54) + (name "SHIELD" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 22.86 -10.16 180) (length 2.54) + (name "RD+" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 22.86 -5.08 180) (length 2.54) + (name "VC1-" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -12.7 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -10.16 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -30.48 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -27.94 0) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 22.86 -15.24 180) (length 2.54) + (name "RD-" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 22.86 -12.7 180) (length 2.54) + (name "RCT" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 22.86 -20.32 180) (length 2.54) + (name "TCT" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 22.86 -17.78 180) (length 2.54) + (name "TD+" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 22.86 -22.86 180) (length 2.54) + (name "TD-" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 22.86 -25.4 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 22.86 -27.94 180) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 22.86 -2.54 180) (length 2.54) + (name "VC1+" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "XC7Z020-1CLG484I" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "XC7Z020-1CLG484I" (at 1.27 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "ceres:BGA80P1900X1900X160-484" (at 1.27 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://docs.xilinx.com/v/u/en-US/ds190-Zynq-7000-Overview" (at 1.27 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Xilinx" (at 1.27 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "XC7Z020-1CLG484I" (at 1.27 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_locked" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "XC7Z020-1CLG484I_1_1" + (rectangle (start 0 0) (end 25.4 -43.18) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (pin unspecified line (at 29.21 -30.48 180) (length 3.81) + (name "RSVDGND" (effects (font (size 1.27 1.27)))) + (number "G10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -3.81 -33.02 0) (length 3.81) + (name "TCK_0" (effects (font (size 1.27 1.27)))) + (number "G11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -3.81 -35.56 0) (length 3.81) + (name "TMS_0" (effects (font (size 1.27 1.27)))) + (number "G12" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -3.81 -40.64 0) (length 3.81) + (name "TDO_0" (effects (font (size 1.27 1.27)))) + (number "G14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -22.86 180) (length 3.81) + (name "VCCBATT_0" (effects (font (size 1.27 1.27)))) + (number "G9" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -3.81 -38.1 0) (length 3.81) + (name "TDI_0" (effects (font (size 1.27 1.27)))) + (number "H13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -2.54 180) (length 3.81) + (name "VCCADC_0" (effects (font (size 1.27 1.27)))) + (number "K11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -40.64 180) (length 3.81) + (name "GNDADC_0" (effects (font (size 1.27 1.27)))) + (number "K12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -7.62 180) (length 3.81) + (name "VP_0" (effects (font (size 1.27 1.27)))) + (number "L11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -38.1 180) (length 3.81) + (name "VREFN_0" (effects (font (size 1.27 1.27)))) + (number "L12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -5.08 180) (length 3.81) + (name "VREFP_0" (effects (font (size 1.27 1.27)))) + (number "M11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -35.56 180) (length 3.81) + (name "VN_0" (effects (font (size 1.27 1.27)))) + (number "M12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -10.16 180) (length 3.81) + (name "DXP_0" (effects (font (size 1.27 1.27)))) + (number "N11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -33.02 180) (length 3.81) + (name "DXN_0" (effects (font (size 1.27 1.27)))) + (number "N12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -17.78 180) (length 3.81) + (name "RSVDVCC3" (effects (font (size 1.27 1.27)))) + (number "T10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -3.81 -2.54 0) (length 3.81) + (name "PROGRAM_B_0" (effects (font (size 1.27 1.27)))) + (number "T11" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -3.81 -7.62 0) (length 3.81) + (name "DONE_0" (effects (font (size 1.27 1.27)))) + (number "T12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -25.4 180) (length 3.81) + (name "CFGBVS_0" (effects (font (size 1.27 1.27)))) + (number "T13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -5.08 0) (length 3.81) + (name "INIT_B_0" (effects (font (size 1.27 1.27)))) + (number "T14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -12.7 180) (length 3.81) + (name "RSVDVCC1" (effects (font (size 1.27 1.27)))) + (number "T7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 29.21 -15.24 180) (length 3.81) + (name "RSVDVCC2" (effects (font (size 1.27 1.27)))) + (number "T8" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "XC7Z020-1CLG484I_2_1" + (rectangle (start 0 0) (end 27.94 -129.54) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (pin bidirectional line (at -3.81 -40.64 0) (length 3.81) + (name "IO_L8P_T1_13" (effects (font (size 1.27 1.27)))) + (number "AA11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -35.56 0) (length 3.81) + (name "IO_L7P_T1_13" (effects (font (size 1.27 1.27)))) + (number "AA12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -93.98 0) (length 3.81) + (name "IO_L18N_T2_13" (effects (font (size 1.27 1.27)))) + (number "AA4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -73.66 0) (length 3.81) + (name "IO_L14N_T2_SRCC_13" (effects (font (size 1.27 1.27)))) + (number "AA6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -71.12 0) (length 3.81) + (name "IO_L14P_T2_SRCC_13" (effects (font (size 1.27 1.27)))) + (number "AA7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -58.42 0) (length 3.81) + (name "IO_L11N_T1_SRCC_13" (effects (font (size 1.27 1.27)))) + (number "AA8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -55.88 0) (length 3.81) + (name "IO_L11P_T1_SRCC_13" (effects (font (size 1.27 1.27)))) + (number "AA9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -78.74 0) (length 3.81) + (name "IO_L15N_T2_DQS_13" (effects (font (size 1.27 1.27)))) + (number "AB1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -45.72 0) (length 3.81) + (name "IO_L9P_T1_DQS_13" (effects (font (size 1.27 1.27)))) + (number "AB10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -43.18 0) (length 3.81) + (name "IO_L8N_T1_13" (effects (font (size 1.27 1.27)))) + (number "AB11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -38.1 0) (length 3.81) + (name "IO_L7N_T1_13" (effects (font (size 1.27 1.27)))) + (number "AB12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -76.2 0) (length 3.81) + (name "IO_L15P_T2_DQS_13" (effects (font (size 1.27 1.27)))) + (number "AB2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -83.82 0) (length 3.81) + (name "IO_L16N_T2_13" (effects (font (size 1.27 1.27)))) + (number "AB4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -81.28 0) (length 3.81) + (name "IO_L16P_T2_13" (effects (font (size 1.27 1.27)))) + (number "AB5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -88.9 0) (length 3.81) + (name "IO_L17N_T2_13" (effects (font (size 1.27 1.27)))) + (number "AB6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -86.36 0) (length 3.81) + (name "IO_L17P_T2_13" (effects (font (size 1.27 1.27)))) + (number "AB7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -48.26 0) (length 3.81) + (name "IO_L9N_T1_DQS_13" (effects (font (size 1.27 1.27)))) + (number "AB9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -96.52 0) (length 3.81) + (name "IO_L19P_T3_13" (effects (font (size 1.27 1.27)))) + (number "R6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -2.54 0) (length 3.81) + (name "IO_0_13" (effects (font (size 1.27 1.27)))) + (number "R7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -101.6 0) (length 3.81) + (name "IO_L20P_T3_13" (effects (font (size 1.27 1.27)))) + (number "T4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -99.06 0) (length 3.81) + (name "IO_L19N_T3_VREF_13" (effects (font (size 1.27 1.27)))) + (number "T6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -30.48 0) (length 3.81) + (name "IO_L6P_T0_13" (effects (font (size 1.27 1.27)))) + (number "U10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -27.94 0) (length 3.81) + (name "IO_L5N_T0_13" (effects (font (size 1.27 1.27)))) + (number "U11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -25.4 0) (length 3.81) + (name "IO_L5P_T0_13" (effects (font (size 1.27 1.27)))) + (number "U12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -104.14 0) (length 3.81) + (name "IO_L20N_T3_13" (effects (font (size 1.27 1.27)))) + (number "U4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -114.3 0) (length 3.81) + (name "IO_L22N_T3_13" (effects (font (size 1.27 1.27)))) + (number "U5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -111.76 0) (length 3.81) + (name "IO_L22P_T3_13" (effects (font (size 1.27 1.27)))) + (number "U6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -127 0) (length 3.81) + (name "IO_25_13" (effects (font (size 1.27 1.27)))) + (number "U7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -33.02 0) (length 3.81) + (name "IO_L6N_T0_VREF_13" (effects (font (size 1.27 1.27)))) + (number "U9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -5.08 0) (length 3.81) + (name "IO_L1P_T0_13" (effects (font (size 1.27 1.27)))) + (number "V10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -20.32 0) (length 3.81) + (name "IO_L4P_T0_13" (effects (font (size 1.27 1.27)))) + (number "V12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -109.22 0) (length 3.81) + (name "IO_L21N_T3_DQS_13" (effects (font (size 1.27 1.27)))) + (number "V4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -106.68 0) (length 3.81) + (name "IO_L21P_T3_DQS_13" (effects (font (size 1.27 1.27)))) + (number "V5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -116.84 0) (length 3.81) + (name "IO_L23P_T3_13" (effects (font (size 1.27 1.27)))) + (number "V7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -10.16 0) (length 3.81) + (name "IO_L2P_T0_13" (effects (font (size 1.27 1.27)))) + (number "V8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -7.62 0) (length 3.81) + (name "IO_L1N_T0_13" (effects (font (size 1.27 1.27)))) + (number "V9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -17.78 0) (length 3.81) + (name "IO_L3N_T0_DQS_13" (effects (font (size 1.27 1.27)))) + (number "W10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -15.24 0) (length 3.81) + (name "IO_L3P_T0_DQS_13" (effects (font (size 1.27 1.27)))) + (number "W11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -22.86 0) (length 3.81) + (name "IO_L4N_T0_13" (effects (font (size 1.27 1.27)))) + (number "W12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -124.46 0) (length 3.81) + (name "IO_L24N_T3_13" (effects (font (size 1.27 1.27)))) + (number "W5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -121.92 0) (length 3.81) + (name "IO_L24P_T3_13" (effects (font (size 1.27 1.27)))) + (number "W6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -119.38 0) (length 3.81) + (name "IO_L23N_T3_13" (effects (font (size 1.27 1.27)))) + (number "W7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -12.7 0) (length 3.81) + (name "IO_L2N_T0_13" (effects (font (size 1.27 1.27)))) + (number "W8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -53.34 0) (length 3.81) + (name "IO_L10N_T1_13" (effects (font (size 1.27 1.27)))) + (number "Y10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -50.8 0) (length 3.81) + (name "IO_L10P_T1_13" (effects (font (size 1.27 1.27)))) + (number "Y11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -91.44 0) (length 3.81) + (name "IO_L18P_T2_13" (effects (font (size 1.27 1.27)))) + (number "Y4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -68.58 0) (length 3.81) + (name "IO_L13N_T2_MRCC_13" (effects (font (size 1.27 1.27)))) + (number "Y5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -66.04 0) (length 3.81) + (name "IO_L13P_T2_MRCC_13" (effects (font (size 1.27 1.27)))) + (number "Y6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -63.5 0) (length 3.81) + (name "IO_L12N_T1_MRCC_13" (effects (font (size 1.27 1.27)))) + (number "Y8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -60.96 0) (length 3.81) + (name "IO_L12P_T1_MRCC_13" (effects (font (size 1.27 1.27)))) + (number "Y9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "XC7Z020-1CLG484I_3_1" + (rectangle (start 0 0) (end 27.94 -129.54) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (pin bidirectional line (at -3.81 -119.38 0) (length 3.81) + (name "IO_L23N_T3_33" (effects (font (size 1.27 1.27)))) + (number "AA13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -114.3 0) (length 3.81) + (name "IO_L22N_T3_33" (effects (font (size 1.27 1.27)))) + (number "AA14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -91.44 0) (length 3.81) + (name "IO_L18P_T2_33" (effects (font (size 1.27 1.27)))) + (number "AA16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -86.36 0) (length 3.81) + (name "IO_L17P_T2_33" (effects (font (size 1.27 1.27)))) + (number "AA17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -63.5 0) (length 3.81) + (name "IO_L12N_T1_MRCC_33" (effects (font (size 1.27 1.27)))) + (number "AA18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -58.42 0) (length 3.81) + (name "IO_L11N_T1_SRCC_33" (effects (font (size 1.27 1.27)))) + (number "AA19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -40.64 0) (length 3.81) + (name "IO_L8P_T1_33" (effects (font (size 1.27 1.27)))) + (number "AA21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -35.56 0) (length 3.81) + (name "IO_L7P_T1_33" (effects (font (size 1.27 1.27)))) + (number "AA22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -121.92 0) (length 3.81) + (name "IO_L24P_T3_33" (effects (font (size 1.27 1.27)))) + (number "AB14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -124.46 0) (length 3.81) + (name "IO_L24N_T3_33" (effects (font (size 1.27 1.27)))) + (number "AB15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -93.98 0) (length 3.81) + (name "IO_L18N_T2_33" (effects (font (size 1.27 1.27)))) + (number "AB16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -88.9 0) (length 3.81) + (name "IO_L17N_T2_33" (effects (font (size 1.27 1.27)))) + (number "AB17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -50.8 0) (length 3.81) + (name "IO_L10P_T1_33" (effects (font (size 1.27 1.27)))) + (number "AB19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -53.34 0) (length 3.81) + (name "IO_L10N_T1_33" (effects (font (size 1.27 1.27)))) + (number "AB20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -43.18 0) (length 3.81) + (name "IO_L8N_T1_33" (effects (font (size 1.27 1.27)))) + (number "AB21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -38.1 0) (length 3.81) + (name "IO_L7N_T1_33" (effects (font (size 1.27 1.27)))) + (number "AB22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -5.08 0) (length 3.81) + (name "IO_L1P_T0_33" (effects (font (size 1.27 1.27)))) + (number "T21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -10.16 0) (length 3.81) + (name "IO_L2P_T0_33" (effects (font (size 1.27 1.27)))) + (number "T22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -127 0) (length 3.81) + (name "IO_25_33" (effects (font (size 1.27 1.27)))) + (number "U14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -76.2 0) (length 3.81) + (name "IO_L15P_T2_DQS_33" (effects (font (size 1.27 1.27)))) + (number "U15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -78.74 0) (length 3.81) + (name "IO_L15N_T2_DQS_33" (effects (font (size 1.27 1.27)))) + (number "U16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -81.28 0) (length 3.81) + (name "IO_L16P_T2_33" (effects (font (size 1.27 1.27)))) + (number "U17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -2.54 0) (length 3.81) + (name "IO_0_33" (effects (font (size 1.27 1.27)))) + (number "U19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -25.4 0) (length 3.81) + (name "IO_L5P_T0_33" (effects (font (size 1.27 1.27)))) + (number "U20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -7.62 0) (length 3.81) + (name "IO_L1N_T0_33" (effects (font (size 1.27 1.27)))) + (number "U21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -12.7 0) (length 3.81) + (name "IO_L2N_T0_33" (effects (font (size 1.27 1.27)))) + (number "U22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -101.6 0) (length 3.81) + (name "IO_L20P_T3_33" (effects (font (size 1.27 1.27)))) + (number "V13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -96.52 0) (length 3.81) + (name "IO_L19P_T3_33" (effects (font (size 1.27 1.27)))) + (number "V14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -99.06 0) (length 3.81) + (name "IO_L19N_T3_VREF_33" (effects (font (size 1.27 1.27)))) + (number "V15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -83.82 0) (length 3.81) + (name "IO_L16N_T2_33" (effects (font (size 1.27 1.27)))) + (number "V17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -30.48 0) (length 3.81) + (name "IO_L6P_T0_33" (effects (font (size 1.27 1.27)))) + (number "V18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -33.02 0) (length 3.81) + (name "IO_L6N_T0_VREF_33" (effects (font (size 1.27 1.27)))) + (number "V19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -27.94 0) (length 3.81) + (name "IO_L5N_T0_33" (effects (font (size 1.27 1.27)))) + (number "V20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -15.24 0) (length 3.81) + (name "IO_L3P_T0_DQS_33" (effects (font (size 1.27 1.27)))) + (number "V22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -104.14 0) (length 3.81) + (name "IO_L20N_T3_33" (effects (font (size 1.27 1.27)))) + (number "W13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -106.68 0) (length 3.81) + (name "IO_L21P_T3_DQS_33" (effects (font (size 1.27 1.27)))) + (number "W15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -71.12 0) (length 3.81) + (name "IO_L14P_T2_SRCC_33" (effects (font (size 1.27 1.27)))) + (number "W16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -66.04 0) (length 3.81) + (name "IO_L13P_T2_MRCC_33" (effects (font (size 1.27 1.27)))) + (number "W17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -68.58 0) (length 3.81) + (name "IO_L13N_T2_MRCC_33" (effects (font (size 1.27 1.27)))) + (number "W18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -20.32 0) (length 3.81) + (name "IO_L4P_T0_33" (effects (font (size 1.27 1.27)))) + (number "W20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -22.86 0) (length 3.81) + (name "IO_L4N_T0_33" (effects (font (size 1.27 1.27)))) + (number "W21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -17.78 0) (length 3.81) + (name "IO_L3N_T0_DQS_33" (effects (font (size 1.27 1.27)))) + (number "W22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -116.84 0) (length 3.81) + (name "IO_L23P_T3_33" (effects (font (size 1.27 1.27)))) + (number "Y13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -111.76 0) (length 3.81) + (name "IO_L22P_T3_33" (effects (font (size 1.27 1.27)))) + (number "Y14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -109.22 0) (length 3.81) + (name "IO_L21N_T3_DQS_33" (effects (font (size 1.27 1.27)))) + (number "Y15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -73.66 0) (length 3.81) + (name "IO_L14N_T2_SRCC_33" (effects (font (size 1.27 1.27)))) + (number "Y16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -60.96 0) (length 3.81) + (name "IO_L12P_T1_MRCC_33" (effects (font (size 1.27 1.27)))) + (number "Y18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -55.88 0) (length 3.81) + (name "IO_L11P_T1_SRCC_33" (effects (font (size 1.27 1.27)))) + (number "Y19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -45.72 0) (length 3.81) + (name "IO_L9P_T1_DQS_33" (effects (font (size 1.27 1.27)))) + (number "Y20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -48.26 0) (length 3.81) + (name "IO_L9N_T1_DQS_33" (effects (font (size 1.27 1.27)))) + (number "Y21" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "XC7Z020-1CLG484I_4_1" + (rectangle (start 0 0) (end 30.48 -129.54) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (pin bidirectional line (at -3.81 -2.54 0) (length 3.81) + (name "IO_0_34" (effects (font (size 1.27 1.27)))) + (number "H15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -5.08 0) (length 3.81) + (name "IO_L1P_T0_34" (effects (font (size 1.27 1.27)))) + (number "J15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -10.16 0) (length 3.81) + (name "IO_L2P_T0_34" (effects (font (size 1.27 1.27)))) + (number "J16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -12.7 0) (length 3.81) + (name "IO_L2N_T0_34" (effects (font (size 1.27 1.27)))) + (number "J17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -35.56 0) (length 3.81) + (name "IO_L7P_T1_34" (effects (font (size 1.27 1.27)))) + (number "J18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -45.72 0) (length 3.81) + (name "IO_L9P_T1_DQS_34" (effects (font (size 1.27 1.27)))) + (number "J20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -40.64 0) (length 3.81) + (name "IO_L8P_T1_34" (effects (font (size 1.27 1.27)))) + (number "J21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -43.18 0) (length 3.81) + (name "IO_L8N_T1_34" (effects (font (size 1.27 1.27)))) + (number "J22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -7.62 0) (length 3.81) + (name "IO_L1N_T0_34" (effects (font (size 1.27 1.27)))) + (number "K15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -15.24 0) (length 3.81) + (name "IO_L3P_T0_DQS_PUDC_B_34" (effects (font (size 1.27 1.27)))) + (number "K16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -38.1 0) (length 3.81) + (name "IO_L7N_T1_34" (effects (font (size 1.27 1.27)))) + (number "K18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -55.88 0) (length 3.81) + (name "IO_L11P_T1_SRCC_34" (effects (font (size 1.27 1.27)))) + (number "K19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -58.42 0) (length 3.81) + (name "IO_L11N_T1_SRCC_34" (effects (font (size 1.27 1.27)))) + (number "K20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -48.26 0) (length 3.81) + (name "IO_L9N_T1_DQS_34" (effects (font (size 1.27 1.27)))) + (number "K21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -17.78 0) (length 3.81) + (name "IO_L3N_T0_DQS_34" (effects (font (size 1.27 1.27)))) + (number "L16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -20.32 0) (length 3.81) + (name "IO_L4P_T0_34" (effects (font (size 1.27 1.27)))) + (number "L17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -60.96 0) (length 3.81) + (name "IO_L12P_T1_MRCC_34" (effects (font (size 1.27 1.27)))) + (number "L18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -63.5 0) (length 3.81) + (name "IO_L12N_T1_MRCC_34" (effects (font (size 1.27 1.27)))) + (number "L19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -50.8 0) (length 3.81) + (name "IO_L10P_T1_34" (effects (font (size 1.27 1.27)))) + (number "L21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -53.34 0) (length 3.81) + (name "IO_L10N_T1_34" (effects (font (size 1.27 1.27)))) + (number "L22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -30.48 0) (length 3.81) + (name "IO_L6P_T0_34" (effects (font (size 1.27 1.27)))) + (number "M15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -33.02 0) (length 3.81) + (name "IO_L6N_T0_VREF_34" (effects (font (size 1.27 1.27)))) + (number "M16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -22.86 0) (length 3.81) + (name "IO_L4N_T0_34" (effects (font (size 1.27 1.27)))) + (number "M17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -66.04 0) (length 3.81) + (name "IO_L13P_T2_MRCC_34" (effects (font (size 1.27 1.27)))) + (number "M19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -68.58 0) (length 3.81) + (name "IO_L13N_T2_MRCC_34" (effects (font (size 1.27 1.27)))) + (number "M20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -76.2 0) (length 3.81) + (name "IO_L15P_T2_DQS_34" (effects (font (size 1.27 1.27)))) + (number "M21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -78.74 0) (length 3.81) + (name "IO_L15N_T2_DQS_34" (effects (font (size 1.27 1.27)))) + (number "M22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -96.52 0) (length 3.81) + (name "IO_L19P_T3_34" (effects (font (size 1.27 1.27)))) + (number "N15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -25.4 0) (length 3.81) + (name "IO_L5P_T0_34" (effects (font (size 1.27 1.27)))) + (number "N17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -27.94 0) (length 3.81) + (name "IO_L5N_T0_34" (effects (font (size 1.27 1.27)))) + (number "N18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -71.12 0) (length 3.81) + (name "IO_L14P_T2_SRCC_34" (effects (font (size 1.27 1.27)))) + (number "N19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -73.66 0) (length 3.81) + (name "IO_L14N_T2_SRCC_34" (effects (font (size 1.27 1.27)))) + (number "N20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -81.28 0) (length 3.81) + (name "IO_L16P_T2_34" (effects (font (size 1.27 1.27)))) + (number "N22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -99.06 0) (length 3.81) + (name "IO_L19N_T3_VREF_34" (effects (font (size 1.27 1.27)))) + (number "P15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -121.92 0) (length 3.81) + (name "IO_L24P_T3_34" (effects (font (size 1.27 1.27)))) + (number "P16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -101.6 0) (length 3.81) + (name "IO_L20P_T3_34" (effects (font (size 1.27 1.27)))) + (number "P17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -104.14 0) (length 3.81) + (name "IO_L20N_T3_34" (effects (font (size 1.27 1.27)))) + (number "P18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -91.44 0) (length 3.81) + (name "IO_L18P_T2_34" (effects (font (size 1.27 1.27)))) + (number "P20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -93.98 0) (length 3.81) + (name "IO_L18N_T2_34" (effects (font (size 1.27 1.27)))) + (number "P21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -83.82 0) (length 3.81) + (name "IO_L16N_T2_34" (effects (font (size 1.27 1.27)))) + (number "P22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -127 0) (length 3.81) + (name "IO_25_34" (effects (font (size 1.27 1.27)))) + (number "R15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -124.46 0) (length 3.81) + (name "IO_L24N_T3_34" (effects (font (size 1.27 1.27)))) + (number "R16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -116.84 0) (length 3.81) + (name "IO_L23P_T3_34" (effects (font (size 1.27 1.27)))) + (number "R18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -111.76 0) (length 3.81) + (name "IO_L22P_T3_34" (effects (font (size 1.27 1.27)))) + (number "R19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -86.36 0) (length 3.81) + (name "IO_L17P_T2_34" (effects (font (size 1.27 1.27)))) + (number "R20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -88.9 0) (length 3.81) + (name "IO_L17N_T2_34" (effects (font (size 1.27 1.27)))) + (number "R21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -106.68 0) (length 3.81) + (name "IO_L21P_T3_DQS_34" (effects (font (size 1.27 1.27)))) + (number "T16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -109.22 0) (length 3.81) + (name "IO_L21N_T3_DQS_34" (effects (font (size 1.27 1.27)))) + (number "T17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -119.38 0) (length 3.81) + (name "IO_L23N_T3_34" (effects (font (size 1.27 1.27)))) + (number "T18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -114.3 0) (length 3.81) + (name "IO_L22N_T3_34" (effects (font (size 1.27 1.27)))) + (number "T19" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "XC7Z020-1CLG484I_5_1" + (rectangle (start 0 0) (end 30.48 -129.54) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (pin bidirectional line (at -3.81 -45.72 0) (length 3.81) + (name "IO_L9P_T1_DQS_AD3P_35" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -48.26 0) (length 3.81) + (name "IO_L9N_T1_DQS_AD3N_35" (effects (font (size 1.27 1.27)))) + (number "A17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -50.8 0) (length 3.81) + (name "IO_L10P_T1_AD11P_35" (effects (font (size 1.27 1.27)))) + (number "A18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -53.34 0) (length 3.81) + (name "IO_L10N_T1_AD11N_35" (effects (font (size 1.27 1.27)))) + (number "A19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -76.2 0) (length 3.81) + (name "IO_L15P_T2_DQS_AD12P_35" (effects (font (size 1.27 1.27)))) + (number "A21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -78.74 0) (length 3.81) + (name "IO_L15N_T2_DQS_AD12N_35" (effects (font (size 1.27 1.27)))) + (number "A22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -38.1 0) (length 3.81) + (name "IO_L7N_T1_AD2N_35" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -40.64 0) (length 3.81) + (name "IO_L8P_T1_AD10P_35" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -43.18 0) (length 3.81) + (name "IO_L8N_T1_AD10N_35" (effects (font (size 1.27 1.27)))) + (number "B17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -66.04 0) (length 3.81) + (name "IO_L13P_T2_MRCC_35" (effects (font (size 1.27 1.27)))) + (number "B19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -68.58 0) (length 3.81) + (name "IO_L13N_T2_MRCC_35" (effects (font (size 1.27 1.27)))) + (number "B20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -91.44 0) (length 3.81) + (name "IO_L18P_T2_AD13P_35" (effects (font (size 1.27 1.27)))) + (number "B21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -93.98 0) (length 3.81) + (name "IO_L18N_T2_AD13N_35" (effects (font (size 1.27 1.27)))) + (number "B22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -35.56 0) (length 3.81) + (name "IO_L7P_T1_AD2P_35" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -55.88 0) (length 3.81) + (name "IO_L11P_T1_SRCC_35" (effects (font (size 1.27 1.27)))) + (number "C17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -58.42 0) (length 3.81) + (name "IO_L11N_T1_SRCC_35" (effects (font (size 1.27 1.27)))) + (number "C18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -63.5 0) (length 3.81) + (name "IO_L12N_T1_MRCC_35" (effects (font (size 1.27 1.27)))) + (number "C19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -73.66 0) (length 3.81) + (name "IO_L14N_T2_AD4N_SRCC_35" (effects (font (size 1.27 1.27)))) + (number "C20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -83.82 0) (length 3.81) + (name "IO_L16N_T2_35" (effects (font (size 1.27 1.27)))) + (number "C22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -17.78 0) (length 3.81) + (name "IO_L3N_T0_DQS_AD1N_35" (effects (font (size 1.27 1.27)))) + (number "D15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -10.16 0) (length 3.81) + (name "IO_L2P_T0_AD8P_35" (effects (font (size 1.27 1.27)))) + (number "D16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -12.7 0) (length 3.81) + (name "IO_L2N_T0_AD8N_35" (effects (font (size 1.27 1.27)))) + (number "D17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -60.96 0) (length 3.81) + (name "IO_L12P_T1_MRCC_35" (effects (font (size 1.27 1.27)))) + (number "D18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -71.12 0) (length 3.81) + (name "IO_L14P_T2_AD4P_SRCC_35" (effects (font (size 1.27 1.27)))) + (number "D20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -88.9 0) (length 3.81) + (name "IO_L17N_T2_AD5N_35" (effects (font (size 1.27 1.27)))) + (number "D21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -81.28 0) (length 3.81) + (name "IO_L16P_T2_35" (effects (font (size 1.27 1.27)))) + (number "D22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -15.24 0) (length 3.81) + (name "IO_L3P_T0_DQS_AD1P_35" (effects (font (size 1.27 1.27)))) + (number "E15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -7.62 0) (length 3.81) + (name "IO_L1N_T0_AD0N_35" (effects (font (size 1.27 1.27)))) + (number "E16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -27.94 0) (length 3.81) + (name "IO_L5N_T0_AD9N_35" (effects (font (size 1.27 1.27)))) + (number "E18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -106.68 0) (length 3.81) + (name "IO_L21P_T3_DQS_AD14P_35" (effects (font (size 1.27 1.27)))) + (number "E19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -109.22 0) (length 3.81) + (name "IO_L21N_T3_DQS_AD14N_35" (effects (font (size 1.27 1.27)))) + (number "E20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -86.36 0) (length 3.81) + (name "IO_L17P_T2_AD5P_35" (effects (font (size 1.27 1.27)))) + (number "E21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -5.08 0) (length 3.81) + (name "IO_L1P_T0_AD0P_35" (effects (font (size 1.27 1.27)))) + (number "F16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -33.02 0) (length 3.81) + (name "IO_L6N_T0_VREF_35" (effects (font (size 1.27 1.27)))) + (number "F17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -25.4 0) (length 3.81) + (name "IO_L5P_T0_AD9P_35" (effects (font (size 1.27 1.27)))) + (number "F18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -104.14 0) (length 3.81) + (name "IO_L20N_T3_AD6N_35" (effects (font (size 1.27 1.27)))) + (number "F19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -116.84 0) (length 3.81) + (name "IO_L23P_T3_35" (effects (font (size 1.27 1.27)))) + (number "F21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -119.38 0) (length 3.81) + (name "IO_L23N_T3_35" (effects (font (size 1.27 1.27)))) + (number "F22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -20.32 0) (length 3.81) + (name "IO_L4P_T0_35" (effects (font (size 1.27 1.27)))) + (number "G15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -22.86 0) (length 3.81) + (name "IO_L4N_T0_35" (effects (font (size 1.27 1.27)))) + (number "G16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -30.48 0) (length 3.81) + (name "IO_L6P_T0_35" (effects (font (size 1.27 1.27)))) + (number "G17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -101.6 0) (length 3.81) + (name "IO_L20P_T3_AD6P_35" (effects (font (size 1.27 1.27)))) + (number "G19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -111.76 0) (length 3.81) + (name "IO_L22P_T3_AD7P_35" (effects (font (size 1.27 1.27)))) + (number "G20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -114.3 0) (length 3.81) + (name "IO_L22N_T3_AD7N_35" (effects (font (size 1.27 1.27)))) + (number "G21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -124.46 0) (length 3.81) + (name "IO_L24N_T3_AD15N_35" (effects (font (size 1.27 1.27)))) + (number "G22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -2.54 0) (length 3.81) + (name "IO_0_35" (effects (font (size 1.27 1.27)))) + (number "H17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -127 0) (length 3.81) + (name "IO_25_35" (effects (font (size 1.27 1.27)))) + (number "H18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -96.52 0) (length 3.81) + (name "IO_L19P_T3_35" (effects (font (size 1.27 1.27)))) + (number "H19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -99.06 0) (length 3.81) + (name "IO_L19N_T3_VREF_35" (effects (font (size 1.27 1.27)))) + (number "H20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -121.92 0) (length 3.81) + (name "IO_L24P_T3_AD15P_35" (effects (font (size 1.27 1.27)))) + (number "H22" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "XC7Z020-1CLG484I_6_1" + (pin bidirectional line (at -3.81 -12.7 0) (length 3.81) + (name "PS_MIO1_500" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -15.24 0) (length 3.81) + (name "PS_MIO2_500" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -22.86 0) (length 3.81) + (name "PS_MIO5_500" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -25.4 0) (length 3.81) + (name "PS_MIO6_500" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -43.18 0) (length 3.81) + (name "PS_MIO13_500" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -38.1 0) (length 3.81) + (name "PS_MIO11_500" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -3.81 -6.35 0) (length 3.81) + (name "PS_POR_B_500" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -45.72 0) (length 3.81) + (name "PS_MIO14_500" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -33.02 0) (length 3.81) + (name "PS_MIO9_500" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -40.64 0) (length 3.81) + (name "PS_MIO12_500" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -27.94 0) (length 3.81) + (name "PS_MIO7_500" (effects (font (size 1.27 1.27)))) + (number "D5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -20.32 0) (length 3.81) + (name "PS_MIO4_500" (effects (font (size 1.27 1.27)))) + (number "E4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -30.48 0) (length 3.81) + (name "PS_MIO8_500" (effects (font (size 1.27 1.27)))) + (number "E5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -48.26 0) (length 3.81) + (name "PS_MIO15_500" (effects (font (size 1.27 1.27)))) + (number "E6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -17.78 0) (length 3.81) + (name "PS_MIO3_500" (effects (font (size 1.27 1.27)))) + (number "F6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -3.81 -3.81 0) (length 3.81) + (name "PS_CLK_500" (effects (font (size 1.27 1.27)))) + (number "F7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -10.16 0) (length 3.81) + (name "PS_MIO0_500" (effects (font (size 1.27 1.27)))) + (number "G6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -35.56 0) (length 3.81) + (name "PS_MIO10_500" (effects (font (size 1.27 1.27)))) + (number "G7" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "XC7Z020-1CLG484I_7_1" + (rectangle (start 0 0) (end 35.56 -99.06) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (pin bidirectional line (at -3.81 -66.04 0) (length 3.81) + (name "PS_MIO30_501" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -63.5 0) (length 3.81) + (name "PS_MIO28_501" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -60.96 0) (length 3.81) + (name "PS_MIO26_501" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -55.88 0) (length 3.81) + (name "PS_MIO22_501" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -50.8 0) (length 3.81) + (name "PS_MIO18_501" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -53.34 0) (length 3.81) + (name "PS_MIO20_501" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -73.66 0) (length 3.81) + (name "PS_MIO36_501" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -88.9 0) (length 3.81) + (name "PS_MIO47_501" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -83.82 0) (length 3.81) + (name "PS_MIO43_501" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -71.12 0) (length 3.81) + (name "PS_MIO34_501" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -76.2 0) (length 3.81) + (name "PS_MIO37_501" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -58.42 0) (length 3.81) + (name "PS_MIO24_501" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -86.36 0) (length 3.81) + (name "PS_MIO45_501" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -93.98 0) (length 3.81) + (name "PS_MIO51_501" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -96.52 0) (length 3.81) + (name "PS_MIO53_501" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -78.74 0) (length 3.81) + (name "PS_MIO39_501" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -91.44 0) (length 3.81) + (name "PS_MIO49_501" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -68.58 0) (length 3.81) + (name "PS_MIO32_501" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -81.28 0) (length 3.81) + (name "PS_MIO41_501" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 39.37 -19.05 180) (length 3.81) + (name "PS_SRST_B_501" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -45.72 0) (length 3.81) + (name "PS_MIO52_501" (effects (font (size 1.27 1.27)))) + (number "D10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -40.64 0) (length 3.81) + (name "PS_MIO48_501" (effects (font (size 1.27 1.27)))) + (number "D11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -38.1 0) (length 3.81) + (name "PS_MIO46_501" (effects (font (size 1.27 1.27)))) + (number "D12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -43.18 0) (length 3.81) + (name "PS_MIO50_501" (effects (font (size 1.27 1.27)))) + (number "D13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -48.26 0) (length 3.81) + (name "PS_MIO16_501" (effects (font (size 1.27 1.27)))) + (number "D6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -15.24 0) (length 3.81) + (name "PS_MIO27_501" (effects (font (size 1.27 1.27)))) + (number "D7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -33.02 0) (length 3.81) + (name "PS_MIO42_501" (effects (font (size 1.27 1.27)))) + (number "D8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -5.08 0) (length 3.81) + (name "PS_MIO19_501" (effects (font (size 1.27 1.27)))) + (number "E10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -10.16 0) (length 3.81) + (name "PS_MIO23_501" (effects (font (size 1.27 1.27)))) + (number "E11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -35.56 0) (length 3.81) + (name "PS_MIO44_501" (effects (font (size 1.27 1.27)))) + (number "E13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -30.48 0) (length 3.81) + (name "PS_MIO40_501" (effects (font (size 1.27 1.27)))) + (number "E14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -17.78 0) (length 3.81) + (name "PS_MIO29_501" (effects (font (size 1.27 1.27)))) + (number "E8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -2.54 0) (length 3.81) + (name "PS_MIO17_501" (effects (font (size 1.27 1.27)))) + (number "E9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -7.62 0) (length 3.81) + (name "PS_MIO21_501" (effects (font (size 1.27 1.27)))) + (number "F11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -12.7 0) (length 3.81) + (name "PS_MIO25_501" (effects (font (size 1.27 1.27)))) + (number "F12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -27.94 0) (length 3.81) + (name "PS_MIO38_501" (effects (font (size 1.27 1.27)))) + (number "F13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -25.4 0) (length 3.81) + (name "PS_MIO35_501" (effects (font (size 1.27 1.27)))) + (number "F14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 39.37 -16.51 180) (length 3.81) + (name "PS_MIO_VREF_501" (effects (font (size 1.27 1.27)))) + (number "F8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -20.32 0) (length 3.81) + (name "PS_MIO31_501" (effects (font (size 1.27 1.27)))) + (number "F9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -3.81 -22.86 0) (length 3.81) + (name "PS_MIO33_501" (effects (font (size 1.27 1.27)))) + (number "G13" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "XC7Z020-1CLG484I_8_1" + (pin unspecified line (at -40.64 -76.2 0) (length 3.81) + (name "PS_DDR_DQ26_502" (effects (font (size 1.27 1.27)))) + (number "AA1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -43.18 0) (length 3.81) + (name "PS_DDR_DM3_502" (effects (font (size 1.27 1.27)))) + (number "AA2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -71.12 0) (length 3.81) + (name "PS_DDR_DQ24_502" (effects (font (size 1.27 1.27)))) + (number "AA3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -20.32 0) (length 3.81) + (name "PS_DDR_DM0_502" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -15.24 0) (length 3.81) + (name "PS_DDR_DQ2_502" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -22.86 0) (length 3.81) + (name "PS_DDR_DQS_P0_502" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -12.7 0) (length 3.81) + (name "PS_DDR_DQ1_502" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -10.16 0) (length 3.81) + (name "PS_DDR_DQ0_502" (effects (font (size 1.27 1.27)))) + (number "D1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -25.4 0) (length 3.81) + (name "PS_DDR_DQS_N0_502" (effects (font (size 1.27 1.27)))) + (number "D2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -17.78 0) (length 3.81) + (name "PS_DDR_DQ3_502" (effects (font (size 1.27 1.27)))) + (number "D3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -22.86 0) (length 3.81) + (name "PS_DDR_DQ5_502" (effects (font (size 1.27 1.27)))) + (number "E1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -20.32 0) (length 3.81) + (name "PS_DDR_DQ4_502" (effects (font (size 1.27 1.27)))) + (number "E3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -27.94 0) (length 3.81) + (name "PS_DDR_DQ7_502" (effects (font (size 1.27 1.27)))) + (number "F1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -25.4 0) (length 3.81) + (name "PS_DDR_DQ6_502" (effects (font (size 1.27 1.27)))) + (number "F2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -53.34 0) (length 3.81) + (name "PS_DDR_DRST_B_502" (effects (font (size 1.27 1.27)))) + (number "F3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -41.91 0) (length 3.81) + (name "PS_DDR_A13_502" (effects (font (size 1.27 1.27)))) + (number "F4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -33.02 0) (length 3.81) + (name "PS_DDR_DQ9_502" (effects (font (size 1.27 1.27)))) + (number "G1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -30.48 0) (length 3.81) + (name "PS_DDR_DQ8_502" (effects (font (size 1.27 1.27)))) + (number "G2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -44.45 0) (length 3.81) + (name "PS_DDR_A14_502" (effects (font (size 1.27 1.27)))) + (number "G4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -36.83 0) (length 3.81) + (name "PS_DDR_A11_502" (effects (font (size 1.27 1.27)))) + (number "G5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -30.48 0) (length 3.81) + (name "PS_DDR_DQS_P1_502" (effects (font (size 1.27 1.27)))) + (number "H2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -27.94 0) (length 3.81) + (name "PS_DDR_DM1_502" (effects (font (size 1.27 1.27)))) + (number "H3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -39.37 0) (length 3.81) + (name "PS_DDR_A12_502" (effects (font (size 1.27 1.27)))) + (number "H4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -31.75 0) (length 3.81) + (name "PS_DDR_A9_502" (effects (font (size 1.27 1.27)))) + (number "H5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -45.72 0) (length 3.81) + (name "PS_DDR_DQ14_502" (effects (font (size 1.27 1.27)))) + (number "J1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -33.02 0) (length 3.81) + (name "PS_DDR_DQS_N1_502" (effects (font (size 1.27 1.27)))) + (number "J2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -34.29 0) (length 3.81) + (name "PS_DDR_A10_502" (effects (font (size 1.27 1.27)))) + (number "J3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -29.21 0) (length 3.81) + (name "PS_DDR_A8_502" (effects (font (size 1.27 1.27)))) + (number "J5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -26.67 0) (length 3.81) + (name "PS_DDR_A7_502" (effects (font (size 1.27 1.27)))) + (number "J6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -24.13 0) (length 3.81) + (name "PS_DDR_A6_502" (effects (font (size 1.27 1.27)))) + (number "J7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -43.18 0) (length 3.81) + (name "PS_DDR_DQ13_502" (effects (font (size 1.27 1.27)))) + (number "K1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -48.26 0) (length 3.81) + (name "PS_DDR_DQ15_502" (effects (font (size 1.27 1.27)))) + (number "K3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -13.97 0) (length 3.81) + (name "PS_DDR_A2_502" (effects (font (size 1.27 1.27)))) + (number "K4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -21.59 0) (length 3.81) + (name "PS_DDR_A5_502" (effects (font (size 1.27 1.27)))) + (number "K5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -19.05 0) (length 3.81) + (name "PS_DDR_A4_502" (effects (font (size 1.27 1.27)))) + (number "K6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -35.56 0) (length 3.81) + (name "PS_DDR_DQ10_502" (effects (font (size 1.27 1.27)))) + (number "L1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -38.1 0) (length 3.81) + (name "PS_DDR_DQ11_502" (effects (font (size 1.27 1.27)))) + (number "L2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -40.64 0) (length 3.81) + (name "PS_DDR_DQ12_502" (effects (font (size 1.27 1.27)))) + (number "L3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -16.51 0) (length 3.81) + (name "PS_DDR_A3_502" (effects (font (size 1.27 1.27)))) + (number "L4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -101.6 -66.04 0) (length 3.81) + (name "PS_DDR_BA1_502" (effects (font (size 1.27 1.27)))) + (number "L6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -101.6 -63.5 0) (length 3.81) + (name "PS_DDR_BA0_502" (effects (font (size 1.27 1.27)))) + (number "L7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -50.8 0) (length 3.81) + (name "PS_DDR_DQ16_502" (effects (font (size 1.27 1.27)))) + (number "M1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -66.04 0) (length 3.81) + (name "PS_DDR_DQ22_502" (effects (font (size 1.27 1.27)))) + (number "M2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -8.89 0) (length 3.81) + (name "PS_DDR_A0_502" (effects (font (size 1.27 1.27)))) + (number "M4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -92.71 -11.43 0) (length 3.81) + (name "PS_DDR_A1_502" (effects (font (size 1.27 1.27)))) + (number "M5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -101.6 -68.58 0) (length 3.81) + (name "PS_DDR_BA2_502" (effects (font (size 1.27 1.27)))) + (number "M6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -76.2 0) (length 3.81) + (name "PS_DDR_VRN_502" (effects (font (size 1.27 1.27)))) + (number "M7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -38.1 0) (length 3.81) + (name "PS_DDR_DQS_P2_502" (effects (font (size 1.27 1.27)))) + (number "N2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -55.88 0) (length 3.81) + (name "PS_DDR_DQ18_502" (effects (font (size 1.27 1.27)))) + (number "N3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -78.74 0) (length 3.81) + (name "PS_DDR_CKP_502" (effects (font (size 1.27 1.27)))) + (number "N4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -81.28 0) (length 3.81) + (name "PS_DDR_CKN_502" (effects (font (size 1.27 1.27)))) + (number "N5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -73.66 0) (length 3.81) + (name "PS_DDR_VRP_502" (effects (font (size 1.27 1.27)))) + (number "N7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -35.56 0) (length 3.81) + (name "PS_DDR_DM2_502" (effects (font (size 1.27 1.27)))) + (number "P1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -40.64 0) (length 3.81) + (name "PS_DDR_DQS_N2_502" (effects (font (size 1.27 1.27)))) + (number "P2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -66.04 0) (length 3.81) + (name "PS_DDR_CAS_B_502" (effects (font (size 1.27 1.27)))) + (number "P3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -55.88 0) (length 3.81) + (name "PS_DDR_ODT_502" (effects (font (size 1.27 1.27)))) + (number "P5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -58.42 0) (length 3.81) + (name "PS_DDR_CS_B_502" (effects (font (size 1.27 1.27)))) + (number "P6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -68.58 0) (length 3.81) + (name "PS_DDR_DQ23_502" (effects (font (size 1.27 1.27)))) + (number "R1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -60.96 0) (length 3.81) + (name "PS_DDR_DQ20_502" (effects (font (size 1.27 1.27)))) + (number "R3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -63.5 0) (length 3.81) + (name "PS_DDR_WE_B_502" (effects (font (size 1.27 1.27)))) + (number "R4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -68.58 0) (length 3.81) + (name "PS_DDR_RAS_B_502" (effects (font (size 1.27 1.27)))) + (number "R5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -58.42 0) (length 3.81) + (name "PS_DDR_DQ19_502" (effects (font (size 1.27 1.27)))) + (number "T1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -63.5 0) (length 3.81) + (name "PS_DDR_DQ21_502" (effects (font (size 1.27 1.27)))) + (number "T2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -53.34 0) (length 3.81) + (name "PS_DDR_DQ17_502" (effects (font (size 1.27 1.27)))) + (number "T3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -73.66 0) (length 3.81) + (name "PS_DDR_DQ25_502" (effects (font (size 1.27 1.27)))) + (number "U1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -78.74 0) (length 3.81) + (name "PS_DDR_DQ27_502" (effects (font (size 1.27 1.27)))) + (number "U2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -45.72 0) (length 3.81) + (name "PS_DDR_DQS_P3_502" (effects (font (size 1.27 1.27)))) + (number "V2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -60.96 0) (length 3.81) + (name "PS_DDR_CKE_502" (effects (font (size 1.27 1.27)))) + (number "V3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -81.28 0) (length 3.81) + (name "PS_DDR_DQ28_502" (effects (font (size 1.27 1.27)))) + (number "W1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -6.35 -48.26 0) (length 3.81) + (name "PS_DDR_DQS_N3_502" (effects (font (size 1.27 1.27)))) + (number "W2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -86.36 0) (length 3.81) + (name "PS_DDR_DQ30_502" (effects (font (size 1.27 1.27)))) + (number "W3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -88.9 0) (length 3.81) + (name "PS_DDR_DQ31_502" (effects (font (size 1.27 1.27)))) + (number "Y1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -40.64 -83.82 0) (length 3.81) + (name "PS_DDR_DQ29_502" (effects (font (size 1.27 1.27)))) + (number "Y3" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "XC7Z020-1CLG484I_9_1" + (rectangle (start 0 0) (end 25.4 -83.82) + (stroke (width 0) (type default)) + (fill (type background)) + ) + (pin power_in line (at -3.81 -5.08 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -2.54 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -10.16 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "AA15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -7.62 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "AA5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -15.24 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "AB18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -12.7 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "AB8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -20.32 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -17.78 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -22.86 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -25.4 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -27.94 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -33.02 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -30.48 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -38.1 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "E17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -35.56 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "E7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -40.64 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "F10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -43.18 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "F20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -45.72 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "G3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -53.34 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -55.88 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -58.42 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -48.26 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -50.8 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -63.5 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -66.04 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -68.58 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -60.96 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -76.2 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -78.74 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -71.12 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -81.28 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -73.66 0) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -10.16 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "L13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -12.7 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "L15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -5.08 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "L5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -7.62 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "L9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -17.78 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "M10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -20.32 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "M14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -22.86 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "M18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -15.24 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "M8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -25.4 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "N1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -30.48 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "N13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -33.02 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "N21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -27.94 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "N9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -40.64 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "P10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -43.18 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "P12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -45.72 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "P14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -35.56 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "P4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -38.1 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "P8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -50.8 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "R11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -53.34 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "R13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -55.88 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "R17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -48.26 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "R9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -58.42 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "T20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -63.5 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "U13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -60.96 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "U3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -68.58 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "V16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -66.04 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "V6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -73.66 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "W19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -71.12 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "W9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -78.74 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "Y12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -76.2 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "Y2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 29.21 -81.28 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "Y22" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "XC7Z020-1CLG484I_10_1" + (pin power_in line (at -11.43 -172.72 0) (length 3.81) + (name "VCCO_MIO1_501" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -93.98 0) (length 3.81) + (name "VCCO_35" (effects (font (size 1.27 1.27)))) + (number "A20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -45.72 0) (length 3.81) + (name "VCCO_13" (effects (font (size 1.27 1.27)))) + (number "AA10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -63.5 0) (length 3.81) + (name "VCCO_33" (effects (font (size 1.27 1.27)))) + (number "AA20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -66.04 0) (length 3.81) + (name "VCCO_33" (effects (font (size 1.27 1.27)))) + (number "AB13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -48.26 0) (length 3.81) + (name "VCCO_13" (effects (font (size 1.27 1.27)))) + (number "AB3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -175.26 0) (length 3.81) + (name "VCCO_MIO1_501" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -167.64 0) (length 3.81) + (name "VCCO_MIO0_500" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -96.52 0) (length 3.81) + (name "VCCO_35" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -170.18 0) (length 3.81) + (name "VCCO_MIO0_500" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -99.06 0) (length 3.81) + (name "VCCO_35" (effects (font (size 1.27 1.27)))) + (number "D19" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -177.8 0) (length 3.81) + (name "VCCO_MIO1_501" (effects (font (size 1.27 1.27)))) + (number "D9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -180.34 0) (length 3.81) + (name "VCCO_MIO1_501" (effects (font (size 1.27 1.27)))) + (number "E12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -116.84 0) (length 3.81) + (name "VCCO_DDR_502" (effects (font (size 1.27 1.27)))) + (number "E2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -101.6 0) (length 3.81) + (name "VCCO_35" (effects (font (size 1.27 1.27)))) + (number "E22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -104.14 0) (length 3.81) + (name "VCCO_35" (effects (font (size 1.27 1.27)))) + (number "F15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -119.38 0) (length 3.81) + (name "VCCO_DDR_502" (effects (font (size 1.27 1.27)))) + (number "F5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -106.68 0) (length 3.81) + (name "VCCO_35" (effects (font (size 1.27 1.27)))) + (number "G18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -152.4 0) (length 3.81) + (name "VCCPINT" (effects (font (size 1.27 1.27)))) + (number "G8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -121.92 0) (length 3.81) + (name "VCCO_DDR_502" (effects (font (size 1.27 1.27)))) + (number "H1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -139.7 0) (length 3.81) + (name "VCCPLL" (effects (font (size 1.27 1.27)))) + (number "H10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -111.76 0) (length 3.81) + (name "VCCBRAM" (effects (font (size 1.27 1.27)))) + (number "H11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -109.22 0) (length 3.81) + (name "VCCO_35" (effects (font (size 1.27 1.27)))) + (number "H21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -11.43 -182.88 0) (length 3.81) + (name "PS_DDR_VREF0_502" (effects (font (size 1.27 1.27)))) + (number "H7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -154.94 0) (length 3.81) + (name "VCCPINT" (effects (font (size 1.27 1.27)))) + (number "H9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -114.3 0) (length 3.81) + (name "VCCBRAM" (effects (font (size 1.27 1.27)))) + (number "J10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -12.7 0) (length 3.81) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "J12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -15.24 0) (length 3.81) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "J14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -124.46 0) (length 3.81) + (name "VCCO_DDR_502" (effects (font (size 1.27 1.27)))) + (number "J4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -157.48 0) (length 3.81) + (name "VCCPINT" (effects (font (size 1.27 1.27)))) + (number "J8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -17.78 0) (length 3.81) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "K13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -78.74 0) (length 3.81) + (name "VCCO_34" (effects (font (size 1.27 1.27)))) + (number "K17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -127 0) (length 3.81) + (name "VCCO_DDR_502" (effects (font (size 1.27 1.27)))) + (number "K7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -142.24 0) (length 3.81) + (name "VCCPAUX" (effects (font (size 1.27 1.27)))) + (number "K9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -33.02 0) (length 3.81) + (name "VCCAUX" (effects (font (size 1.27 1.27)))) + (number "L10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -20.32 0) (length 3.81) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "L14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -81.28 0) (length 3.81) + (name "VCCO_34" (effects (font (size 1.27 1.27)))) + (number "L20" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -160.02 0) (length 3.81) + (name "VCCPINT" (effects (font (size 1.27 1.27)))) + (number "L8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -22.86 0) (length 3.81) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "M13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -129.54 0) (length 3.81) + (name "VCCO_DDR_502" (effects (font (size 1.27 1.27)))) + (number "M3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -144.78 0) (length 3.81) + (name "VCCPAUX" (effects (font (size 1.27 1.27)))) + (number "M9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -35.56 0) (length 3.81) + (name "VCCAUX" (effects (font (size 1.27 1.27)))) + (number "N10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -25.4 0) (length 3.81) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "N14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -83.82 0) (length 3.81) + (name "VCCO_34" (effects (font (size 1.27 1.27)))) + (number "N16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -132.08 0) (length 3.81) + (name "VCCO_DDR_502" (effects (font (size 1.27 1.27)))) + (number "N6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -162.56 0) (length 3.81) + (name "VCCPINT" (effects (font (size 1.27 1.27)))) + (number "N8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -38.1 0) (length 3.81) + (name "VCCAUX" (effects (font (size 1.27 1.27)))) + (number "P11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -27.94 0) (length 3.81) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "P13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -86.36 0) (length 3.81) + (name "VCCO_34" (effects (font (size 1.27 1.27)))) + (number "P19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at -11.43 -185.42 0) (length 3.81) + (name "PS_DDR_VREF1_502" (effects (font (size 1.27 1.27)))) + (number "P7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -147.32 0) (length 3.81) + (name "VCCPAUX" (effects (font (size 1.27 1.27)))) + (number "P9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -40.64 0) (length 3.81) + (name "VCCAUX" (effects (font (size 1.27 1.27)))) + (number "R10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -43.18 0) (length 3.81) + (name "VCCO_0" (effects (font (size 1.27 1.27)))) + (number "R12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -30.48 0) (length 3.81) + (name "VCCINT" (effects (font (size 1.27 1.27)))) + (number "R14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -134.62 0) (length 3.81) + (name "VCCO_DDR_502" (effects (font (size 1.27 1.27)))) + (number "R2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -88.9 0) (length 3.81) + (name "VCCO_34" (effects (font (size 1.27 1.27)))) + (number "R22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -165.1 0) (length 3.81) + (name "VCCPINT" (effects (font (size 1.27 1.27)))) + (number "R8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -91.44 0) (length 3.81) + (name "VCCO_34" (effects (font (size 1.27 1.27)))) + (number "T15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -50.8 0) (length 3.81) + (name "VCCO_13" (effects (font (size 1.27 1.27)))) + (number "T5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -149.86 0) (length 3.81) + (name "VCCPAUX" (effects (font (size 1.27 1.27)))) + (number "T9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -68.58 0) (length 3.81) + (name "VCCO_33" (effects (font (size 1.27 1.27)))) + (number "U18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -53.34 0) (length 3.81) + (name "VCCO_13" (effects (font (size 1.27 1.27)))) + (number "U8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -137.16 0) (length 3.81) + (name "VCCO_DDR_502" (effects (font (size 1.27 1.27)))) + (number "V1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -55.88 0) (length 3.81) + (name "VCCO_13" (effects (font (size 1.27 1.27)))) + (number "V11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -71.12 0) (length 3.81) + (name "VCCO_33" (effects (font (size 1.27 1.27)))) + (number "V21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -73.66 0) (length 3.81) + (name "VCCO_33" (effects (font (size 1.27 1.27)))) + (number "W14" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -58.42 0) (length 3.81) + (name "VCCO_13" (effects (font (size 1.27 1.27)))) + (number "W4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -76.2 0) (length 3.81) + (name "VCCO_33" (effects (font (size 1.27 1.27)))) + (number "Y17" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -60.96 0) (length 3.81) + (name "VCCO_13" (effects (font (size 1.27 1.27)))) + (number "Y7" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "XT60" (pin_names hide) (in_bom yes) (on_board yes) + (property "Reference" "P" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "XT60" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "common:XT60_Male" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Connector, XT60" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "XT60_0_0" + (text "+" (at 1.27 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (text "-" (at 1.27 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "XT60_0_1" + (polyline + (pts + (xy 0 0) + (xy 2.54 0) + (xy 2.54 -4.445) + (xy 1.905 -5.08) + (xy 0.635 -5.08) + (xy 0 -4.445) + (xy 0 0) + ) + (stroke (width 0.1524) (type default)) + (fill (type color) (color 255 216 131 1)) + ) + ) + (symbol "XT60_1_1" + (pin passive line (at -2.54 -1.27 0) (length 2.54) + (name "+" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -3.81 0) (length 2.54) + (name "-" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "XT60PW-F" (extends "XT60") + (property "Reference" "P" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "XT60PW-F" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:XT60PW-F" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.tme.com/Document/9b8d0c5eb7094295f3d3112c214d3ade/XT60PW%20SPEC.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "XT60PW-F" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Connector, XT60, Female" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (symbol "XT60PW-M" (extends "XT60") + (property "Reference" "P" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "XT60PW-M" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "common:XT60PW-M" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.tme.com/Document/9b8d0c5eb7094295f3d3112c214d3ade/XT60PW%20SPEC.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Manufacturer" "Changzhou Amass Elec" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ManufacturerPartNumber" "XT60PW-M" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Supplier" "LCSC" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "SupplierPartNumber" "C98732" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Populate" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_description" "Connector, XT60, Male" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) ) diff --git a/ceres.pretty/BGA80P1000X1000X170-144.kicad_mod b/ceres.pretty/BGA80P1000X1000X170-144.kicad_mod new file mode 100644 index 0000000..917545f --- /dev/null +++ b/ceres.pretty/BGA80P1000X1000X170-144.kicad_mod @@ -0,0 +1,186 @@ +(footprint "BGA80P1000X1000X170-144" (version 20220914) (generator pcbnew) + (layer "F.Cu") + (attr smd) + (fp_text reference "REF**" (at 0 -5.969) (layer "F.SilkS") + (effects (font (size 0.635 0.635) (thickness 0.127) bold)) + (tstamp d9f0171e-c769-4c6b-8331-d3e95de59203) + ) + (fp_text value "BGA80P1000X1000X170-144" (at 0 -0.762) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127) bold)) + (tstamp dba2b264-c094-462e-824c-8906aafff9cd) + ) + (fp_text user "${REFERENCE}" (at 0 0.889) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127) bold)) + (tstamp 4d656b17-c281-487e-9658-28ea4e107f98) + ) + (fp_line (start -5.334 5.334) (end -5.334 -4.572) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 4883dbee-b347-4f73-89b9-37074ff91425)) + (fp_line (start -4.572 -5.334) (end 5.334 -5.334) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp d5110450-a0d2-4d14-9078-4f0b36b748b9)) + (fp_line (start 5.334 -5.334) (end 5.334 5.334) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp c2313dab-3d59-426f-8be6-c0b5ded6c497)) + (fp_line (start 5.334 5.334) (end -5.334 5.334) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 0af7230e-9b1b-4947-b4ed-815a9bfc8d17)) + (fp_arc (start -5.334 -4.572) (mid -5.110815 -5.110815) (end -4.572 -5.334) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp c8879865-5021-4a1d-94d1-96d2bf08b4e1)) + (fp_rect (start -5 -5) (end 5 5) + (stroke (width 0.127) (type default)) (fill none) (layer "F.Fab") (tstamp d013b14e-ea0d-4d57-8d4f-c2fd06e0cdff)) + (pad "A1" smd circle (at -4.4 -4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 740614e7-4f1f-4d07-a60d-14d5903f1c3b)) + (pad "A2" smd circle (at -3.6 -4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1e968922-8602-4bac-8302-2344051baa56)) + (pad "A3" smd circle (at -2.8 -4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0d270ccf-05d2-4024-8046-339dc6b4d868)) + (pad "A4" smd circle (at -2 -4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c1da35e2-164d-4866-a934-f29cc167f157)) + (pad "A5" smd circle (at -1.2 -4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 458786cb-c9b2-4aec-a6e4-f5acbe404e50)) + (pad "A6" smd circle (at -0.4 -4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp cb645d57-09ab-4c11-821f-8805181eb9d9)) + (pad "A7" smd circle (at 0.4 -4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f1fe8366-0e99-408d-87a8-04002cc8337b)) + (pad "A8" smd circle (at 1.2 -4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bead2ca5-ee96-44a7-bda8-0b281442a7a6)) + (pad "A9" smd circle (at 2 -4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d4f6226b-690d-4669-ac5b-11d23c8b11f7)) + (pad "A10" smd circle (at 2.8 -4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d9426834-1b50-4786-b1a1-698632b49019)) + (pad "A11" smd circle (at 3.6 -4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 61ece725-b122-4522-859f-89ca40c7e2d4)) + (pad "A12" smd circle (at 4.4 -4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6db5d006-99de-40c1-b6a9-811579045a79)) + (pad "B1" smd circle (at -4.4 -3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d85efd62-9a98-4c34-8992-7341076a990f)) + (pad "B2" smd circle (at -3.6 -3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp aea744a0-9290-4635-aed2-cc02ccf2f8db)) + (pad "B3" smd circle (at -2.8 -3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8b38f75b-7785-47db-980a-36daee6f7a15)) + (pad "B4" smd circle (at -2 -3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6a1623e8-e519-4905-bead-550c4a95e04c)) + (pad "B5" smd circle (at -1.2 -3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4f36bdf0-e37c-4e74-ab7a-5e895b131d8f)) + (pad "B6" smd circle (at -0.4 -3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9b39c2f8-ffcd-4481-90dc-19817b823cf5)) + (pad "B7" smd circle (at 0.4 -3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8123e895-55c9-4803-a83c-32dcde308ea5)) + (pad "B8" smd circle (at 1.2 -3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d1e68e66-91c5-428d-926a-5a75b7b5cbe7)) + (pad "B9" smd circle (at 2 -3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4bca08bc-a6ea-4ec7-8d8c-166e638c8eda)) + (pad "B10" smd circle (at 2.8 -3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 846326e8-c2ab-4a9b-8c0a-923d6759f8dc)) + (pad "B11" smd circle (at 3.6 -3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 43ba994b-b3d0-43b0-84d3-86e27dc60d9e)) + (pad "B12" smd circle (at 4.4 -3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0965068f-7d06-4b7a-b4ac-0283cc40b767)) + (pad "C1" smd circle (at -4.4 -2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 39127fcb-24e9-4d8c-a3a5-36617f38c876)) + (pad "C2" smd circle (at -3.6 -2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp af58c51f-5f8f-4e30-91da-788b99b3e5a0)) + (pad "C3" smd circle (at -2.8 -2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 134e45a4-abf9-4972-aa30-2a39aa62b1b3)) + (pad "C4" smd circle (at -2 -2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 705fda7f-8cc1-4066-9b8a-75b566b45741)) + (pad "C5" smd circle (at -1.2 -2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 463c59b7-5c8b-4c23-b6ee-80be1c0e5e48)) + (pad "C6" smd circle (at -0.4 -2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6abdbe87-8d21-4656-aaa0-9a26b0bf85f6)) + (pad "C7" smd circle (at 0.4 -2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp cac5227e-446e-40fc-bcd1-85bcf50d1cbf)) + (pad "C8" smd circle (at 1.2 -2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1e90110f-4d8a-4517-ad87-83f97a7c8eac)) + (pad "C9" smd circle (at 2 -2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e968d3cc-d66c-423d-9a37-4ddefe8cb866)) + (pad "C10" smd circle (at 2.8 -2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0402a64e-4267-4d7b-811c-ef9340d72035)) + (pad "C11" smd circle (at 3.6 -2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0ff56cd1-9076-4498-81d5-31b02f851fb6)) + (pad "C12" smd circle (at 4.4 -2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8465385e-bdbe-472b-9bb8-4e3cd2224302)) + (pad "D1" smd circle (at -4.4 -2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b44a3a8e-f322-4b70-b4e4-a71375a3990a)) + (pad "D2" smd circle (at -3.6 -2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b2504760-968d-4ae6-820c-1b3bac114087)) + (pad "D3" smd circle (at -2.8 -2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f8c2e358-03eb-44a1-99e5-a6fd2a729cec)) + (pad "D4" smd circle (at -2 -2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ed474156-28a1-4500-ba7a-17ddc0e593db)) + (pad "D5" smd circle (at -1.2 -2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 18dea59e-c3bc-4965-ae78-34ba7c58c82c)) + (pad "D6" smd circle (at -0.4 -2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3159b3a3-0e2d-4d12-97b4-6e99c1063c8a)) + (pad "D7" smd circle (at 0.4 -2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7f4f977c-2a46-4ee2-a603-bbc9751ae152)) + (pad "D8" smd circle (at 1.2 -2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 262ccbcb-4ed6-4130-b997-a122d91b600b)) + (pad "D9" smd circle (at 2 -2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 429a1e26-d3e2-4be4-b445-bba9c35e5705)) + (pad "D10" smd circle (at 2.8 -2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e4dbe164-65d9-40a7-bb0a-96ce52455872)) + (pad "D11" smd circle (at 3.6 -2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3df0e927-cebe-4869-8f03-6f43c236af08)) + (pad "D12" smd circle (at 4.4 -2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d2ffa281-11d9-40a5-ab53-af1217c4ac66)) + (pad "E1" smd circle (at -4.4 -1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ac812f99-a1a8-4fe8-a389-2a05d570f730)) + (pad "E2" smd circle (at -3.6 -1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e9b43c70-279c-41e3-9179-495c940a5204)) + (pad "E3" smd circle (at -2.8 -1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 03478254-6b71-48ce-9bab-a53a6b48f78a)) + (pad "E4" smd circle (at -2 -1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f818a32f-9342-4047-882a-d1d6bbf624c0)) + (pad "E5" smd circle (at -1.2 -1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e687a56f-ab8e-4c03-a6e2-a144b46faae9)) + (pad "E6" smd circle (at -0.4 -1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 031e4607-2e3c-4520-834a-4797a6e7aea8)) + (pad "E7" smd circle (at 0.4 -1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4315a5d5-8950-4afc-98e5-a590dcecf449)) + (pad "E8" smd circle (at 1.2 -1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 936e8b3e-83eb-4316-aa84-d412b19dac71)) + (pad "E9" smd circle (at 2 -1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d0bf0eff-5e11-4993-a669-57b8ede7190f)) + (pad "E10" smd circle (at 2.8 -1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e32186bb-2bab-4980-9923-6018056b9fda)) + (pad "E11" smd circle (at 3.6 -1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 29053584-7ffa-44fa-87e8-09fb90d6ecd2)) + (pad "E12" smd circle (at 4.4 -1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d7b1dff7-a137-4993-9aab-4c049a6ffbdd)) + (pad "F1" smd circle (at -4.4 -0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a01da314-1ae8-4650-a17c-4966240335f1)) + (pad "F2" smd circle (at -3.6 -0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 88353842-65e6-48cd-8ef7-920710bab483)) + (pad "F3" smd circle (at -2.8 -0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 73afafe4-6b07-4e1b-9a37-e7a7a9479485)) + (pad "F4" smd circle (at -2 -0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6826e818-3a4d-4fdb-ab69-9a24c7134a7c)) + (pad "F5" smd circle (at -1.2 -0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 57a97525-60d3-44b0-964c-6ce55b62b520)) + (pad "F6" smd circle (at -0.4 -0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ad7a831f-3b84-4c19-9fb6-52eb947d512f)) + (pad "F7" smd circle (at 0.4 -0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 08703b23-d8e7-4ed6-8290-5a0dc31e0be6)) + (pad "F8" smd circle (at 1.2 -0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e287462c-ae6b-4b67-af70-b5a5b23584a5)) + (pad "F9" smd circle (at 2 -0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 74fb0b0c-c666-4d5f-ba39-c8e5ac18358d)) + (pad "F10" smd circle (at 2.8 -0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 36d5c467-ac78-4725-a5ba-591f8cc9bef9)) + (pad "F11" smd circle (at 3.6 -0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 186ef8bd-12fe-43c8-bd17-0c3aae482beb)) + (pad "F12" smd circle (at 4.4 -0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 17abe603-05f6-4ce9-b40f-9fa5f5356676)) + (pad "G1" smd circle (at -4.4 0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bd85bfaa-8ad7-413d-9bfa-dcd5cd7fca06)) + (pad "G2" smd circle (at -3.6 0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 54eb5a68-8c5c-4810-b96e-716c6a470073)) + (pad "G3" smd circle (at -2.8 0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0848b726-bc21-4024-a7b7-e125bfce96b4)) + (pad "G4" smd circle (at -2 0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 44fb7a69-92ac-4885-8de1-c1f0fd3ffc9f)) + (pad "G5" smd circle (at -1.2 0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9b68d5d3-6e73-47e1-adf9-5e2c4b2592e5)) + (pad "G6" smd circle (at -0.4 0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b0ab0b00-aa0d-4384-958b-190955f12763)) + (pad "G7" smd circle (at 0.4 0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7b072622-1b2a-4cb5-90d7-987af528eca8)) + (pad "G8" smd circle (at 1.2 0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f825440b-aff1-4650-95d2-2fe052320ffa)) + (pad "G9" smd circle (at 2 0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp eadb3218-dbe1-4450-964f-cf28c211e59c)) + (pad "G10" smd circle (at 2.8 0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c91c969f-22b0-4ceb-94e7-8b668ca38d32)) + (pad "G11" smd circle (at 3.6 0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2b45a7e2-32e2-4d1b-a3a9-a2aa70c34291)) + (pad "G12" smd circle (at 4.4 0.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 07988323-ab70-4c06-bf78-5344e92e83c9)) + (pad "H1" smd circle (at -4.4 1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a734c4c9-3d24-4f52-936d-ea2aeaee1b57)) + (pad "H2" smd circle (at -3.6 1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a7e4eb38-b94b-428f-ae58-57f0df0e28fc)) + (pad "H3" smd circle (at -2.8 1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bf1bdf64-50e1-4281-b623-a0241df7174b)) + (pad "H4" smd circle (at -2 1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6e4e53fd-ddc1-4d9d-8eac-0b9ddf18de2b)) + (pad "H5" smd circle (at -1.2 1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1ac4a297-d527-4d35-bf9f-ad0777448eac)) + (pad "H6" smd circle (at -0.4 1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 84e0ffdb-ee8b-4f7a-9e00-89b001bf67dc)) + (pad "H7" smd circle (at 0.4 1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c5eeb4aa-b6e7-4c35-b7da-a55d8b31acbf)) + (pad "H8" smd circle (at 1.2 1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a5200f9c-c23f-45d7-ba41-c0fc1f8e1ddb)) + (pad "H9" smd circle (at 2 1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e7701c16-6291-4aeb-ba77-bec45e98fa66)) + (pad "H10" smd circle (at 2.8 1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b1ceca4f-d763-4b6d-9b15-c46c541080e4)) + (pad "H11" smd circle (at 3.6 1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f22ce61d-b7cb-4de2-82a6-ddba64b85024)) + (pad "H12" smd circle (at 4.4 1.2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 97146e45-56d7-4d1e-a04e-0ab86ff1077e)) + (pad "J1" smd circle (at -4.4 2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 24304dd4-5004-4878-aa8d-587a0f66dce7)) + (pad "J2" smd circle (at -3.6 2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 46684569-f275-4de3-b007-f14bb5924998)) + (pad "J3" smd circle (at -2.8 2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 77a72dbd-29cc-4570-bfd4-7841b7f5ac05)) + (pad "J4" smd circle (at -2 2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a2142354-19ee-44db-bc79-03609669cf86)) + (pad "J5" smd circle (at -1.2 2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a241da92-739b-4a33-955c-a843d1904b65)) + (pad "J6" smd circle (at -0.4 2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 84f9ab78-9616-4b66-98cd-f19feca79b0c)) + (pad "J7" smd circle (at 0.4 2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 13a6a214-f45b-4566-8931-1f9642be5621)) + (pad "J8" smd circle (at 1.2 2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 334bd1cb-09a9-4510-9592-0aa2443c01cc)) + (pad "J9" smd circle (at 2 2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8d1e9773-0648-4ded-9ec5-292bf705cd40)) + (pad "J10" smd circle (at 2.8 2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c31f530a-f90f-4382-82a8-588b3d490dd4)) + (pad "J11" smd circle (at 3.6 2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7456e1e2-0b3c-4a30-92e4-1db98c39b1b4)) + (pad "J12" smd circle (at 4.4 2) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0aac27d0-9417-4d02-9ed7-a50d808ec307)) + (pad "K1" smd circle (at -4.4 2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b2dafe55-4daa-4480-b9da-87b20da17a70)) + (pad "K2" smd circle (at -3.6 2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bccc298d-dc76-414e-916c-a8cccc371f48)) + (pad "K3" smd circle (at -2.8 2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 83543c94-07f4-49e8-b029-0769353911cd)) + (pad "K4" smd circle (at -2 2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 459d5232-ebf6-46ad-aee9-76c3ba9a2f57)) + (pad "K5" smd circle (at -1.2 2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6a7910d9-f1ef-4a91-8b9b-d37afc41ad77)) + (pad "K6" smd circle (at -0.4 2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b1bbbd04-5837-430d-ac96-51f3a1445e23)) + (pad "K7" smd circle (at 0.4 2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9ebdcf2e-0059-41d5-9f7c-f0291b914a45)) + (pad "K8" smd circle (at 1.2 2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b59f8c09-fe83-4999-acf2-078735a6879c)) + (pad "K9" smd circle (at 2 2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8008efa6-0c51-47b0-b295-0299118a755c)) + (pad "K10" smd circle (at 2.8 2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 33048982-cdbb-4903-ad2b-ca2720c6ba19)) + (pad "K11" smd circle (at 3.6 2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c200cc93-0313-4583-9e01-d42660b3af2b)) + (pad "K12" smd circle (at 4.4 2.8) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4de5c5bd-4700-485d-bd06-367490ac4691)) + (pad "L1" smd circle (at -4.4 3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ba446aa0-ce4e-47b8-a777-ed30d177d65e)) + (pad "L2" smd circle (at -3.6 3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9a4fc4e1-6624-419f-8ef6-2cbe6e449ca8)) + (pad "L3" smd circle (at -2.8 3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f28e9cd3-557a-4597-99c9-dae3921b03a6)) + (pad "L4" smd circle (at -2 3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 52200ecd-a12d-4149-9ed4-cccf71a21af9)) + (pad "L5" smd circle (at -1.2 3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ddd5547c-7091-4fa5-a7d0-434e787d85f4)) + (pad "L6" smd circle (at -0.4 3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e93d08a3-18f7-4263-be99-b6cde13ca2ee)) + (pad "L7" smd circle (at 0.4 3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d4524ce6-9c6d-474f-b63d-e47524407172)) + (pad "L8" smd circle (at 1.2 3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7da3bdfa-559e-48fc-a548-fbe5854f57fb)) + (pad "L9" smd circle (at 2 3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 01e54d50-7927-4597-9c66-618669f513ee)) + (pad "L10" smd circle (at 2.8 3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 17bc7916-1ae4-4965-9e10-67dbd30f580f)) + (pad "L11" smd circle (at 3.6 3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp dfffeb64-4453-4f57-9572-94239513bb5f)) + (pad "L12" smd circle (at 4.4 3.6) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ee6cb35b-1c9b-4d77-9029-fb470fd1d5c0)) + (pad "M1" smd circle (at -4.4 4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8fa93e6d-ffd3-4ed5-86dc-d0facbf55b19)) + (pad "M2" smd circle (at -3.6 4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 39ad9cd1-5539-4d2d-a30e-a835d0af8e85)) + (pad "M3" smd circle (at -2.8 4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e3bbf8f2-cc76-4268-bd3f-bfef63d6ca68)) + (pad "M4" smd circle (at -2 4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7363ad30-6802-4c74-b88a-e212b728936d)) + (pad "M5" smd circle (at -1.2 4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bea7e6e1-dcf8-4920-87dc-1a1a722d0880)) + (pad "M6" smd circle (at -0.4 4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9edd30dc-294a-42a9-910a-e1ae26ddc012)) + (pad "M7" smd circle (at 0.4 4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 197a049d-feb6-4fcc-902a-25b9b91bb9f5)) + (pad "M8" smd circle (at 1.2 4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d62916a2-33ad-493f-8fdf-558e6d139b10)) + (pad "M9" smd circle (at 2 4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3e4bc6b1-c3c1-49ee-b967-d949e5bf69ba)) + (pad "M10" smd circle (at 2.8 4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 249c4885-85bf-4656-9518-1597f265b6a2)) + (pad "M11" smd circle (at 3.6 4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e7d5c276-2c54-484d-8266-46ddb823562d)) + (pad "M12" smd circle (at 4.4 4.4) (size 0.35 0.35) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2fbd8594-b286-46bf-8b2c-f670f9e3f3c5)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 76da7960-6cec-4fe6-9793-d0f9f0e868d3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508) (island_removal_mode 2) (island_area_min 10)) + (polygon + (pts + (xy 4.953 4.953) + (xy -4.953 4.953) + (xy -4.953 -4.953) + (xy 4.953 -4.953) + ) + ) + ) +) diff --git a/ceres.pretty/BGA80P1900X1900X160-484.kicad_mod b/ceres.pretty/BGA80P1900X1900X160-484.kicad_mod new file mode 100644 index 0000000..ab3e65a --- /dev/null +++ b/ceres.pretty/BGA80P1900X1900X160-484.kicad_mod @@ -0,0 +1,512 @@ +(footprint "BGA80P1900X1900X160-484" (version 20220914) (generator pcbnew) + (layer "F.Cu") + (attr smd) + (fp_text reference "REF**" (at 0 -10.541) (layer "F.SilkS") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp ac9bb770-4e12-4d80-9bc9-825a74043dd8) + ) + (fp_text value "BGA80P1900X1900X160-484" (at 0 -0.762) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp 226ed14f-7b72-440a-8359-f113f73c4c21) + ) + (fp_text user "${REFERENCE}" (at 0 0.889) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp a8118b3d-dde1-4a25-ac03-e85a13c08aec) + ) + (fp_line (start -9.906 9.906) (end -9.906 -9.017) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 8a9a5db1-59de-4afb-9ee0-7f8716e9b378)) + (fp_line (start -9.017 -9.906) (end 9.906 -9.906) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp fa6edc82-a333-46ac-9e1d-bbc8d78a30f2)) + (fp_line (start 9.906 -9.906) (end 9.906 9.906) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 177ee4ee-0889-46d8-9098-c0cd555c8644)) + (fp_line (start 9.906 9.906) (end -9.906 9.906) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 1340698a-da23-4c10-9119-a99f4e9fd020)) + (fp_arc (start -9.906 -9.017) (mid -9.645618 -9.645618) (end -9.017 -9.906) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 59f7faca-3644-463a-8375-550772b65cad)) + (fp_rect (start -9.5 -9.5) (end 9.5 9.5) + (stroke (width 0.127) (type default)) (fill none) (layer "F.Fab") (tstamp 7e7a9912-6de5-4c5a-a40d-89ebc1acfbde)) + (pad "A1" smd circle (at -8.4 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 94a95cf9-a0e6-4330-bec5-d3ecc2e9ec25)) + (pad "A2" smd circle (at -7.6 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2e29ad5d-c96f-4b82-a7db-308ecdf19d59)) + (pad "A3" smd circle (at -6.8 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp aefff918-4322-4775-933f-f99751bf4ced)) + (pad "A4" smd circle (at -6 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c7944fc1-cb0a-4bab-80df-b279771d427d)) + (pad "A5" smd circle (at -5.2 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c0d9041d-cf2d-4aa7-98ac-427d357a198b)) + (pad "A6" smd circle (at -4.4 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ca54c966-7e9b-4aa2-83fb-09cdeaf6d13e)) + (pad "A7" smd circle (at -3.6 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fe5463e1-3aeb-4381-86ea-365b3e4e1060)) + (pad "A8" smd circle (at -2.8 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7c824195-886d-4f23-a702-3f275270ecf1)) + (pad "A9" smd circle (at -2 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4476a4ac-a0d1-4e29-8352-9584e1dbac2d)) + (pad "A10" smd circle (at -1.2 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 436b825f-06cf-4305-b865-94a8fba61639)) + (pad "A11" smd circle (at -0.4 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3872ee65-0e0f-431d-92db-f58220bfc5d2)) + (pad "A12" smd circle (at 0.4 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 94ca6510-8ec8-485d-830f-dce0736c9495)) + (pad "A13" smd circle (at 1.2 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 13c1e955-7be0-4c97-8235-36577b440ff0)) + (pad "A14" smd circle (at 2 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9afec638-e0a3-4e11-b37e-0d2651fd7765)) + (pad "A15" smd circle (at 2.8 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 65cc49e6-98ae-400d-b081-d8e5acc2bbfb)) + (pad "A16" smd circle (at 3.6 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e59a6f81-f7cb-4065-8e17-cb9333c392ff)) + (pad "A17" smd circle (at 4.4 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1c36ca86-d8e3-4704-be6c-7eebcb9157de)) + (pad "A18" smd circle (at 5.2 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp df9acc65-ece2-42cb-b52f-bf688b5d25ce)) + (pad "A19" smd circle (at 6 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 87d904d7-a040-4c97-843e-e99d1e64280b)) + (pad "A20" smd circle (at 6.8 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fae6e0c4-9fc0-484b-8762-4bc592574c67)) + (pad "A21" smd circle (at 7.6 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0c1ce8da-2cfe-407e-b986-dde250bc0d35)) + (pad "A22" smd circle (at 8.4 -8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b3e2d581-1f0a-4f4c-af71-e129ded74cf7)) + (pad "AA1" smd circle (at -8.4 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9f50b394-5b6b-47ed-92e7-dea81dfe851f)) + (pad "AA2" smd circle (at -7.6 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e333d30b-28db-4d7f-9dae-cdeeea8b92a0)) + (pad "AA3" smd circle (at -6.8 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9c8eada5-97e2-4aa6-8d8e-aff1046aa25d)) + (pad "AA4" smd circle (at -6 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c84164d4-0669-4152-8ead-037e62c8b684)) + (pad "AA5" smd circle (at -5.2 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d9f7fb8b-7e66-4c44-b134-41f753edcb34)) + (pad "AA6" smd circle (at -4.4 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 96b045f6-cab7-47ee-a2df-c7055d25157e)) + (pad "AA7" smd circle (at -3.6 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp de6dbc91-2102-48c0-b8cb-d9cbd0e65f09)) + (pad "AA8" smd circle (at -2.8 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 53597a9b-0817-487d-ba43-f110dcd2ee49)) + (pad "AA9" smd circle (at -2 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7eb8667d-e8e8-4942-9449-f1b9a99405fa)) + (pad "AA10" smd circle (at -1.2 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4ebc5eb2-18bf-48c2-ad28-1b546afaf5cb)) + (pad "AA11" smd circle (at -0.4 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fb4c78bd-aa85-43d9-bb39-ff26174193f6)) + (pad "AA12" smd circle (at 0.4 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ebb4b0c6-3216-4571-9b05-a5570c8e070a)) + (pad "AA13" smd circle (at 1.2 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f2ede6ce-998c-4e77-bc88-261303078da8)) + (pad "AA14" smd circle (at 2 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e5986898-38fb-4e40-a5fa-c674c0033bd6)) + (pad "AA15" smd circle (at 2.8 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 51b6e98f-601c-4a1f-9003-bdb6606de00b)) + (pad "AA16" smd circle (at 3.6 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b542b482-fb86-473b-ab61-17b088be58cd)) + (pad "AA17" smd circle (at 4.4 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 160f67ed-15bc-43dd-bc57-b3f5e6669f2c)) + (pad "AA18" smd circle (at 5.2 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1f3a463f-cde0-482a-8946-fd7755eaff56)) + (pad "AA19" smd circle (at 6 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 133d915b-d36f-4182-951b-9ccf4a9b0fef)) + (pad "AA20" smd circle (at 6.8 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a006434f-c493-4152-9579-fa2059548d41)) + (pad "AA21" smd circle (at 7.6 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1a4e9a48-026c-4e6a-939d-006166ff159a)) + (pad "AA22" smd circle (at 8.4 7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8dffa2a1-dff1-4f39-ac2b-8b377e8fd0ae)) + (pad "AB1" smd circle (at -8.4 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8540bebb-afc3-4ff9-93a8-24498697e84f)) + (pad "AB2" smd circle (at -7.6 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fab695e4-91a4-4983-ab90-5067b3e8de0a)) + (pad "AB3" smd circle (at -6.8 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b12bc5d7-69a8-42c6-b8f9-dece1d76525e)) + (pad "AB4" smd circle (at -6 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3053867d-5a18-4d4f-9c90-c46675881e1c)) + (pad "AB5" smd circle (at -5.2 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 613027cc-f607-477e-8721-1336a110f8e9)) + (pad "AB6" smd circle (at -4.4 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 48209224-ca63-4cf7-951c-0eb12681b372)) + (pad "AB7" smd circle (at -3.6 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8098b689-8c4e-437f-b415-89dc557ef8cd)) + (pad "AB8" smd circle (at -2.8 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6c369669-5235-49d7-864a-ee7a8145f15c)) + (pad "AB9" smd circle (at -2 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 559c6a46-62c0-4f11-8891-011758d82c59)) + (pad "AB10" smd circle (at -1.2 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7e8391c4-45ad-48fa-ae9b-4b3d89ed2db4)) + (pad "AB11" smd circle (at -0.4 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp dd83cbb7-24f8-485f-8d3a-742d650aba09)) + (pad "AB12" smd circle (at 0.4 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 41845dfa-d022-4045-a768-683a531ee5ba)) + (pad "AB13" smd circle (at 1.2 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp df5d0266-979a-4365-a49c-024b1dd38ee7)) + (pad "AB14" smd circle (at 2 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c2b062a7-9fb5-4c1a-b47a-f431a7d1d2db)) + (pad "AB15" smd circle (at 2.8 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4ee1b9e8-16f3-4262-acb7-776e9db29bc8)) + (pad "AB16" smd circle (at 3.6 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 026345ba-508a-43eb-b2e3-bdb45283826a)) + (pad "AB17" smd circle (at 4.4 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0a9bf9d9-ff0d-4d80-8a77-9e4708a803be)) + (pad "AB18" smd circle (at 5.2 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 003a2337-694b-4333-bc35-abd727d10266)) + (pad "AB19" smd circle (at 6 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e128f57f-7ca6-4c85-a6e9-f66cd30f464c)) + (pad "AB20" smd circle (at 6.8 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c21c206c-da36-44e7-bf52-c040a967ca35)) + (pad "AB21" smd circle (at 7.6 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 53804f41-07f2-49d9-bf1e-3f00b4fd6708)) + (pad "AB22" smd circle (at 8.4 8.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d3004d03-4475-4acd-9c17-34fb48965a4b)) + (pad "B1" smd circle (at -8.4 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 68fdf0ab-2c59-4d28-b030-fe0c3ca6d921)) + (pad "B2" smd circle (at -7.6 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8af5deeb-1c91-4419-ab69-cad6f76c374a)) + (pad "B3" smd circle (at -6.8 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 61def6c4-c401-472e-ae1b-5d3e1213d1a2)) + (pad "B4" smd circle (at -6 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 48a574ff-9d89-4d6b-9df9-73538c471061)) + (pad "B5" smd circle (at -5.2 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 15fec49e-0d63-432b-b0f1-f78ee51b6ec1)) + (pad "B6" smd circle (at -4.4 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4a63c33d-c8c9-4017-8e66-d711772e808e)) + (pad "B7" smd circle (at -3.6 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 10e2aaf1-965a-45a8-bc98-a35c08465dad)) + (pad "B8" smd circle (at -2.8 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2902de4b-007b-44e0-b8eb-a199c95bed77)) + (pad "B9" smd circle (at -2 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 53782cd8-bdbe-44ee-b485-631e61cbe9b7)) + (pad "B10" smd circle (at -1.2 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 997c344e-9cde-438b-9312-dcef796e2616)) + (pad "B11" smd circle (at -0.4 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b53f1aef-a42a-450f-b22c-6d549317d992)) + (pad "B12" smd circle (at 0.4 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a5057dde-09e6-4f31-afdd-65aac328f212)) + (pad "B13" smd circle (at 1.2 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp da07d89b-26ce-4286-8c07-f771220cc4d0)) + (pad "B14" smd circle (at 2 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9c90bb86-d9d6-444d-982f-6a9e8a774a44)) + (pad "B15" smd circle (at 2.8 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1e86a462-eb95-4d5d-8e10-39ba98fc5965)) + (pad "B16" smd circle (at 3.6 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a819bc78-44a1-4202-adc1-45e38d2acc5e)) + (pad "B17" smd circle (at 4.4 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6217abef-883e-4e85-9a9e-77e6dc0e0652)) + (pad "B18" smd circle (at 5.2 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fc16ff8e-e04d-4a21-a2e1-3c5e727d69d7)) + (pad "B19" smd circle (at 6 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 881ce9b7-2f0f-49c9-81be-96abb210ecf8)) + (pad "B20" smd circle (at 6.8 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0d731622-e5a1-44dd-84a4-0d16e810dfa1)) + (pad "B21" smd circle (at 7.6 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0a94a5cd-68c2-4877-84b5-b734826ea73b)) + (pad "B22" smd circle (at 8.4 -7.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b53ca3d7-e165-49e4-a411-05b74ff644ea)) + (pad "C1" smd circle (at -8.4 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f6c7c839-4985-4bf0-a717-4cdd84cce3d6)) + (pad "C2" smd circle (at -7.6 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b7ee9157-4e7c-4114-b800-c003df37cb17)) + (pad "C3" smd circle (at -6.8 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 35dbf717-996b-462c-9a31-a4477490b08b)) + (pad "C4" smd circle (at -6 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ba7226ec-aa0b-40d3-aadf-e3391a60ffd0)) + (pad "C5" smd circle (at -5.2 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6df5fffc-f939-458c-a8c3-bf41934a332a)) + (pad "C6" smd circle (at -4.4 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4d0eabf9-4179-41a7-b973-be65dcf85867)) + (pad "C7" smd circle (at -3.6 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2e4e8ec9-1e9d-4d36-bf3f-e7e2c11bf2af)) + (pad "C8" smd circle (at -2.8 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 08a47ba3-7a8f-4302-9a51-6ea4f62caac8)) + (pad "C9" smd circle (at -2 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5fd732d8-8155-4607-9784-5f7d4cb6ccb4)) + (pad "C10" smd circle (at -1.2 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b77c866c-b8a6-46f9-b2a3-456792914b68)) + (pad "C11" smd circle (at -0.4 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0667e872-0779-4fcb-a15c-12190426fa0c)) + (pad "C12" smd circle (at 0.4 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp db419f2f-38c6-4a2e-9253-09caf5384bf0)) + (pad "C13" smd circle (at 1.2 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b1479a7c-84d4-4c99-8b2c-b6bd3afd8db4)) + (pad "C14" smd circle (at 2 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0cfcaab6-467f-4aa4-90cb-16b6d6365776)) + (pad "C15" smd circle (at 2.8 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ee71c0ce-01de-4187-b61a-1401c83c518d)) + (pad "C16" smd circle (at 3.6 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b27021f5-5e2e-4a91-a518-9bfa23ad2df7)) + (pad "C17" smd circle (at 4.4 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4e4f1405-fe21-4964-a3c9-f282f3274578)) + (pad "C18" smd circle (at 5.2 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4d6627f4-6b17-4ecb-a359-4e5d8a310543)) + (pad "C19" smd circle (at 6 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3bd3d19b-e246-48e2-b47b-9df8a21ed117)) + (pad "C20" smd circle (at 6.8 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f2cd686b-5ab4-4301-83f6-5d843f828f43)) + (pad "C21" smd circle (at 7.6 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d3e1c3a4-3671-43a8-9ccf-2f1066eece5d)) + (pad "C22" smd circle (at 8.4 -6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e69bb986-288d-4077-b13b-c8328f2212a8)) + (pad "D1" smd circle (at -8.4 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 35fe9932-0ed1-46a3-abaa-59d63969ea12)) + (pad "D2" smd circle (at -7.6 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 03f0f109-142a-4dea-a122-fdb116f40cc7)) + (pad "D3" smd circle (at -6.8 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8e5d3600-a0d0-42e9-a5b4-2b4a77494e45)) + (pad "D4" smd circle (at -6 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 28aaa93f-f87f-48b8-8915-f39a7f56bb46)) + (pad "D5" smd circle (at -5.2 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp efd2ba54-d655-4b43-b779-e2aacbb52dd8)) + (pad "D6" smd circle (at -4.4 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp daba2524-cc1c-45a2-8c9a-0f8ae2ef17f0)) + (pad "D7" smd circle (at -3.6 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp dc8106d8-0591-4496-ba7a-8e8547509c5c)) + (pad "D8" smd circle (at -2.8 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b74c4e06-c23d-491c-b727-24c2369e0549)) + (pad "D9" smd circle (at -2 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ef45a2c2-d0ee-47e6-b6ad-4e8f2583c59f)) + (pad "D10" smd circle (at -1.2 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a2683ef7-9f7e-46f6-a48f-346ac2888771)) + (pad "D11" smd circle (at -0.4 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0d5e6d49-99d0-4bca-8184-bff822df4c1d)) + (pad "D12" smd circle (at 0.4 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6c0e4035-2f57-4dc3-87b2-58ec8f2f5384)) + (pad "D13" smd circle (at 1.2 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4b5204e7-2a4f-4f4d-9fae-9e401bab6dc2)) + (pad "D14" smd circle (at 2 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 44a27e91-0bb3-4b53-86cc-8996c4271702)) + (pad "D15" smd circle (at 2.8 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4f75e7b4-634e-4707-8f75-73bbe45109d9)) + (pad "D16" smd circle (at 3.6 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a0ed4c3b-b3db-4434-a386-1fb8ef6735ad)) + (pad "D17" smd circle (at 4.4 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c3a2c6f7-2d25-40a4-af7d-82bbf07db55e)) + (pad "D18" smd circle (at 5.2 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 13e1a0e7-c38c-4b36-a599-54ae033444d1)) + (pad "D19" smd circle (at 6 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5eac3dc9-83d5-4251-b82c-bbb1e0d9703f)) + (pad "D20" smd circle (at 6.8 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a4372f6f-2d4b-4a02-89ae-9112d888cf93)) + (pad "D21" smd circle (at 7.6 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0e84ff91-1d12-44bf-b724-f3ab1bd86997)) + (pad "D22" smd circle (at 8.4 -6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a783d52f-296f-4d48-a09f-6f18c9116138)) + (pad "E1" smd circle (at -8.4 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 62c70941-4d26-4834-9a91-8ae39398a505)) + (pad "E2" smd circle (at -7.6 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b0ce2c34-8d3e-463f-9da4-77d5c4a3fedd)) + (pad "E3" smd circle (at -6.8 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp aa3a1479-14e1-460d-8785-6b6d4cdc4a8c)) + (pad "E4" smd circle (at -6 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6dcb9f35-d930-4156-9e9a-cf989632a352)) + (pad "E5" smd circle (at -5.2 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 41bfa366-ce38-497a-bfef-41f2eff0824c)) + (pad "E6" smd circle (at -4.4 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c1f0c574-aead-487c-b9c3-09398b35471c)) + (pad "E7" smd circle (at -3.6 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 69c6dd20-9098-4364-8ea5-f3390897753e)) + (pad "E8" smd circle (at -2.8 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 91af630b-e2ba-4998-a58f-0ae178bc7fe0)) + (pad "E9" smd circle (at -2 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e1adf9b7-169e-4950-ab45-f202befa9ae7)) + (pad "E10" smd circle (at -1.2 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0c81710f-13ee-4101-9f8a-d0a5ebc12a99)) + (pad "E11" smd circle (at -0.4 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f20630b4-93da-4b55-ad90-13ae1fc3caf9)) + (pad "E12" smd circle (at 0.4 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1d7d7098-a1ca-47c6-8f3b-c901d48f4312)) + (pad "E13" smd circle (at 1.2 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d178ff49-f116-4741-a02b-c88e6968ed00)) + (pad "E14" smd circle (at 2 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6b440499-0955-460d-a886-3231764b13e1)) + (pad "E15" smd circle (at 2.8 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f1ad4848-3e3f-41e3-9b29-784b7bd37a17)) + (pad "E16" smd circle (at 3.6 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a1b67852-3206-4d26-8245-4453db24c470)) + (pad "E17" smd circle (at 4.4 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp cd927cd5-59d8-41aa-ade1-f8c336128ebe)) + (pad "E18" smd circle (at 5.2 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d4a37af9-5955-4ca4-be04-e96827ac259d)) + (pad "E19" smd circle (at 6 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9fd48eed-63a3-4237-acc1-aee004f771ad)) + (pad "E20" smd circle (at 6.8 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ea1ca044-ddb1-4771-8d3e-0ae86a12c911)) + (pad "E21" smd circle (at 7.6 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3d3c6cf3-ad6b-4043-8bc3-2fb02332c74a)) + (pad "E22" smd circle (at 8.4 -5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bfa1081b-13d8-41e2-ae8b-66b68685bf61)) + (pad "F1" smd circle (at -8.4 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 78318937-189f-4f28-9e57-8d9ceeaa1c48)) + (pad "F2" smd circle (at -7.6 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bbce5e76-c997-4ba7-a596-f82492f3559a)) + (pad "F3" smd circle (at -6.8 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6f6882cc-32f9-430c-80af-2bddc05ee53d)) + (pad "F4" smd circle (at -6 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 78b64af1-3be2-4607-9861-e31f6639d095)) + (pad "F5" smd circle (at -5.2 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9b3133fd-e93f-4820-99ea-6d6911e319af)) + (pad "F6" smd circle (at -4.4 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 08eb7cd1-d39a-44e8-9acd-6ad7790f95ff)) + (pad "F7" smd circle (at -3.6 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b8457b46-53b6-4b98-8a7b-8db8c57f4e8e)) + (pad "F8" smd circle (at -2.8 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4429b891-05db-46a4-b0bb-b5ff3ebdc193)) + (pad "F9" smd circle (at -2 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 36b58ffb-5e59-44ac-9810-19abbb82e8b4)) + (pad "F10" smd circle (at -1.2 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 86461883-b079-4998-a432-1941d9d473da)) + (pad "F11" smd circle (at -0.4 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d4e6fd8b-c216-46b9-82f1-41621684d268)) + (pad "F12" smd circle (at 0.4 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 35766988-7420-4a34-8d30-a3b0c3fe5db3)) + (pad "F13" smd circle (at 1.2 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5e61a46e-0bfb-4b03-9096-27171d8fb1b0)) + (pad "F14" smd circle (at 2 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 03667f1d-6bdc-44fe-bdee-5bbf2c5513b0)) + (pad "F15" smd circle (at 2.8 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp efb75857-0d28-4137-a8f4-3a2131b539e1)) + (pad "F16" smd circle (at 3.6 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5665cb36-2204-4559-a48a-f5e3ae27e37b)) + (pad "F17" smd circle (at 4.4 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8a9cdef6-95e8-4557-beae-5b09d54c18cb)) + (pad "F18" smd circle (at 5.2 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d4f1ce36-0017-4ea0-961c-594103e50dfb)) + (pad "F19" smd circle (at 6 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 61f88ebf-1474-4239-b2e2-7c88727cdc42)) + (pad "F20" smd circle (at 6.8 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 93fb2389-f8fc-48f7-a2bf-aef976b7a199)) + (pad "F21" smd circle (at 7.6 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 999348a9-ef71-4c6a-bf72-7e170af304ac)) + (pad "F22" smd circle (at 8.4 -4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7237415f-329a-4c86-868e-94dabd85a14d)) + (pad "G1" smd circle (at -8.4 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 277189b8-0310-496d-ab44-65a45ea6298c)) + (pad "G2" smd circle (at -7.6 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3203d709-764c-4e11-933c-650fa9638e54)) + (pad "G3" smd circle (at -6.8 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 573bc11f-e70e-4669-92fb-5f790b969c58)) + (pad "G4" smd circle (at -6 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a26f8535-8599-49f7-be67-98c3b5917f54)) + (pad "G5" smd circle (at -5.2 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f3f7e9de-1226-4e3e-8fb8-cb43aa51b03f)) + (pad "G6" smd circle (at -4.4 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7b420997-ee1e-4413-9a82-0c95b19f65e4)) + (pad "G7" smd circle (at -3.6 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0e685418-a539-4296-8151-a6d4ab4264af)) + (pad "G8" smd circle (at -2.8 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0be4ed9d-dd86-4bbe-9651-35ce69aac101)) + (pad "G9" smd circle (at -2 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e2e2212b-bf23-4e57-8b23-038bc40c5099)) + (pad "G10" smd circle (at -1.2 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f1b2ba49-879e-46c9-afa7-95ec8b98bc6c)) + (pad "G11" smd circle (at -0.4 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9df7af54-c6f5-460e-9918-3ee94cb13a3b)) + (pad "G12" smd circle (at 0.4 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fd4df0b6-f4d6-48eb-b95c-49b7f261f8e1)) + (pad "G13" smd circle (at 1.2 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp aa3bda94-ac8f-4017-82ca-8242f56af430)) + (pad "G14" smd circle (at 2 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0f9fdcc7-1ca0-44ac-998b-d51c86b418d8)) + (pad "G15" smd circle (at 2.8 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a21617cc-a58c-48de-a24c-aa6109c924f8)) + (pad "G16" smd circle (at 3.6 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bca92f19-3141-4572-b5a5-b034bc0ca5ab)) + (pad "G17" smd circle (at 4.4 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 48e2ee2e-a69f-4fde-a6ad-9abfa1a5f36c)) + (pad "G18" smd circle (at 5.2 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 822d6842-4cee-4b2a-92d8-e39b6724b8d3)) + (pad "G19" smd circle (at 6 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2e49c59c-4163-4746-b7e3-e8c033a7e939)) + (pad "G20" smd circle (at 6.8 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 51914f6b-64ca-4cb2-ad16-683645b654df)) + (pad "G21" smd circle (at 7.6 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6af2408b-3bf9-464c-9221-9c6662909f5b)) + (pad "G22" smd circle (at 8.4 -3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 76b0dbf9-0d48-4e74-b0aa-371488dcb917)) + (pad "H1" smd circle (at -8.4 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 99a41d71-b039-4a0c-b340-bb6798ada54c)) + (pad "H2" smd circle (at -7.6 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 21f69280-917b-40cd-8ff4-317d5c25f18f)) + (pad "H3" smd circle (at -6.8 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 909533f2-e86d-4fd0-8af9-63d357d29df7)) + (pad "H4" smd circle (at -6 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8d373d4f-bb49-43a7-a2d8-1d3cd1974621)) + (pad "H5" smd circle (at -5.2 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8dcd4529-034d-4f52-bfbf-759f548bae0a)) + (pad "H6" smd circle (at -4.4 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0b3967e3-69f2-4414-8687-af478f5f0819)) + (pad "H7" smd circle (at -3.6 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5d874cf8-8b0b-4c20-81fd-2217a09b6f6f)) + (pad "H8" smd circle (at -2.8 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 00428b55-5405-4aef-ac43-350624618e01)) + (pad "H9" smd circle (at -2 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 71d08461-5876-45a9-815f-42e89e1db263)) + (pad "H10" smd circle (at -1.2 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c9401bd6-5953-498c-97cd-3e9b364ec6f9)) + (pad "H11" smd circle (at -0.4 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2795e0f6-d558-403c-89e9-bb03de883367)) + (pad "H12" smd circle (at 0.4 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a0be23d7-b371-4a41-860c-5c18f18ca2fa)) + (pad "H13" smd circle (at 1.2 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1d8edef8-0643-4280-abd1-50b52e9be07e)) + (pad "H14" smd circle (at 2 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b70540c8-6d09-4be3-8790-6ef3fe44d502)) + (pad "H15" smd circle (at 2.8 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 757ca176-7cc4-4864-87df-0c71a6a8cabf)) + (pad "H16" smd circle (at 3.6 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ef2eb3b8-176e-4cb4-9a12-215f78bf2fa8)) + (pad "H17" smd circle (at 4.4 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f217075a-9b6f-47d2-8d04-25dc8a82020f)) + (pad "H18" smd circle (at 5.2 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f186bd95-faea-486b-a68a-93971f24fe43)) + (pad "H19" smd circle (at 6 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b8dab2cd-2d8a-443e-8ddb-bc496c999bb7)) + (pad "H20" smd circle (at 6.8 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 482285c6-4a91-491e-8e44-065c33443a7a)) + (pad "H21" smd circle (at 7.6 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 12159a8b-d674-47c9-a95b-9422f0ea2c4c)) + (pad "H22" smd circle (at 8.4 -2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d611e4ae-bec8-446b-a759-8ac955a7c59a)) + (pad "J1" smd circle (at -8.4 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5ddf3418-64cd-49fa-b851-9213e0912aed)) + (pad "J2" smd circle (at -7.6 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 82d4d968-1962-4a0d-8458-67ae9fd83106)) + (pad "J3" smd circle (at -6.8 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d8b2cff0-3cbe-44e7-bc7d-2d6c4c48a0d9)) + (pad "J4" smd circle (at -6 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e9e9ee64-ff3a-48a2-89b0-d9b359f201e6)) + (pad "J5" smd circle (at -5.2 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b2811225-49f4-4b20-ab4c-97f6a9fde1a0)) + (pad "J6" smd circle (at -4.4 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 140d56c5-7b91-4ee0-8837-b72cb076cf54)) + (pad "J7" smd circle (at -3.6 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp cf048446-18b8-45b5-b31c-b3219bb6f2e0)) + (pad "J8" smd circle (at -2.8 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 58a476f1-de10-4c0f-a85e-fd0c1443d9a9)) + (pad "J9" smd circle (at -2 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bbc300a0-619e-40d1-8040-60d6068473ed)) + (pad "J10" smd circle (at -1.2 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2890527c-6d77-4853-a27d-99e32f4c74f4)) + (pad "J11" smd circle (at -0.4 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 63d02a0e-26f0-46d6-b14e-da9dd8ced83c)) + (pad "J12" smd circle (at 0.4 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f3c8361d-d71b-4d53-b511-eb730e907323)) + (pad "J13" smd circle (at 1.2 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fc9b3528-4db9-4a49-a8a6-3f00424ce602)) + (pad "J14" smd circle (at 2 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0fd2a700-d12c-4540-9650-a167871aa686)) + (pad "J15" smd circle (at 2.8 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a75dcbf9-900a-4a1b-9983-ad514be18882)) + (pad "J16" smd circle (at 3.6 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f5707820-e263-4026-a65b-c2c530d02122)) + (pad "J17" smd circle (at 4.4 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e4cb910a-3cf2-43f2-8a4f-fe0b40f7a938)) + (pad "J18" smd circle (at 5.2 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e38a38a1-46df-456d-976d-885613653116)) + (pad "J19" smd circle (at 6 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1986d927-5c51-4c71-b073-24fba717dc9a)) + (pad "J20" smd circle (at 6.8 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fef0e543-3e1c-431a-b3b6-4b44f8510adc)) + (pad "J21" smd circle (at 7.6 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1fa22971-9bb8-437a-9535-4df7516d7d6b)) + (pad "J22" smd circle (at 8.4 -2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d3812358-cf3c-4f00-a021-9eebfba3063f)) + (pad "K1" smd circle (at -8.4 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 867e53cc-80f1-4458-a448-1a9e2c68daf5)) + (pad "K2" smd circle (at -7.6 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1fc81831-655e-412d-94a2-ae7c968846d1)) + (pad "K3" smd circle (at -6.8 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e1e5259e-ac25-4e7f-8257-5d38bca147d4)) + (pad "K4" smd circle (at -6 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9d12603e-d589-445a-ba68-6b1a4540e48b)) + (pad "K5" smd circle (at -5.2 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d6ba0075-79af-44bd-abfb-278b38420766)) + (pad "K6" smd circle (at -4.4 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a1a2a10e-a18c-43ff-a334-5953ba9767a6)) + (pad "K7" smd circle (at -3.6 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 96f6d7d0-39ef-4ae2-bd93-d8fe71e76498)) + (pad "K8" smd circle (at -2.8 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c6b0882b-7305-496d-a944-ba4d3bb8812a)) + (pad "K9" smd circle (at -2 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ad4ac8ff-d54c-469e-ade6-c68bd0a336da)) + (pad "K10" smd circle (at -1.2 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4b969e18-8d5c-4303-91ea-cd785954c3c7)) + (pad "K11" smd circle (at -0.4 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6b574a1c-f61d-46a9-83f8-7cca86466727)) + (pad "K12" smd circle (at 0.4 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f887a150-e7d2-448d-8225-bb72ace31af4)) + (pad "K13" smd circle (at 1.2 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2f9114f4-16b1-49f5-88a7-b4c194fdd9aa)) + (pad "K14" smd circle (at 2 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 44c0aa01-60b9-40db-93c0-6648cff1b39e)) + (pad "K15" smd circle (at 2.8 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5b4fd965-4a50-4b79-a94b-831e77c23d5a)) + (pad "K16" smd circle (at 3.6 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4738dbe4-8978-4edf-9224-655ea722edfa)) + (pad "K17" smd circle (at 4.4 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 948d064a-8287-40e4-ac0c-1c394f47c198)) + (pad "K18" smd circle (at 5.2 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 64367779-067d-4927-8530-662ccb3ecd9b)) + (pad "K19" smd circle (at 6 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0d9dd9ed-408b-45ce-8f7e-0d87399534f1)) + (pad "K20" smd circle (at 6.8 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 995e4d95-ed89-4be8-9b42-c5193d41d59e)) + (pad "K21" smd circle (at 7.6 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5ad39568-33e5-46e0-9a7f-ee26692ce432)) + (pad "K22" smd circle (at 8.4 -1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9a51cd05-8268-4c14-b3d3-e68d6a3d8b32)) + (pad "L1" smd circle (at -8.4 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3344d594-741c-402e-a17a-b419a120cb41)) + (pad "L2" smd circle (at -7.6 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5a99ffbd-2d7d-4476-8ef9-7866d4622498)) + (pad "L3" smd circle (at -6.8 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a00b0de2-cc84-4803-9aa9-292771f590ba)) + (pad "L4" smd circle (at -6 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d4edc364-ec92-4b50-98e4-4910e7d323b9)) + (pad "L5" smd circle (at -5.2 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c80eb14d-925c-4219-96a9-1e4697fddaca)) + (pad "L6" smd circle (at -4.4 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7115b2a8-b18e-4000-91f1-095ecdd9eb51)) + (pad "L7" smd circle (at -3.6 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8e2cf4d4-5325-4c70-90dd-a53ab4a1ec7c)) + (pad "L8" smd circle (at -2.8 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 17a5e956-63e7-4814-b398-41d5a1d11d55)) + (pad "L9" smd circle (at -2 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 05151cd8-bd12-4ce6-a5a8-166243a635a7)) + (pad "L10" smd circle (at -1.2 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f12f9d0a-d0ed-4574-b0be-949bef674db1)) + (pad "L11" smd circle (at -0.4 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1bf028b5-5bd1-42aa-b3d5-6836143131c8)) + (pad "L12" smd circle (at 0.4 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 581ede86-2c3d-4c00-b6ef-5c4dd360ed20)) + (pad "L13" smd circle (at 1.2 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 38dd4a74-7030-4662-96d5-50c0b6016932)) + (pad "L14" smd circle (at 2 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e36fa7d1-3da5-47bd-b155-5250bc399cb6)) + (pad "L15" smd circle (at 2.8 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5d7175fc-0b89-47c9-b4b6-2ea23f41b79f)) + (pad "L16" smd circle (at 3.6 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e1dc6d41-2e86-4f4c-9799-77cdbe7ea0e5)) + (pad "L17" smd circle (at 4.4 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2a399499-0ba3-4445-a335-dac4b85301ae)) + (pad "L18" smd circle (at 5.2 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2fd09574-ad5d-48d1-8302-db2d082d27e9)) + (pad "L19" smd circle (at 6 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 359aa57b-9aa0-4a2f-b7e1-44051d3b56d1)) + (pad "L20" smd circle (at 6.8 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c47dfba4-f026-447c-9d42-db63605442b4)) + (pad "L21" smd circle (at 7.6 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e843f24a-334c-4e5c-bcd2-f67f592fa887)) + (pad "L22" smd circle (at 8.4 -0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 00354c60-b882-441e-9bce-c13634d033b8)) + (pad "M1" smd circle (at -8.4 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 18ec4861-1edc-421d-8e57-7b2aff4a1f4f)) + (pad "M2" smd circle (at -7.6 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e79cc8b0-8f2c-423b-b069-c27554aee2a5)) + (pad "M3" smd circle (at -6.8 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ef95bc5a-6fb9-4c6b-8428-14771c114ded)) + (pad "M4" smd circle (at -6 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 835af91f-dc43-48d6-b218-e1f822104dde)) + (pad "M5" smd circle (at -5.2 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 63d0f23e-ac3f-414a-ad49-dc4be712fe28)) + (pad "M6" smd circle (at -4.4 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1cdecd18-9f31-4e39-98a3-55609c8cb13a)) + (pad "M7" smd circle (at -3.6 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b9fe470c-0d1c-4e7d-a336-4943e4a020c2)) + (pad "M8" smd circle (at -2.8 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b6c305b8-2c3c-43b4-8c73-0ff1bf6ffdc9)) + (pad "M9" smd circle (at -2 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a64f7b54-bcd0-4a56-8104-e1cf15f1e9a3)) + (pad "M10" smd circle (at -1.2 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 50eda3d3-fc20-4167-a34c-1b864c9cd9be)) + (pad "M11" smd circle (at -0.4 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 770b73e9-f434-4fac-ada1-9f187133cf71)) + (pad "M12" smd circle (at 0.4 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bfffe2af-c8a4-479e-a2fb-d55f708d6f54)) + (pad "M13" smd circle (at 1.2 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 23f3eb3b-a8ef-4cdd-aeaf-59acf0b03506)) + (pad "M14" smd circle (at 2 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 93b05bc3-c21a-4f76-a158-c211a79f3891)) + (pad "M15" smd circle (at 2.8 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 53b75bfc-34ed-4381-861f-927ac880b61b)) + (pad "M16" smd circle (at 3.6 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4a9f1e68-03d4-48cb-bbcc-6ec445f9eb90)) + (pad "M17" smd circle (at 4.4 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fe516098-46b7-4c09-a147-e95a1f2cfceb)) + (pad "M18" smd circle (at 5.2 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 518bd162-6548-4031-80a1-0430bb5f8a60)) + (pad "M19" smd circle (at 6 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a0118a56-1877-4c3c-ac06-9112a9cc06b5)) + (pad "M20" smd circle (at 6.8 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 22e682c2-87d7-443b-b7e0-900952a27ebc)) + (pad "M21" smd circle (at 7.6 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e83c9b5e-3c1b-4eff-b263-bbb69e2eaeae)) + (pad "M22" smd circle (at 8.4 0.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 66da0d80-f063-4c5f-b40a-4ce96a44ae45)) + (pad "N1" smd circle (at -8.4 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4c4867d8-88b4-4f19-8920-d88ebca1f2c0)) + (pad "N2" smd circle (at -7.6 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 32149f15-56ab-4647-871d-362b277cf794)) + (pad "N3" smd circle (at -6.8 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4941606e-63e4-4233-adaf-2b0745d39acc)) + (pad "N4" smd circle (at -6 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7aee5ef0-4413-4527-859d-f717b2c32394)) + (pad "N5" smd circle (at -5.2 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7bb64ff0-128c-4bde-b7e3-307416f061f7)) + (pad "N6" smd circle (at -4.4 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 72492424-e18e-49c1-bb33-2d5236341c66)) + (pad "N7" smd circle (at -3.6 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp effae544-bee1-4748-8af4-da094fe1bc25)) + (pad "N8" smd circle (at -2.8 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6b9204ae-c470-4312-a6d8-f29a3f1dca51)) + (pad "N9" smd circle (at -2 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b8acb0a7-273b-456a-a86a-ba27024db6c5)) + (pad "N10" smd circle (at -1.2 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 74e58d34-6159-4053-9337-938060a19339)) + (pad "N11" smd circle (at -0.4 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 21876a85-215f-447e-b616-cbaddcf96e1f)) + (pad "N12" smd circle (at 0.4 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 18742658-b68e-49f0-b7de-d6ecb657aa21)) + (pad "N13" smd circle (at 1.2 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 45c1f964-772b-4768-86ac-6b8866883bc9)) + (pad "N14" smd circle (at 2 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8d4d388d-edbb-4bd4-a868-6adcc2061799)) + (pad "N15" smd circle (at 2.8 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ccd0c0b5-8f85-4a72-8eb1-2759ff71efde)) + (pad "N16" smd circle (at 3.6 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8f22f455-36b1-478a-a883-2abd15a83834)) + (pad "N17" smd circle (at 4.4 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5dfd0fee-6fbd-4112-9d7f-7e2e34673a56)) + (pad "N18" smd circle (at 5.2 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4065a389-b251-45be-9bef-8b59b15ea66c)) + (pad "N19" smd circle (at 6 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2f030b7d-b669-4627-aa98-644cde3d6d18)) + (pad "N20" smd circle (at 6.8 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c7a934cb-695b-474e-a1dc-7d0eee26baee)) + (pad "N21" smd circle (at 7.6 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 40df7e8f-8102-42b2-afa2-3f15997aef3e)) + (pad "N22" smd circle (at 8.4 1.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 29e83f17-6176-473a-be4f-beea8d4b12b1)) + (pad "P1" smd circle (at -8.4 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7c004f5d-da97-44f9-84fb-f66dc00e0c7c)) + (pad "P2" smd circle (at -7.6 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d56d0b40-e52b-4b3e-8ab5-883da95a8f71)) + (pad "P3" smd circle (at -6.8 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5c1f70b2-b258-495d-8ce1-d9823160415d)) + (pad "P4" smd circle (at -6 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c502cf2f-b7ab-4038-90d3-c13a5e8c12dd)) + (pad "P5" smd circle (at -5.2 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4f48fc8a-1fbb-45cd-a654-74f4f0cf45ae)) + (pad "P6" smd circle (at -4.4 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 11b08285-9165-42cf-8c98-1376274c2f6a)) + (pad "P7" smd circle (at -3.6 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp acf255bb-9e23-4d2a-88f8-ec28e7c92b1b)) + (pad "P8" smd circle (at -2.8 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2b00d2c4-b53b-4a12-8ab9-e1089aaec6ef)) + (pad "P9" smd circle (at -2 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 44032de3-60ac-4bd4-a173-919f131d1382)) + (pad "P10" smd circle (at -1.2 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 66f6440d-8456-4f19-8274-74b5e07d5a0b)) + (pad "P11" smd circle (at -0.4 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d0d50cde-2061-47e6-9d74-43c9b57fe3da)) + (pad "P12" smd circle (at 0.4 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d9698d83-9a11-4dad-942c-24b8c59edd0f)) + (pad "P13" smd circle (at 1.2 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 893ec4ee-e556-43d7-9f37-dde53c870251)) + (pad "P14" smd circle (at 2 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4528d919-250c-486f-953f-ebaf06b84db6)) + (pad "P15" smd circle (at 2.8 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp dbc21d4c-b2bc-470b-93ed-30f447fde3e9)) + (pad "P16" smd circle (at 3.6 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b676a8a1-b303-404e-bdd7-076a3c649a2d)) + (pad "P17" smd circle (at 4.4 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c22ba90a-e519-4e1f-8fd9-f0b46f19185d)) + (pad "P18" smd circle (at 5.2 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ab6b446b-65dd-4599-b64c-d2b528487d1d)) + (pad "P19" smd circle (at 6 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a404773f-f5e9-4db2-aa86-4568289c2ee9)) + (pad "P20" smd circle (at 6.8 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8c095bdf-3e01-4695-9f0a-7805211ba9b9)) + (pad "P21" smd circle (at 7.6 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b2f92edd-04bb-4c06-81fb-90d3e2c626f2)) + (pad "P22" smd circle (at 8.4 2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0ccc2777-836a-4da9-bb6d-bb34de36f330)) + (pad "R1" smd circle (at -8.4 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a47d5d31-eda4-45aa-a110-1916564b6aa8)) + (pad "R2" smd circle (at -7.6 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 82688a4f-7123-44ff-82b0-2c0e595e663f)) + (pad "R3" smd circle (at -6.8 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b7504e59-8d46-4403-a589-b2c6f4eb02b5)) + (pad "R4" smd circle (at -6 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a394b80d-1f00-462e-bf68-ded971c3a653)) + (pad "R5" smd circle (at -5.2 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0ee6d209-2858-4b70-850a-61bf5cedcbf8)) + (pad "R6" smd circle (at -4.4 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp cd264476-1e02-4090-a4e2-d6d1fe3c79c6)) + (pad "R7" smd circle (at -3.6 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2d2fb2f9-7d5f-4f4d-9596-a79ef28c8c04)) + (pad "R8" smd circle (at -2.8 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 75b5fa76-a059-48e9-bb4b-bde638ffe7e9)) + (pad "R9" smd circle (at -2 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d5dc7a19-75b2-4726-9da6-5a547b3e85df)) + (pad "R10" smd circle (at -1.2 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c9c0479d-81a8-4116-8191-ebd83132c040)) + (pad "R11" smd circle (at -0.4 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b3c2f76b-c5f0-4cc7-a103-ca4972a98e64)) + (pad "R12" smd circle (at 0.4 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp dcf0155c-51e2-4d40-bbf5-6511b5188537)) + (pad "R13" smd circle (at 1.2 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp ddaf05c5-dc15-4a51-b2c7-ba50bdbc81a8)) + (pad "R14" smd circle (at 2 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6a27a62f-a9f1-4354-a49a-f0f32a6a0e8d)) + (pad "R15" smd circle (at 2.8 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 78423773-0a09-4808-9913-33ce23bfcae9)) + (pad "R16" smd circle (at 3.6 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 472dcfaf-759a-4e46-8ece-10c45ad20c97)) + (pad "R17" smd circle (at 4.4 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp da3678f8-cd74-41e1-b405-23ad42111242)) + (pad "R18" smd circle (at 5.2 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d8e0d1c2-0bfb-49d4-a80b-b5a931c844cd)) + (pad "R19" smd circle (at 6 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp edbe9f4b-d15c-4ba5-9b09-702671bd1770)) + (pad "R20" smd circle (at 6.8 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9d0844fd-19b7-4eae-a401-bd9106b62a12)) + (pad "R21" smd circle (at 7.6 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp eb9f64d5-2261-466c-9b59-1ede003ba043)) + (pad "R22" smd circle (at 8.4 2.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 060efe3c-73c5-4151-ace7-a056700fb13e)) + (pad "T1" smd circle (at -8.4 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 46c31d61-0e69-4f19-88d3-034c0f22de31)) + (pad "T2" smd circle (at -7.6 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4b308c27-b296-4f09-8521-9f50de24d68f)) + (pad "T3" smd circle (at -6.8 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 83bc6948-13c8-4669-a6f5-6b6554c5d984)) + (pad "T4" smd circle (at -6 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d8967930-a290-47d6-a802-94b202fd64f9)) + (pad "T5" smd circle (at -5.2 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8849bd8b-65a9-40bd-9b99-09402924ef8c)) + (pad "T6" smd circle (at -4.4 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 72fa0de1-ba4b-44a5-bb1b-53ee2b8dc3ac)) + (pad "T7" smd circle (at -3.6 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 81fd9e7c-8f6a-4c8f-aae3-2a36eb463594)) + (pad "T8" smd circle (at -2.8 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d61196bd-e5e6-4715-9c45-c900aedc2826)) + (pad "T9" smd circle (at -2 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 60c6de57-863c-4604-8d94-e03082f0b821)) + (pad "T10" smd circle (at -1.2 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 65e869f3-99f6-4fbe-9bc2-d6bd2bd15ec8)) + (pad "T11" smd circle (at -0.4 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp cda62fa8-fb12-45f4-8d99-c96ae7f812d7)) + (pad "T12" smd circle (at 0.4 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7cbb5a59-626f-4b66-a63f-030f2526c3e8)) + (pad "T13" smd circle (at 1.2 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e9c957ec-0934-4bf8-aa57-9d0572105fd8)) + (pad "T14" smd circle (at 2 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8c486f97-47fa-4222-80b5-894b2ec97448)) + (pad "T15" smd circle (at 2.8 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp aa482c3b-90eb-4ca7-a8f4-963ce0e581fe)) + (pad "T16" smd circle (at 3.6 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a17ccfb7-6359-4db5-8857-c35f6168e180)) + (pad "T17" smd circle (at 4.4 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 170a5268-68df-4164-b1eb-6578dda80392)) + (pad "T18" smd circle (at 5.2 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7bb1690a-8a91-47e3-b59c-53f12447456b)) + (pad "T19" smd circle (at 6 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8178d6b3-ed1c-4dcc-9685-8647fb07e32d)) + (pad "T20" smd circle (at 6.8 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b77bbd67-9dcd-4a48-968c-e2b2236975ca)) + (pad "T21" smd circle (at 7.6 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a6519851-0e10-4126-958e-24ab2d8052f7)) + (pad "T22" smd circle (at 8.4 3.6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp efa7b317-ef81-4031-bad0-128ec42bb05b)) + (pad "U1" smd circle (at -8.4 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b9b24fdb-32e8-4133-9717-953a7d405db9)) + (pad "U2" smd circle (at -7.6 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0fad0811-04b0-4073-b773-3905f66a4d97)) + (pad "U3" smd circle (at -6.8 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b3994c42-1f7d-4840-ae5c-72263016f1fa)) + (pad "U4" smd circle (at -6 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 015cefb9-24a7-4d8f-9b6d-bcf6540b4993)) + (pad "U5" smd circle (at -5.2 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7d8c7319-f31d-42cd-9ab1-1235a124ffe4)) + (pad "U6" smd circle (at -4.4 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 91b17054-31da-4649-aab9-6af99aec3d02)) + (pad "U7" smd circle (at -3.6 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 862da001-edb0-4751-9dd6-0fb3785177e7)) + (pad "U8" smd circle (at -2.8 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c734efe1-7d2d-4dc0-bab6-1b890061ce79)) + (pad "U9" smd circle (at -2 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6e4975be-e229-4ffa-abc1-6c29df9922c3)) + (pad "U10" smd circle (at -1.2 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d7923490-bad1-4ced-8b5b-24523ae65e62)) + (pad "U11" smd circle (at -0.4 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8502dfca-da17-4487-9f02-e11f4f2dbad9)) + (pad "U12" smd circle (at 0.4 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp f045a110-c305-40b3-8bcd-6f9318ac8c59)) + (pad "U13" smd circle (at 1.2 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 2d2c1d09-9fa6-45c4-bcce-4bbbde2a8673)) + (pad "U14" smd circle (at 2 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e5b6e2a5-91b9-4496-9922-fd37ca27cfd8)) + (pad "U15" smd circle (at 2.8 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5dfb9648-e497-433a-9032-a0e80c4bcba0)) + (pad "U16" smd circle (at 3.6 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 24aa2383-2502-4856-8fe5-a8e2410ee0d1)) + (pad "U17" smd circle (at 4.4 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9a0951ce-0cb7-4c7c-af9a-74e6d401616e)) + (pad "U18" smd circle (at 5.2 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 94f427dd-3ffc-456b-a64b-bdbcc5f7fee7)) + (pad "U19" smd circle (at 6 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp bd9b1ca1-8c5e-4df3-b3b1-2520be3aafe3)) + (pad "U20" smd circle (at 6.8 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8bcad182-f089-47e4-bfb1-24e6fec5dd6b)) + (pad "U21" smd circle (at 7.6 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b5f7b769-7b37-4123-8092-fbe0a45ef49b)) + (pad "U22" smd circle (at 8.4 4.4) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e08a08d1-2df4-4d0d-a5ac-74ddc7524fb1)) + (pad "V1" smd circle (at -8.4 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp dbf65f23-f210-4f1d-b549-948e9313eb57)) + (pad "V2" smd circle (at -7.6 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 12b79282-48e9-4272-9244-97fdbb94f906)) + (pad "V3" smd circle (at -6.8 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 13eea143-c318-4ad7-b95f-e4c928fb4e3a)) + (pad "V4" smd circle (at -6 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 402f3485-e58d-4b72-868a-41454a979c88)) + (pad "V5" smd circle (at -5.2 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d20ffbab-725f-48f9-a4da-fd17c5718aa2)) + (pad "V6" smd circle (at -4.4 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 73502320-3b56-498c-aca6-3db7ea9107cb)) + (pad "V7" smd circle (at -3.6 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 61a28aad-bffd-42a5-9dff-50caa6068a19)) + (pad "V8" smd circle (at -2.8 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e8d3da71-7181-43a3-b155-ace0ce49db75)) + (pad "V9" smd circle (at -2 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c91686d1-756a-4ba3-ab47-419aa1c4beb7)) + (pad "V10" smd circle (at -1.2 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 87f08e3a-c506-4bc0-af9d-139aee56a3a3)) + (pad "V11" smd circle (at -0.4 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c896d3a9-0ad6-4d01-b3bb-7672a155dcb9)) + (pad "V12" smd circle (at 0.4 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8cee716f-57d0-45e2-8632-9ec3edc9578a)) + (pad "V13" smd circle (at 1.2 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 86481831-8ab0-49e1-8dff-8dab95ee3765)) + (pad "V14" smd circle (at 2 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 62d3a2f0-51b6-43cb-8680-0af69aea2367)) + (pad "V15" smd circle (at 2.8 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4fa0dd70-5c1a-40f3-8f28-acf4d3b40cdb)) + (pad "V16" smd circle (at 3.6 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3a3b3ae0-1630-4e8d-aa81-b64e75dc0506)) + (pad "V17" smd circle (at 4.4 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1cdb31bb-31f1-4d88-9bff-963878d4bbdf)) + (pad "V18" smd circle (at 5.2 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9bde8b54-407c-413b-8fe1-de18af5cc1e8)) + (pad "V19" smd circle (at 6 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 3c70abf0-e1b5-4964-b2ed-4c1caf4716cb)) + (pad "V20" smd circle (at 6.8 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6228c9c8-5f1c-4d90-bc27-548c60fe8ad9)) + (pad "V21" smd circle (at 7.6 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5d763fc5-510c-48ea-9c00-a7f89f703cdb)) + (pad "V22" smd circle (at 8.4 5.2) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d660fa94-14d6-4ee4-9abc-8f0962352f47)) + (pad "W1" smd circle (at -8.4 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1327f9cc-80fe-49c5-b491-d1ec84a64b58)) + (pad "W2" smd circle (at -7.6 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 550cb0b5-7127-4421-8eeb-7e234dee764a)) + (pad "W3" smd circle (at -6.8 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 43f0192e-b31c-400a-80d9-c59314ad4c57)) + (pad "W4" smd circle (at -6 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1536e691-5cee-4832-b7ae-07ad10f59602)) + (pad "W5" smd circle (at -5.2 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5247105a-ec84-44e7-9ddc-713ec19a8e4e)) + (pad "W6" smd circle (at -4.4 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d2cb75e3-44bb-4820-9c2e-e092a1668efd)) + (pad "W7" smd circle (at -3.6 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0037498d-a053-4a34-9a10-79490fb49c1c)) + (pad "W8" smd circle (at -2.8 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b02b0174-848d-49bb-bc45-07b0d4389e5a)) + (pad "W9" smd circle (at -2 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7473c36f-707b-4efe-8b6e-8aaecad3aec6)) + (pad "W10" smd circle (at -1.2 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b9cea425-9fee-47fb-9818-df9b6227f677)) + (pad "W11" smd circle (at -0.4 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 20f3d4c6-7ec8-43c5-9ec4-55a1d5cedf77)) + (pad "W12" smd circle (at 0.4 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 28ff3f31-b3f6-444f-a136-f530612d611c)) + (pad "W13" smd circle (at 1.2 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b19cdc7a-7bef-4e06-8024-49b085235673)) + (pad "W14" smd circle (at 2 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1566224f-98ee-45c2-b807-b01702686f66)) + (pad "W15" smd circle (at 2.8 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a8a10e6d-624a-4b89-b635-33a6c26a9899)) + (pad "W16" smd circle (at 3.6 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 89bd1f8c-65a3-4098-800f-d0186c68b7c1)) + (pad "W17" smd circle (at 4.4 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e3f3f4b4-5ebc-415e-8b7a-b5f4dc14e3c0)) + (pad "W18" smd circle (at 5.2 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0678f7c3-9752-4bf2-b928-c09801f8a68c)) + (pad "W19" smd circle (at 6 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7d5ac151-d000-4028-b23d-f10251eb8c83)) + (pad "W20" smd circle (at 6.8 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 369620a4-0fe8-42d2-9b41-f50a1c1c13c7)) + (pad "W21" smd circle (at 7.6 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e73e1c75-7719-4fb7-937e-c6576bdb6fe1)) + (pad "W22" smd circle (at 8.4 6) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7b8af8fe-0012-41cc-9f5b-6e5a3017b1a3)) + (pad "Y1" smd circle (at -8.4 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 8ec22972-ae36-4068-9ffb-e8effd15fe13)) + (pad "Y2" smd circle (at -7.6 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c9b14da3-37fd-4248-83aa-e2983b9c15d8)) + (pad "Y3" smd circle (at -6.8 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e38ea0c6-5ca3-475f-b747-b9a6c73d5a12)) + (pad "Y4" smd circle (at -6 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 73e9f292-a793-46da-9bd7-4f6d62ca43a0)) + (pad "Y5" smd circle (at -5.2 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 31c3b75b-4297-4e54-83f7-9904c5264bea)) + (pad "Y6" smd circle (at -4.4 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 38c1e3e7-2938-4102-9263-30a1cc3f0fdd)) + (pad "Y7" smd circle (at -3.6 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 42907698-e879-4dd3-a3b3-e4f81d87cc5a)) + (pad "Y8" smd circle (at -2.8 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp fff94b63-cb68-4d46-8ff4-c23fab62518b)) + (pad "Y9" smd circle (at -2 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e7ec95dd-e0c7-4cb5-ac0c-97c5f3fe4141)) + (pad "Y10" smd circle (at -1.2 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d8bc28a7-4594-46f2-8f44-0cb638dd070c)) + (pad "Y11" smd circle (at -0.4 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 6e52707b-851b-4e10-91fb-97f7786b7490)) + (pad "Y12" smd circle (at 0.4 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp cc8d9982-62e7-4097-8e33-17759d4692cb)) + (pad "Y13" smd circle (at 1.2 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 46afa41b-affd-4f9c-a8ce-32a7e981dbb0)) + (pad "Y14" smd circle (at 2 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 5a3a61dc-eeab-47c5-8ddb-52118a4adaa4)) + (pad "Y15" smd circle (at 2.8 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 73bc747c-0e5b-4d87-908d-b931a7d99b9b)) + (pad "Y16" smd circle (at 3.6 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9be3447b-af7c-4a46-a440-32ebccddbb04)) + (pad "Y17" smd circle (at 4.4 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 1c568589-6249-4da3-9ccd-0d335e72e543)) + (pad "Y18" smd circle (at 5.2 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp a0fa2863-7cf0-45d8-8095-00c94b58f4ae)) + (pad "Y19" smd circle (at 6 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 112690c0-e411-458e-99cb-6c1cdd5c43cb)) + (pad "Y20" smd circle (at 6.8 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp b44ffb83-d408-4fb0-8673-d5fbda48a66f)) + (pad "Y21" smd circle (at 7.6 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 453eb9a8-2fca-4c78-9ae4-63c1a3edebc1)) + (pad "Y22" smd circle (at 8.4 6.8) (size 0.4 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 92706bae-9f04-4703-ba3d-b90689e7dcd1)) +) diff --git a/ceres.pretty/MMT-107-01-F-DH-A.kicad_mod b/ceres.pretty/MMT-107-01-F-DH-A.kicad_mod new file mode 100644 index 0000000..1a41e18 --- /dev/null +++ b/ceres.pretty/MMT-107-01-F-DH-A.kicad_mod @@ -0,0 +1,165 @@ +(footprint "MMT-107-01-F-DH-A" (version 20220914) (generator pcbnew) + (layer "F.Cu") + (attr smd) + (fp_text reference "REF**" (at 0 13.462 unlocked) (layer "F.SilkS") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp 26e4b112-0182-4f2e-8f45-709918bdb348) + ) + (fp_text value "MMT-107-01-F-DH-A" (at 0 4.572 unlocked) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp 46caeb35-0f48-4807-bce5-c1062319ed45) + ) + (fp_text user "${REFERENCE}" (at 0 5.461 unlocked) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp 9e17e375-d1b4-4e87-bb79-8a53ba71e6e7) + ) + (fp_line (start -7.366 1.143) (end -6.858 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 18ea00a7-bc8d-4850-8369-c95014d6ae19)) + (fp_line (start -7.366 1.651) (end -6.35 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp e272f267-866f-4e09-ab68-934d4a6b3a88)) + (fp_line (start -7.366 2.159) (end -5.842 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 87e76eec-2a31-48d4-8365-048da686938a)) + (fp_line (start -7.366 2.667) (end -5.334 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 3b04b5fa-b407-4911-8fa8-16ee65f4cfa9)) + (fp_line (start -7.366 3.175) (end -4.826 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp cea8cc06-16fe-4ed7-8038-e41ea94b24cc)) + (fp_line (start -7.366 3.556) (end -1.651 3.556) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp a205d717-9f74-4cd6-8e54-216b8901dde2)) + (fp_line (start -7.366 6.35) (end -7.366 3.556) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 2ddc4971-52ea-4622-b318-552bcdaf2ae4)) + (fp_line (start -7.239 3.556) (end -4.318 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 117c5a81-0c87-48fc-b93e-66f66089ca98)) + (fp_line (start -6.731 3.556) (end -3.81 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp ccee3cdb-cc74-4356-ba9d-6ddb6dc8b36b)) + (fp_line (start -6.223 3.556) (end -3.302 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp d0feee27-3af4-4745-b6ad-f06407079d86)) + (fp_line (start -5.715 3.556) (end -2.794 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 7a6182fe-db5f-4ecc-9a7d-904bef5996c7)) + (fp_line (start -5.207 3.556) (end -2.286 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 8fee63de-33eb-4643-a817-0100c510531f)) + (fp_line (start -3.683 3.556) (end -1.651 1.524) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp ad499f07-bc75-4178-9a30-75b3b7d83986)) + (fp_line (start -3.175 3.556) (end -1.651 2.032) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 886c00c8-a9eb-44e6-bfb2-7ebfd15a8f5c)) + (fp_line (start -2.667 3.556) (end -1.651 2.54) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 338b683e-776e-4b04-815a-d4b9106f5340)) + (fp_line (start -2.159 3.556) (end -1.651 3.048) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 57d77f17-0ad8-4847-a51d-3809f898d2ca)) + (fp_line (start -1.778 0.635) (end -4.699 3.556) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 78f39726-e9e7-4dd4-ba0f-b6e3cafac4d5)) + (fp_line (start -1.651 1.016) (end -4.191 3.556) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 9cdf06a4-a5f2-4156-a36d-a8d7eaa7628c)) + (fp_line (start 1.651 1.27) (end 2.286 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 68171c60-61d4-4e2b-88e5-74e0fb1c0f21)) + (fp_line (start 2.794 0.635) (end 1.651 1.778) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp c8e83698-9f5b-4ab0-bfc5-d59b2beb65c9)) + (fp_line (start 2.921 3.556) (end 5.842 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 6cbc13ab-1097-4300-9b42-04cd5c39672c)) + (fp_line (start 3.302 0.635) (end 1.651 2.286) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 1a679e42-fd2e-4561-b39f-dc41161b0487)) + (fp_line (start 3.81 0.635) (end 1.651 2.794) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 41e1415c-ea6e-4d98-9324-a8fc233cd1ad)) + (fp_line (start 3.937 3.556) (end 6.858 0.635) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp ec2edbb6-0673-48c3-ad84-d699168c77d7)) + (fp_line (start 4.318 0.635) (end 1.651 3.302) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 9e9d50ad-ae1c-42ec-8291-4d1d53ffade9)) + (fp_line (start 4.826 0.635) (end 1.905 3.556) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp f52d4748-1b7d-4bc1-91f2-9c51618f3e14)) + (fp_line (start 4.953 3.556) (end 7.366 1.143) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp b05f55f7-f7aa-4454-ad96-0ac9e9c7b78e)) + (fp_line (start 5.334 0.635) (end 2.413 3.556) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp da2f6420-c317-4580-97fd-fcf0ff6b6b76)) + (fp_line (start 5.969 3.556) (end 7.366 2.159) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 5d27e124-0406-4d82-a6dc-9dc4438d5b08)) + (fp_line (start 6.35 0.635) (end 3.429 3.556) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 79d504c2-5e1f-404d-bc38-c1b5fd1d5e2e)) + (fp_line (start 6.985 3.556) (end 7.366 3.175) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 8da70555-03f9-48e9-be72-7fa0a80cfa8c)) + (fp_line (start 7.366 0.635) (end 4.445 3.556) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp d2cde534-1906-4e18-9f98-a84c5c6ba80c)) + (fp_line (start 7.366 1.651) (end 5.461 3.556) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp d7defcc0-4acf-473a-99a5-da6426251bee)) + (fp_line (start 7.366 2.667) (end 6.477 3.556) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 34523839-0b8d-4994-b63e-5bcd1f64ee45)) + (fp_line (start 7.366 3.556) (end 7.366 6.35) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 374b7c25-d84f-4cb5-8724-d66f51eb9fa8)) + (fp_line (start 7.366 6.35) (end -7.366 6.35) + (stroke (width 0.1778) (type solid)) (layer "F.SilkS") (tstamp 54faf400-cfe5-4428-9bcb-d0554e5f0fa8)) + (fp_rect (start -7.366 3.556) (end -1.651 0.635) + (stroke (width 0.1778) (type solid)) (fill none) (layer "F.SilkS") (tstamp 78c155b4-ee69-4be7-810b-0483a1c355c1)) + (fp_rect (start 1.651 3.556) (end 7.366 0.635) + (stroke (width 0.1778) (type solid)) (fill none) (layer "F.SilkS") (tstamp e584c8a3-7ab3-4aa5-a449-e310c69363bb)) + (fp_rect (start 6.731 6.35) (end -6.731 12.7) + (stroke (width 0.1778) (type solid)) (fill none) (layer "F.SilkS") (tstamp 8da20a63-f1ef-4316-bfa0-738e969d2656)) + (fp_rect (start 6.731 6.35) (end 5.207 9.398) + (stroke (width 0.1778) (type solid)) (fill none) (layer "F.SilkS") (tstamp 8a21d5f9-81c1-4ac6-a18d-61fa47992176)) + (fp_poly + (pts + (xy 7.366 3.556) + (xy 6.985 3.556) + (xy 7.366 3.175) + ) + + (stroke (width 0.1778) (type solid)) (fill solid) (layer "F.SilkS") (tstamp a66ab065-cd59-4dbd-b0cd-bfbd4b1acaf4)) + (fp_line (start -7.62 0) (end -1 0) + (stroke (width 0.127) (type default)) (layer "Edge.Cuts") (tstamp e7226842-1a8a-4864-b978-7f1f8237ec48)) + (fp_line (start -1 3.9) (end -1 0) + (stroke (width 0.127) (type default)) (layer "Edge.Cuts") (tstamp be201c7b-cc8f-4c24-bd76-56b703a8b562)) + (fp_line (start -1 3.9) (end 1 3.9) + (stroke (width 0.127) (type default)) (layer "Edge.Cuts") (tstamp c7aa4d76-bcf9-4640-b87e-2895338c6c48)) + (fp_line (start 1 0) (end 7.62 0) + (stroke (width 0.127) (type default)) (layer "Edge.Cuts") (tstamp 4566468f-14d7-4cb1-ae68-87530b1e03ba)) + (fp_line (start 1 3.9) (end 1 0) + (stroke (width 0.127) (type default)) (layer "Edge.Cuts") (tstamp 9726bce5-391a-4ee8-a00a-8a19ae7e7616)) + (fp_rect (start -7 5.9) (end 7 3.9) + (stroke (width 0.127) (type default)) (fill none) (layer "F.Fab") (tstamp 8aaec756-451d-4c02-9c1e-4c5e81fc70bf)) + (fp_rect (start -6.25 0.7) (end -5.75 3.9) + (stroke (width 0.127) (type solid)) (fill solid) (layer "F.Fab") (tstamp 4bee726f-c642-4a49-b5e6-5ce967c7bf05)) + (fp_rect (start -4.25 0.7) (end -3.75 3.9) + (stroke (width 0.127) (type solid)) (fill solid) (layer "F.Fab") (tstamp 3138fa81-f660-4d0f-8484-ff51fc162004)) + (fp_rect (start -2.25 0.7) (end -1.75 3.9) + (stroke (width 0.127) (type solid)) (fill solid) (layer "F.Fab") (tstamp 8694b3b0-f032-4009-8986-b5ffa2d3744e)) + (fp_rect (start -0.25 0.7) (end 0.25 3.9) + (stroke (width 0.127) (type solid)) (fill solid) (layer "F.Fab") (tstamp 60fb051f-7a66-4e47-8ce7-1ef13a0d0e2e)) + (fp_rect (start 1.75 0.7) (end 2.25 3.9) + (stroke (width 0.127) (type solid)) (fill solid) (layer "F.Fab") (tstamp 5f009a18-319c-4aea-8177-da541d257f88)) + (fp_rect (start 3.75 0.7) (end 4.25 3.9) + (stroke (width 0.127) (type solid)) (fill solid) (layer "F.Fab") (tstamp 7c9d11a2-9764-45b9-8730-7dec571d78ec)) + (fp_rect (start 5.75 0.7) (end 6.25 3.9) + (stroke (width 0.127) (type solid)) (fill solid) (layer "F.Fab") (tstamp 4e03d008-672b-4ed6-b41f-03e1605e17de)) + (pad "" np_thru_hole circle (at -5 4.92) (size 1.2 1.2) (drill 1.19) (layers F&B.Cu *.Mask) (tstamp 1b58f2fc-17c8-4320-854b-135be418d46a)) + (pad "" np_thru_hole circle (at 5 4.92) (size 1.2 1.2) (drill 1.19) (layers F&B.Cu *.Mask) (tstamp 7608ec22-69cd-4465-95c5-119ed708aa96)) + (pad "1" smd roundrect (at 6 7.84) (size 1.02 2.54) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp fdf0e67e-b630-45d3-8d1a-d836c765477d)) + (pad "2" smd roundrect (at 6 11.345) (size 1.02 2.29) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp c77c1631-81ba-49cc-8f8d-89ec77e3f844)) + (pad "3" smd roundrect (at 4 7.84) (size 1.02 2.54) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp ad60016e-7e2f-4316-bfb2-935e8c4ab49e)) + (pad "4" smd roundrect (at 4 11.345) (size 1.02 2.29) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp b3fa9226-f2ed-41f8-8f2a-10ed9cb6b752)) + (pad "5" smd roundrect (at 2 7.84) (size 1.02 2.54) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 974f0280-2c94-4841-a174-8524d4490609)) + (pad "6" smd roundrect (at 2 11.345) (size 1.02 2.29) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 71966fb2-94d3-4cb5-8d84-8010fa32cac5)) + (pad "7" smd roundrect (at 0 7.84) (size 1.02 2.54) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp ad3bb71f-48f3-4e56-8c0b-d79335c155a4)) + (pad "8" smd roundrect (at 0 11.345) (size 1.02 2.29) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp e284259b-783b-4ed6-b1af-b661fb8ff3a0)) + (pad "9" smd roundrect (at -2 7.84) (size 1.02 2.54) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp d8060542-5f07-4ca9-83f8-8921fe3e84ed)) + (pad "10" smd roundrect (at -2 11.345) (size 1.02 2.29) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 792d39b5-c827-4737-b198-7d1d44223c38)) + (pad "11" smd roundrect (at -4 7.84) (size 1.02 2.54) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 4733ead5-aaf6-486f-9ca4-8a322d7fe7fe)) + (pad "12" smd roundrect (at -4 11.345) (size 1.02 2.29) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 0e134ac9-aef3-4674-b061-a55bb5bd3bdf)) + (pad "13" smd roundrect (at -6 7.84) (size 1.02 2.54) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 039f47b6-48b6-462a-a18f-badf7b1fa217)) + (pad "14" smd roundrect (at -6 11.345) (size 1.02 2.29) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 2f7bf9d2-ee99-4490-aecd-28ec86ee6ea8)) + (model "step/MMT-107-01-F-DH-A.stp" + (offset (xyz 0 -5.9436 1.905)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 180 0)) + ) +) diff --git a/ceres.pretty/MS270-10F.kicad_mod b/ceres.pretty/MS270-10F.kicad_mod new file mode 100644 index 0000000..80d7129 --- /dev/null +++ b/ceres.pretty/MS270-10F.kicad_mod @@ -0,0 +1,74 @@ +(footprint "MS270-10F" (version 20220914) (generator pcbnew) + (layer "F.Cu") + (zone_connect 2) + (attr allow_missing_courtyard) + (fp_text reference "REF**" (at 0 -11.557 unlocked) (layer "F.SilkS") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp efbde5c7-696f-4e39-9500-a3047b4d1ae0) + ) + (fp_text value "MS270-10F" (at 0 -9.85 unlocked) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp b437bef3-ff18-4c81-aab5-9cb376885aca) + ) + (fp_text user "${REFERENCE}" (at 0 10.033 unlocked) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp 1499823f-4d9c-4cea-a094-eb8579deb5f4) + ) + (fp_line (start -13.35 8.2) (end -13.35 -8.2) + (stroke (width 1.5) (type default)) (layer "F.Cu") (tstamp af5033ab-3bc4-46be-9bcf-15e7170bca03)) + (fp_line (start 11.7 -9.85) (end -11.7 -9.85) + (stroke (width 1.5) (type default)) (layer "F.Cu") (tstamp 9110c70e-96d9-4ef0-b6c7-e48bd82df483)) + (fp_line (start 11.7 9.85) (end -11.7 9.85) + (stroke (width 1.5) (type default)) (layer "F.Cu") (tstamp 86ca0ddb-bf3b-4593-af29-003052c7170c)) + (fp_line (start 13.35 -8.2) (end 13.35 8.2) + (stroke (width 1.5) (type default)) (layer "F.Cu") (tstamp efaa09e7-6999-4981-a187-4421bafa1ca1)) + (fp_arc (start -13.35 -8.2) (mid -12.866726 -9.366726) (end -11.7 -9.85) + (stroke (width 1.5) (type default)) (layer "F.Cu") (tstamp d3be5343-ce70-4a1e-be8e-69246e3859ac)) + (fp_arc (start -11.7 9.85) (mid -12.866726 9.366726) (end -13.35 8.2) + (stroke (width 1.5) (type default)) (layer "F.Cu") (tstamp 1b33cc46-a34e-4242-8f42-56c1cd9f3e90)) + (fp_arc (start 11.7 -9.85) (mid 12.866726 -9.366726) (end 13.35 -8.2) + (stroke (width 1.5) (type default)) (layer "F.Cu") (tstamp c4c80b00-eac7-40c2-be3f-f86d0206b67a)) + (fp_arc (start 13.35 8.2) (mid 12.866726 9.366726) (end 11.7 9.85) + (stroke (width 1.5) (type default)) (layer "F.Cu") (tstamp 550826e0-4ada-4924-aaf7-45f095c7ca5d)) + (fp_line (start -14.478 -8.255) (end -14.478 8.255) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 779cb6f3-e5f1-44d2-8342-6c3ae78cd055)) + (fp_line (start -11.684 11.049) (end 11.684 11.049) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 3fd31393-58fb-48ed-8414-2d88c1dd3380)) + (fp_line (start 11.684 -11.049) (end -11.684 -11.049) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 68d66523-9c45-42d0-9187-72a34ba4e48a)) + (fp_line (start 14.478 8.255) (end 14.478 -8.255) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 0a22a523-5cb2-4364-acf9-ed06fd29397c)) + (fp_arc (start -14.478 -8.255) (mid -13.659656 -10.230656) (end -11.684 -11.049) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp ae5d41aa-3d3a-42f2-a5e4-cc5071e28419)) + (fp_arc (start -11.684 11.049) (mid -13.659656 10.230656) (end -14.478 8.255) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 7b0f32f5-ec28-4afa-8498-e93e0f3b63fe)) + (fp_arc (start 11.684 -11.049) (mid 13.659656 -10.230656) (end 14.478 -8.255) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp c7ce6162-01d5-4a79-b8e0-55a45bed0e72)) + (fp_arc (start 14.478 8.255) (mid 13.659656 10.230656) (end 11.684 11.049) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 390ecd4a-73fa-47ba-90cc-2166fd76f7fb)) + (fp_line (start -13.35 8.2) (end -13.35 -8.2) + (stroke (width 1.5) (type default)) (layer "F.Mask") (tstamp a5532c90-0420-4270-b4a3-3eb7764cb042)) + (fp_line (start 11.7 -9.85) (end -11.7 -9.85) + (stroke (width 1.5) (type default)) (layer "F.Mask") (tstamp 86450918-b73b-4196-835c-7b561ba6c7f8)) + (fp_line (start 11.7 9.85) (end -11.7 9.85) + (stroke (width 1.5) (type default)) (layer "F.Mask") (tstamp 035c2232-7a21-45c6-ad5d-47e2a56c13aa)) + (fp_line (start 13.35 -8.2) (end 13.35 8.2) + (stroke (width 1.5) (type default)) (layer "F.Mask") (tstamp 53af4b8e-7248-4f12-aa67-2f7b442da0a8)) + (fp_arc (start -13.35 -8.2) (mid -12.866726 -9.366726) (end -11.7 -9.85) + (stroke (width 1.5) (type default)) (layer "F.Mask") (tstamp 1a32f2da-59fd-40a0-87f7-676c43b6d491)) + (fp_arc (start -11.7 9.85) (mid -12.866726 9.366726) (end -13.35 8.2) + (stroke (width 1.5) (type default)) (layer "F.Mask") (tstamp 7ce4bded-cd14-483c-b2e2-0a5e779c8e9d)) + (fp_arc (start 11.7 -9.85) (mid 12.866726 -9.366726) (end 13.35 -8.2) + (stroke (width 1.5) (type default)) (layer "F.Mask") (tstamp dfe699ff-4245-4586-8deb-cfe0ee3d939a)) + (fp_arc (start 13.35 8.2) (mid 12.866726 9.366726) (end 11.7 9.85) + (stroke (width 1.5) (type default)) (layer "F.Mask") (tstamp 60b4e3b0-763b-40b9-80fc-3e487183cacf)) + (fp_rect (start -13.35 -9.85) (end 13.35 9.85) + (stroke (width 0.127) (type default)) (fill none) (layer "F.Fab") (tstamp cf659b55-735a-40e2-a62b-9c9add03d9d5)) + (pad "1" thru_hole circle (at -13.35 -5.95) (size 1.5 1.5) (drill 1.3) (layers *.Cu *.Mask) (tstamp 4afa7a88-d8bb-4ce8-b194-5057958987e4)) + (pad "1" thru_hole circle (at 13.35 5.95) (size 1.5 1.5) (drill 1.3) (layers *.Cu *.Mask) (tstamp c1f7c461-e3c4-4e3e-b294-d22c403221c8)) + (model "step/MS270-10F.step" + (offset (xyz 0 0 6)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 90)) + ) +) diff --git a/ceres.pretty/Macom_MABA-011118.kicad_mod b/ceres.pretty/Macom_MABA-011118.kicad_mod new file mode 100644 index 0000000..d456bf9 --- /dev/null +++ b/ceres.pretty/Macom_MABA-011118.kicad_mod @@ -0,0 +1,42 @@ +(footprint "Macom_MABA-011118" (version 20220914) (generator pcbnew) + (layer "F.Cu") + (attr smd) + (fp_text reference "REF**" (at 0 -3.048 unlocked) (layer "F.SilkS") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp 31deb4fe-71ef-459d-aea2-649b21c745e6) + ) + (fp_text value "Macom_MABA-011118" (at 0 -0.508 unlocked) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp c34b38f4-5790-4f74-b475-222351bf3396) + ) + (fp_text user "${REFERENCE}" (at 0 0.762 unlocked) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp 03a91510-2425-48e3-a975-695b7d30b5e5) + ) + (fp_line (start -2.286 2.413) (end -2.286 1.905) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp a808b951-eb87-473f-b8f2-ad6bdc38b303)) + (fp_line (start 2.286 -2.413) (end -1.778 -2.413) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 63333161-bdd1-453b-9a87-33e5c2396d0b)) + (fp_line (start 2.286 -1.905) (end 2.286 -2.413) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 0cc1a145-8867-4f48-ad6b-08429da8bb3f)) + (fp_line (start 2.286 1.905) (end 2.286 2.413) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 43acdc41-1cc3-4bf5-84e7-2e8fa208b4a5)) + (fp_line (start 2.286 2.413) (end -2.286 2.413) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 83f376f2-4a7a-4759-8f83-c34077b512c4)) + (fp_arc (start -2.286 -1.905) (mid -2.13721 -2.26421) (end -1.778 -2.413) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 24413d16-d086-4887-9627-61cc0f23faf7)) + (fp_rect (start -1.905 -2) (end 1.905 2) + (stroke (width 0.127) (type default)) (fill none) (layer "F.Fab") (tstamp e3e9f112-c4c3-4e45-b1d7-f8b566dad90c)) + (pad "1" smd roundrect (at -1.715 -1.27) (size 1.4 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 397250a1-384f-4969-b8d5-62a65eae5417)) + (pad "2" smd roundrect (at -1.715 0) (size 1.4 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 1f2d5f86-2c4c-46f3-8a1a-207bf0fe227c)) + (pad "3" smd roundrect (at -1.715 1.27) (size 1.4 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 526422d9-5724-4ee9-abc8-4cc477e02631)) + (pad "4" smd roundrect (at 1.715 1.27) (size 1.4 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp e4c365be-dfef-4a37-b599-c6d4049b9c45)) + (pad "5" smd roundrect (at 1.715 0) (size 1.4 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 2464b910-95a6-48c3-9f60-3f41bb765fa6)) + (pad "6" smd roundrect (at 1.715 -1.27) (size 1.4 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp a7c0eb9b-757c-4eeb-a6e0-f07f87a57e0c)) +) diff --git a/ceres.pretty/Molex_0878321420.kicad_mod b/ceres.pretty/Molex_0878321420.kicad_mod new file mode 100644 index 0000000..09af94b --- /dev/null +++ b/ceres.pretty/Molex_0878321420.kicad_mod @@ -0,0 +1,73 @@ +(footprint "Molex_0878321420" (version 20220914) (generator pcbnew) + (layer "F.Cu") + (attr smd) + (fp_text reference "REF**" (at 0 -4.064 unlocked) (layer "F.SilkS") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp d0f361c7-82f8-429d-87a3-07ec6c82cbe0) + ) + (fp_text value "Molex_0878321420" (at 0 -0.508 unlocked) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp 87ad6a81-0118-48f8-b2b5-242dc3dadd6e) + ) + (fp_text user "${REFERENCE}" (at 0 0.635 unlocked) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp 9a9bfdc0-a62c-4ab6-8900-0127aa855fe1) + ) + (fp_line (start -8.636 -3.556) (end -8.636 3.556) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 33a9bd23-b081-46b5-bbcd-1e306f1d23f6)) + (fp_line (start -8.636 3.556) (end -6.731 3.556) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 01204169-a3b3-4cd1-ade6-1f8671966d85)) + (fp_line (start -6.731 -3.556) (end -8.636 -3.556) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp f9e87d06-89ac-4200-a033-b89277f162c0)) + (fp_line (start 6.858 -3.556) (end 8.763 -1.651) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 6cd22b3b-e58e-429d-af2c-3fa70dd57150)) + (fp_line (start 6.858 3.556) (end 8.763 3.556) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 694535b5-a21d-471a-a4f9-5a62cfe7a705)) + (fp_line (start 8.763 -3.556) (end 6.858 -3.556) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 1de96d26-a3d8-4bd4-a31c-241d8c9c3673)) + (fp_line (start 8.763 3.556) (end 8.763 -3.556) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 469894a0-df38-4b7b-a83b-4c15c6f5a61a)) + (fp_poly + (pts + (xy 8.763 -1.651) + (xy 6.858 -3.556) + (xy 8.763 -3.556) + ) + + (stroke (width 0.1778) (type solid)) (fill solid) (layer "F.SilkS") (tstamp ad73b6ea-4aba-4cc1-8735-dc5fd100ae60)) + (fp_rect (start -8.325 -3.15) (end 8.325 3.15) + (stroke (width 0.127) (type default)) (fill none) (layer "F.Fab") (tstamp fe4d590b-8da7-48a5-a23b-ef9b70233951)) + (pad "1" smd roundrect (at 6 -2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 63345fa6-691a-4687-b43e-25458ea31179)) + (pad "2" smd roundrect (at 6 2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 92a6fea2-0f1a-438d-b07a-2203f1bf18ac)) + (pad "3" smd roundrect (at 4 -2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp baa761c0-d9d2-43e7-9359-8977c60c5305)) + (pad "4" smd roundrect (at 4 2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp a3458fde-7673-45a8-80dc-ab645c0ad8c7)) + (pad "5" smd roundrect (at 2 -2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 789bb2a2-57e4-47f5-b1d8-6b84261a6239)) + (pad "6" smd roundrect (at 2 2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 10884f3c-da5f-4238-9ae1-81984053740f)) + (pad "7" smd roundrect (at 0 -2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp a671c764-5b58-4d5f-81cd-decf6da70798)) + (pad "8" smd roundrect (at 0 2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 352fb46c-1991-4e40-8713-4a2e68a1bf44)) + (pad "9" smd roundrect (at -2 -2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp cb4dd076-f5d2-45e8-95c6-67143a2d5570)) + (pad "10" smd roundrect (at -2 2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 40cc5b5f-89f5-4cb5-b708-5eea53baf650)) + (pad "11" smd roundrect (at -4 -2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 400847f0-0b5e-46e3-a7a8-074ad2daf32a)) + (pad "12" smd roundrect (at -4 2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 359997f2-1f9c-4698-ba0e-431701175dca)) + (pad "13" smd roundrect (at -6 -2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 70efeead-1ff7-4a8a-bd39-902debdab2f3)) + (pad "14" smd roundrect (at -6 2.125) (size 1 2.75) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (thermal_bridge_angle 45) (tstamp 48fcbb08-d612-4938-a4c4-c07e1a677403)) + (model "step/878321420.stp" + (offset (xyz 0 0 4.4)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 180)) + ) +) diff --git a/ceres.pretty/SMP-MSLD-PCS20T.kicad_mod b/ceres.pretty/SMP-MSLD-PCS20T.kicad_mod new file mode 100644 index 0000000..e57567c --- /dev/null +++ b/ceres.pretty/SMP-MSLD-PCS20T.kicad_mod @@ -0,0 +1,47 @@ +(footprint "SMP-MSLD-PCS20T" (version 20220914) (generator pcbnew) + (layer "F.Cu") + (attr smd) + (fp_text reference "REF**" (at 0 -3.429 unlocked) (layer "F.SilkS") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp 086bc2ad-497b-4fb2-815e-72b8687c86b7) + ) + (fp_text value "SMP-MSLD-PCS20T" (at 0 -0.508 unlocked) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp b185297b-95fa-4d36-ade4-ea30fd9eb096) + ) + (fp_text user "${REFERENCE}" (at 0 0.635 unlocked) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp b70824c3-cd01-4a72-beec-4b73154606fc) + ) + (fp_rect (start -2.921 -2.921) (end 2.921 2.921) + (stroke (width 0.1778) (type default)) (fill none) (layer "F.SilkS") (tstamp 80ef586c-516b-4425-b659-4e685f1c617c)) + (fp_rect (start -2.5 -2.5) (end 2.5 2.5) + (stroke (width 0.127) (type default)) (fill none) (layer "F.Fab") (tstamp 1c0c52f1-17f9-49d3-aa58-502e1eb76f31)) + (pad "0" smd custom (at 0 1.98) (size 5.2 1.24) (layers "F.Cu" "F.Paste" "F.Mask") + (thermal_bridge_angle 45) + (options (clearance outline) (anchor rect)) + (primitives + (gr_poly + (pts + (xy 1.36 0) + (xy 1.36 -4.58) + (xy 2.6 -4.58) + (xy 2.6 0) + ) + (width 0) (fill yes)) + (gr_poly + (pts + (xy -1.36 0) + (xy -1.36 -4.58) + (xy -2.6 -4.58) + (xy -2.6 0) + ) + (width 0) (fill yes)) + ) (tstamp 6e61cacd-ce7e-4157-8295-fda6396b7fbd)) + (pad "1" smd circle (at 0 0) (size 0.95 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp d409f0b4-0548-4d37-9897-248af492b0ff)) + (model "step/SMP-MSLD-PCS-18.stp" + (offset (xyz 0 0 4.09)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 -90 -90)) + ) +) diff --git a/ceres.pretty/TE_2337992-8.kicad_mod b/ceres.pretty/TE_2337992-8.kicad_mod new file mode 100644 index 0000000..7a360d6 --- /dev/null +++ b/ceres.pretty/TE_2337992-8.kicad_mod @@ -0,0 +1,97 @@ +(footprint "TE_2337992-8" (version 20220914) (generator pcbnew) + (layer "F.Cu") + (attr through_hole) + (fp_text reference "REF**" (at 0 -11.684 unlocked) (layer "F.SilkS") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp 7a8abdcc-8ed4-480d-9c33-ce52ced6d0c1) + ) + (fp_text value "TE_2337992-8" (at 0 0 unlocked) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp d48fb16e-8c4f-4594-be53-2f690054718a) + ) + (fp_text user "${REFERENCE}" (at 0 -1.27 unlocked) (layer "F.Fab") + (effects (font (size 0.635 0.635) (thickness 0.127))) + (tstamp 4055a819-b55d-4b53-b08b-4a4c164f3c87) + ) + (fp_line (start -8.382 -11.049) (end -8.382 -4.445) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 79f79a33-259b-48ba-bdf9-da6944843594)) + (fp_line (start -8.382 -11.049) (end 8.382 -11.049) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp db9cb68e-caef-43bd-b471-450b7b534681)) + (fp_line (start -8.382 -1.651) (end -8.382 7.62) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp ea7ab8f4-fe9d-43eb-b2d6-0fa71e5cf2ab)) + (fp_line (start 8.382 -11.049) (end 8.382 -4.445) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp a0e42a18-c268-4bbe-9551-9bb89a4288b7)) + (fp_line (start 8.382 -1.651) (end 8.382 7.62) + (stroke (width 0.1778) (type default)) (layer "F.SilkS") (tstamp 40afa973-25d6-4394-81e1-fa573e7f3339)) + (fp_rect (start -7.95 -10.6) (end 7.95 10.75) + (stroke (width 0.127) (type default)) (fill none) (layer "F.Fab") (tstamp c24fd854-9b02-4fe8-97f0-9f76c99aff73)) + (pad "" np_thru_hole circle (at -5.715 0) (size 3.25 3.25) (drill 3.25) (layers F&B.Cu *.Mask) (tstamp 34a34800-c43a-4617-bab0-92313a7ac4ab)) + (pad "" np_thru_hole circle (at 5.715 0) (size 3.25 3.25) (drill 3.25) (layers F&B.Cu *.Mask) (tstamp f633e52e-7970-4b7c-acec-b99e86af98d9)) + (pad "0" thru_hole oval (at -7.85 -3.05) (size 1.8 2.4) (drill oval 1 1.6) (layers *.Cu *.Mask) (tstamp 6262535a-6e20-437e-a692-9b5692a677b6)) + (pad "0" thru_hole oval (at 7.85 -3.05) (size 1.8 2.4) (drill oval 1 1.6) (layers *.Cu *.Mask) (tstamp 941acc5b-7cfd-4d72-9d4d-012d5af3065b)) + (pad "1" thru_hole circle (at -5.715 -8.96) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp 53986c62-b325-4204-b0bf-91fbe3a6e731)) + (pad "2" thru_hole circle (at -4.445 -6.42) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp c93bb57c-8433-4736-b192-9946e6eea886)) + (pad "3" thru_hole circle (at -3.175 -8.96) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp 9120766e-84ac-41b5-9a27-6f8f3c00d130)) + (pad "4" thru_hole circle (at -1.905 -6.42) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp a536096f-d523-4cbe-a940-969a4affff48)) + (pad "5" thru_hole circle (at -0.635 -8.96) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp 22d471e2-8e8e-4fc0-8036-19d635a65183)) + (pad "6" thru_hole circle (at 0.635 -6.42) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp 349d1275-6092-4a53-a8d3-2e1ba4b26886)) + (pad "7" thru_hole circle (at 1.905 -8.96) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp 571abd52-b74b-4a64-aa3b-ff8e6268f1ea)) + (pad "8" thru_hole circle (at 3.175 -6.42) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp 986c271f-b93e-4b4f-b47d-ee5732f3bbe5)) + (pad "9" thru_hole circle (at 4.445 -8.96) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp 6ed59ab6-979e-44f0-92a4-358e651ffd17)) + (pad "10" thru_hole circle (at 5.715 -6.42) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp 11fed7bb-51b4-4483-a3b8-e5fc34e5fea8)) + (pad "11" thru_hole circle (at -5.715 -3.81) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp 25f5314c-fa0f-45dd-bcb9-57980fd0e472)) + (pad "12" thru_hole circle (at -3.175 -2.56) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp f4600882-a34b-4978-ac83-2b5e357fc86a)) + (pad "13" thru_hole circle (at 3.175 -2.56) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp cfbc6947-98ca-43e0-b9ae-2aa62ed1bcb7)) + (pad "14" thru_hole circle (at 5.715 -3.81) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp ac0084da-5c07-4086-a742-694364288f0b)) + (pad "15" thru_hole circle (at -6.64 4.06) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp 74ee4f0f-0301-4f2f-9370-c6a0ecd4d46b)) + (pad "16" thru_hole circle (at -4.1 4.06) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp f626a152-7d1a-471c-a9b8-504f6dd265c3)) + (pad "17" thru_hole circle (at 4.1 4.06) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp 03d90b68-3bc8-4d7e-966f-ab3fe6713fb1)) + (pad "18" thru_hole circle (at 6.64 4.06) (size 1.6 1.6) (drill 0.9) (layers *.Cu *.Mask) (tstamp d4948d12-cd56-43a6-b0bc-698c7803b84e)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 345ada33-754a-469a-b796-2d120a18ad47) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks not_allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508) (island_removal_mode 2) (island_area_min 10)) + (polygon + (pts + (xy -6.855 0) + (xy -7.95 0) + (xy -7.95 -2) + (xy -6.855 -2) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 4db5a0c4-61f7-457b-8194-e6c17df4ddb0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks not_allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508) (island_removal_mode 2) (island_area_min 10)) + (polygon + (pts + (xy 6.985 10.75) + (xy -6.985 10.75) + (xy -6.985 8.05) + (xy 6.985 8.05) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 986cd217-7069-43cc-a488-258bdbee9e48) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks not_allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508) (island_removal_mode 2) (island_area_min 10)) + (polygon + (pts + (xy 7.95 0) + (xy 6.855 0) + (xy 6.855 -2) + (xy 7.95 -2) + ) + ) + ) + (model "step/2337992-8.stp" + (offset (xyz 0 -10.75 6.7)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) +) diff --git a/ceres.pretty/step/2337992-8.stp b/ceres.pretty/step/2337992-8.stp new file mode 100644 index 0000000..68fa934 --- /dev/null +++ b/ceres.pretty/step/2337992-8.stp @@ -0,0 +1,14116 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('C-2337992-8','2018-11-27T01:45:03',('workeradm'),( +'TE Connectivity Ltd.'),'CREO PARAMETRIC BY PTC INC, 2018190', +'CREO PARAMETRIC BY PTC INC, 2018190',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#2=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3=VECTOR('',#2,1.55E1); +#4=CARTESIAN_POINT('',(7.75E0,6.55E0,0.E0)); +#5=LINE('',#4,#3); +#6=DIRECTION('',(1.E0,0.E0,0.E0)); +#7=VECTOR('',#6,4.57E0); +#8=CARTESIAN_POINT('',(2.43E0,-6.55E0,0.E0)); +#9=LINE('',#8,#7); +#10=DIRECTION('',(0.E0,1.E0,0.E0)); +#11=VECTOR('',#10,6.1E-1); +#12=CARTESIAN_POINT('',(2.43E0,-6.55E0,0.E0)); +#13=LINE('',#12,#11); +#14=DIRECTION('',(1.E0,0.E0,0.E0)); +#15=VECTOR('',#14,4.62E0); +#16=CARTESIAN_POINT('',(2.43E0,-5.94E0,0.E0)); +#17=LINE('',#16,#15); +#18=DIRECTION('',(0.E0,1.E0,0.E0)); +#19=VECTOR('',#18,2.35E0); +#20=CARTESIAN_POINT('',(7.05E0,-5.94E0,0.E0)); +#21=LINE('',#20,#19); +#22=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23=VECTOR('',#22,7.E-1); +#24=CARTESIAN_POINT('',(7.05E0,-3.59E0,0.E0)); +#25=LINE('',#24,#23); +#26=DIRECTION('',(0.E0,1.E0,0.E0)); +#27=VECTOR('',#26,2.38E0); +#28=CARTESIAN_POINT('',(6.35E0,-3.59E0,0.E0)); +#29=LINE('',#28,#27); +#30=DIRECTION('',(0.E0,-1.E0,0.E0)); +#31=VECTOR('',#30,2.E0); +#32=CARTESIAN_POINT('',(6.35E0,7.9E-1,0.E0)); +#33=LINE('',#32,#31); +#34=DIRECTION('',(0.E0,1.E0,0.E0)); +#35=VECTOR('',#34,3.38E0); +#36=CARTESIAN_POINT('',(6.35E0,7.9E-1,0.E0)); +#37=LINE('',#36,#35); +#38=CARTESIAN_POINT('',(6.1E0,4.17E0,0.E0)); +#39=DIRECTION('',(0.E0,0.E0,1.E0)); +#40=DIRECTION('',(1.E0,0.E0,0.E0)); +#41=AXIS2_PLACEMENT_3D('',#38,#39,#40); +#43=DIRECTION('',(-1.E0,0.E0,0.E0)); +#44=VECTOR('',#43,1.24E1); +#45=CARTESIAN_POINT('',(6.1E0,4.42E0,0.E0)); +#46=LINE('',#45,#44); +#47=CARTESIAN_POINT('',(-6.3E0,4.17E0,0.E0)); +#48=DIRECTION('',(0.E0,0.E0,1.E0)); +#49=DIRECTION('',(0.E0,1.E0,0.E0)); +#50=AXIS2_PLACEMENT_3D('',#47,#48,#49); +#52=DIRECTION('',(0.E0,-1.E0,0.E0)); +#53=VECTOR('',#52,3.38E0); +#54=CARTESIAN_POINT('',(-6.55E0,4.17E0,0.E0)); +#55=LINE('',#54,#53); +#56=DIRECTION('',(0.E0,-1.E0,0.E0)); +#57=VECTOR('',#56,2.E0); +#58=CARTESIAN_POINT('',(-6.55E0,7.9E-1,0.E0)); +#59=LINE('',#58,#57); +#60=DIRECTION('',(0.E0,-1.E0,0.E0)); +#61=VECTOR('',#60,2.38E0); +#62=CARTESIAN_POINT('',(-6.55E0,-1.21E0,0.E0)); +#63=LINE('',#62,#61); +#64=DIRECTION('',(-1.E0,0.E0,0.E0)); +#65=VECTOR('',#64,6.E-1); +#66=CARTESIAN_POINT('',(-6.55E0,-3.59E0,0.E0)); +#67=LINE('',#66,#65); +#68=DIRECTION('',(0.E0,-1.E0,0.E0)); +#69=VECTOR('',#68,2.35E0); +#70=CARTESIAN_POINT('',(-7.15E0,-3.59E0,0.E0)); +#71=LINE('',#70,#69); +#72=DIRECTION('',(1.E0,0.E0,0.E0)); +#73=VECTOR('',#72,4.52E0); +#74=CARTESIAN_POINT('',(-7.15E0,-5.94E0,0.E0)); +#75=LINE('',#74,#73); +#76=DIRECTION('',(0.E0,1.E0,0.E0)); +#77=VECTOR('',#76,6.1E-1); +#78=CARTESIAN_POINT('',(-2.63E0,-6.55E0,0.E0)); +#79=LINE('',#78,#77); +#80=DIRECTION('',(1.E0,0.E0,0.E0)); +#81=VECTOR('',#80,4.37E0); +#82=CARTESIAN_POINT('',(-7.E0,-6.55E0,0.E0)); +#83=LINE('',#82,#81); +#84=DIRECTION('',(-1.E0,0.E0,0.E0)); +#85=VECTOR('',#84,1.55E1); +#86=CARTESIAN_POINT('',(7.75E0,6.75E0,-2.E-1)); +#87=LINE('',#86,#85); +#88=DIRECTION('',(0.E0,0.E0,-1.E0)); +#89=VECTOR('',#88,2.095E1); +#90=CARTESIAN_POINT('',(-7.75E0,6.75E0,-2.E-1)); +#91=LINE('',#90,#89); +#92=DIRECTION('',(1.E0,0.E0,0.E0)); +#93=VECTOR('',#92,1.55E1); +#94=CARTESIAN_POINT('',(-7.75E0,6.75E0,-2.115E1)); +#95=LINE('',#94,#93); +#96=DIRECTION('',(0.E0,0.E0,-1.E0)); +#97=VECTOR('',#96,2.095E1); +#98=CARTESIAN_POINT('',(7.75E0,6.75E0,-2.E-1)); +#99=LINE('',#98,#97); +#100=CARTESIAN_POINT('',(-7.75E0,6.55E0,-2.E-1)); +#101=DIRECTION('',(0.E0,0.E0,1.E0)); +#102=DIRECTION('',(0.E0,1.E0,0.E0)); +#103=AXIS2_PLACEMENT_3D('',#100,#101,#102); +#105=CARTESIAN_POINT('',(-7.75E0,6.55E0,-2.E-1)); +#106=DIRECTION('',(-1.E0,0.E0,0.E0)); +#107=DIRECTION('',(0.E0,0.E0,1.E0)); +#108=AXIS2_PLACEMENT_3D('',#105,#106,#107); +#110=CARTESIAN_POINT('',(-7.75E0,6.55E0,-2.E-1)); +#111=DIRECTION('',(0.E0,1.E0,0.E0)); +#112=DIRECTION('',(-1.E0,0.E0,0.E0)); +#113=AXIS2_PLACEMENT_3D('',#110,#111,#112); +#115=DIRECTION('',(0.E0,1.E0,0.E0)); +#116=VECTOR('',#115,1.31E1); +#117=CARTESIAN_POINT('',(-7.95E0,-6.55E0,-2.E-1)); +#118=LINE('',#117,#116); +#119=DIRECTION('',(0.E0,-1.E0,0.E0)); +#120=VECTOR('',#119,1.31E1); +#121=CARTESIAN_POINT('',(-7.75E0,6.55E0,0.E0)); +#122=LINE('',#121,#120); +#123=DIRECTION('',(0.E0,-1.E0,-3.552713678800E-14)); +#124=VECTOR('',#123,1.E-1); +#125=CARTESIAN_POINT('',(-7.95E0,-3.25E0,-1.915E1)); +#126=LINE('',#125,#124); +#127=DIRECTION('',(0.E0,0.E0,1.E0)); +#128=VECTOR('',#127,1.2E0); +#129=CARTESIAN_POINT('',(-7.95E0,-3.25E0,-2.035E1)); +#130=LINE('',#129,#128); +#131=DIRECTION('',(0.E0,1.E0,0.E0)); +#132=VECTOR('',#131,1.2E0); +#133=CARTESIAN_POINT('',(-7.95E0,-4.45E0,-2.035E1)); +#134=LINE('',#133,#132); +#135=DIRECTION('',(0.E0,0.E0,-1.E0)); +#136=VECTOR('',#135,1.2E0); +#137=CARTESIAN_POINT('',(-7.95E0,-4.45E0,-1.915E1)); +#138=LINE('',#137,#136); +#139=DIRECTION('',(0.E0,-1.E0,3.552713678801E-14)); +#140=VECTOR('',#139,1.E-1); +#141=CARTESIAN_POINT('',(-7.95E0,-4.35E0,-1.915E1)); +#142=LINE('',#141,#140); +#143=DIRECTION('',(0.E0,1.E0,0.E0)); +#144=VECTOR('',#143,1.E0); +#145=CARTESIAN_POINT('',(-7.95E0,-4.35E0,-1.915E1)); +#146=LINE('',#145,#144); +#147=DIRECTION('',(-1.346083738301E-12,-1.E0,-1.227659949008E-12)); +#148=VECTOR('',#147,1.8E0); +#149=CARTESIAN_POINT('',(-7.949999999998E0,-5.55E0,-1.418729833462E1)); +#150=LINE('',#149,#148); +#151=CARTESIAN_POINT('',(-7.95E0,-7.349997612238E0,-1.380000000001E1)); +#152=DIRECTION('',(-1.E0,0.E0,0.E0)); +#153=DIRECTION('',(0.E0,-6.165174246008E-6,-9.999999999810E-1)); +#154=AXIS2_PLACEMENT_3D('',#151,#152,#153); +#156=DIRECTION('',(-1.346083738301E-12,1.E0,1.226673084097E-12)); +#157=VECTOR('',#156,1.8E0); +#158=CARTESIAN_POINT('',(-7.949999999998E0,-7.35E0,-1.341270166538E1)); +#159=LINE('',#158,#157); +#160=CARTESIAN_POINT('',(-7.95E0,-5.550002387762E0,-1.379999999999E1)); +#161=DIRECTION('',(-1.E0,0.E0,0.E0)); +#162=DIRECTION('',(0.E0,6.165174090066E-6,9.999999999810E-1)); +#163=AXIS2_PLACEMENT_3D('',#160,#161,#162); +#165=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-8.15E0)); +#166=DIRECTION('',(1.E0,0.E0,0.E0)); +#167=DIRECTION('',(0.E0,-1.E0,0.E0)); +#168=AXIS2_PLACEMENT_3D('',#165,#166,#167); +#170=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-8.55E0)); +#171=DIRECTION('',(1.E0,0.E0,0.E0)); +#172=DIRECTION('',(0.E0,0.E0,-1.E0)); +#173=AXIS2_PLACEMENT_3D('',#170,#171,#172); +#175=DIRECTION('',(0.E0,0.E0,1.E0)); +#176=VECTOR('',#175,2.E0); +#177=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-1.075E1)); +#178=LINE('',#177,#176); +#179=DIRECTION('',(0.E0,0.E0,-1.E0)); +#180=VECTOR('',#179,2.E0); +#181=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-1.075E1)); +#182=LINE('',#181,#180); +#183=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-1.295E1)); +#184=DIRECTION('',(1.E0,0.E0,0.E0)); +#185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#186=AXIS2_PLACEMENT_3D('',#183,#184,#185); +#188=CARTESIAN_POINT('',(-7.95E0,-8.15E0,-1.38E1)); +#189=DIRECTION('',(1.E0,0.E0,0.E0)); +#190=DIRECTION('',(0.E0,0.E0,1.E0)); +#191=AXIS2_PLACEMENT_3D('',#188,#189,#190); +#193=DIRECTION('',(0.E0,1.E0,0.E0)); +#194=VECTOR('',#193,1.6E0); +#195=CARTESIAN_POINT('',(-7.95E0,-8.15E0,-1.445E1)); +#196=LINE('',#195,#194); +#197=DIRECTION('',(0.E0,1.E0,0.E0)); +#198=VECTOR('',#197,1.05E0); +#199=CARTESIAN_POINT('',(-7.95E0,-6.55E0,-1.545E1)); +#200=LINE('',#199,#198); +#201=DIRECTION('',(0.E0,0.E0,1.E0)); +#202=VECTOR('',#201,5.7E0); +#203=CARTESIAN_POINT('',(-7.95E0,-5.5E0,-2.115E1)); +#204=LINE('',#203,#202); +#205=DIRECTION('',(0.E0,1.E0,0.E0)); +#206=VECTOR('',#205,1.205E1); +#207=CARTESIAN_POINT('',(-7.95E0,-5.5E0,-2.115E1)); +#208=LINE('',#207,#206); +#209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#210=VECTOR('',#209,2.095E1); +#211=CARTESIAN_POINT('',(-7.95E0,6.55E0,-2.E-1)); +#212=LINE('',#211,#210); +#213=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#214=VECTOR('',#213,2.E-1); +#215=CARTESIAN_POINT('',(-7.75E0,-3.35E0,-1.915E1)); +#216=LINE('',#215,#214); +#217=DIRECTION('',(1.E0,0.E0,0.E0)); +#218=VECTOR('',#217,2.E-1); +#219=CARTESIAN_POINT('',(-7.95E0,-3.25E0,-1.915E1)); +#220=LINE('',#219,#218); +#221=DIRECTION('',(-1.E0,0.E0,-1.776356839400E-14)); +#222=VECTOR('',#221,2.E-1); +#223=CARTESIAN_POINT('',(-7.75E0,-4.35E0,-1.915E1)); +#224=LINE('',#223,#222); +#225=DIRECTION('',(2.039542541120E-1,0.E0,-9.789804197376E-1)); +#226=VECTOR('',#225,9.806120537705E-1); +#227=CARTESIAN_POINT('',(-7.95E0,-3.35E0,-1.915E1)); +#228=LINE('',#227,#226); +#229=DIRECTION('',(2.039542541120E-1,0.E0,-9.789804197376E-1)); +#230=VECTOR('',#229,9.806120537705E-1); +#231=CARTESIAN_POINT('',(-7.95E0,-4.35E0,-1.915E1)); +#232=LINE('',#231,#230); +#233=DIRECTION('',(0.E0,0.E0,-1.E0)); +#234=VECTOR('',#233,9.6E-1); +#235=CARTESIAN_POINT('',(-7.75E0,-3.35E0,-1.915E1)); +#236=LINE('',#235,#234); +#237=DIRECTION('',(0.E0,1.E0,0.E0)); +#238=VECTOR('',#237,1.E0); +#239=CARTESIAN_POINT('',(-7.75E0,-4.35E0,-2.011E1)); +#240=LINE('',#239,#238); +#241=DIRECTION('',(0.E0,0.E0,-1.E0)); +#242=VECTOR('',#241,9.6E-1); +#243=CARTESIAN_POINT('',(-7.75E0,-4.35E0,-1.915E1)); +#244=LINE('',#243,#242); +#245=DIRECTION('',(0.E0,-1.E0,0.E0)); +#246=VECTOR('',#245,1.E-1); +#247=CARTESIAN_POINT('',(-7.75E0,-4.35E0,-1.915E1)); +#248=LINE('',#247,#246); +#249=DIRECTION('',(0.E0,0.E0,-1.E0)); +#250=VECTOR('',#249,1.2E0); +#251=CARTESIAN_POINT('',(-7.75E0,-4.45E0,-1.915E1)); +#252=LINE('',#251,#250); +#253=DIRECTION('',(0.E0,1.E0,0.E0)); +#254=VECTOR('',#253,1.2E0); +#255=CARTESIAN_POINT('',(-7.75E0,-4.45E0,-2.035E1)); +#256=LINE('',#255,#254); +#257=DIRECTION('',(0.E0,0.E0,1.E0)); +#258=VECTOR('',#257,1.2E0); +#259=CARTESIAN_POINT('',(-7.75E0,-3.25E0,-2.035E1)); +#260=LINE('',#259,#258); +#261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#262=VECTOR('',#261,1.E-1); +#263=CARTESIAN_POINT('',(-7.75E0,-3.25E0,-1.915E1)); +#264=LINE('',#263,#262); +#265=DIRECTION('',(1.E0,0.E0,0.E0)); +#266=VECTOR('',#265,2.E-1); +#267=CARTESIAN_POINT('',(-7.95E0,-4.45E0,-1.915E1)); +#268=LINE('',#267,#266); +#269=DIRECTION('',(1.E0,0.E0,0.E0)); +#270=VECTOR('',#269,2.E-1); +#271=CARTESIAN_POINT('',(-7.95E0,-4.45E0,-2.035E1)); +#272=LINE('',#271,#270); +#273=DIRECTION('',(1.E0,0.E0,0.E0)); +#274=VECTOR('',#273,2.E-1); +#275=CARTESIAN_POINT('',(-7.95E0,-3.25E0,-2.035E1)); +#276=LINE('',#275,#274); +#277=CARTESIAN_POINT('',(-7.949999999998E0,-7.35E0,-1.341270166538E1)); +#278=CARTESIAN_POINT('',(-7.953407223566E0,-7.35E0,-1.341644056609E1)); +#279=CARTESIAN_POINT('',(-7.960123086058E0,-7.349996504074E0, +-1.342400840336E1)); +#280=CARTESIAN_POINT('',(-7.969894223471E0,-7.349997909170E0, +-1.343562256648E1)); +#281=CARTESIAN_POINT('',(-7.979355436492E0,-7.349997532675E0, +-1.344749053842E1)); +#282=CARTESIAN_POINT('',(-7.988500161184E0,-7.349997633557E0, +-1.345960407739E1)); +#283=CARTESIAN_POINT('',(-7.997322013511E0,-7.349997606526E0, +-1.347195473030E1)); +#284=CARTESIAN_POINT('',(-8.005814851514E0,-7.349997613769E0, +-1.348453389718E1)); +#285=CARTESIAN_POINT('',(-8.013972758254E0,-7.349997611828E0, +-1.349733281457E1)); +#286=CARTESIAN_POINT('',(-8.021790051253E0,-7.349997612348E0, +-1.351034256711E1)); +#287=CARTESIAN_POINT('',(-8.029261284993E0,-7.349997612209E0, +-1.352355409225E1)); +#288=CARTESIAN_POINT('',(-8.036381255102E0,-7.349997612246E0, +-1.353695818701E1)); +#289=CARTESIAN_POINT('',(-8.043145001870E0,-7.349997612236E0, +-1.355054551419E1)); +#290=CARTESIAN_POINT('',(-8.049547813738E0,-7.349997612239E0, +-1.356430660901E1)); +#291=CARTESIAN_POINT('',(-8.055585230566E0,-7.349997612238E0, +-1.357823188561E1)); +#292=CARTESIAN_POINT('',(-8.061253046747E0,-7.349997612238E0, +-1.359231164379E1)); +#293=CARTESIAN_POINT('',(-8.066547314135E0,-7.349997612238E0, +-1.360653607570E1)); +#294=CARTESIAN_POINT('',(-8.071464344792E0,-7.349997612238E0, +-1.362089527275E1)); +#295=CARTESIAN_POINT('',(-8.076000713563E0,-7.349997612238E0, +-1.363537923246E1)); +#296=CARTESIAN_POINT('',(-8.080153260454E0,-7.349997612238E0, +-1.364997786543E1)); +#297=CARTESIAN_POINT('',(-8.083919092841E0,-7.349997612238E0, +-1.366468100240E1)); +#298=CARTESIAN_POINT('',(-8.087295587484E0,-7.349997612238E0, +-1.367947840133E1)); +#299=CARTESIAN_POINT('',(-8.090280392337E0,-7.349997612238E0, +-1.369435975437E1)); +#300=CARTESIAN_POINT('',(-8.092871428253E0,-7.349997612238E0, +-1.370931469573E1)); +#301=CARTESIAN_POINT('',(-8.095066890196E0,-7.349997612238E0, +-1.372433280637E1)); +#302=CARTESIAN_POINT('',(-8.096865249354E0,-7.349997612238E0, +-1.373940363062E1)); +#303=CARTESIAN_POINT('',(-8.098265250884E0,-7.349997612238E0, +-1.375451664700E1)); +#304=CARTESIAN_POINT('',(-8.099265925896E0,-7.349997612238E0, +-1.376966139296E1)); +#305=CARTESIAN_POINT('',(-8.099866539525E0,-7.349997612238E0, +-1.378482676998E1)); +#306=CARTESIAN_POINT('',(-8.100066730237E0,-7.349997612238E0, +-1.379999999991E1)); +#307=CARTESIAN_POINT('',(-8.099866539526E0,-7.349997612238E0,-1.381517323E1)); +#308=CARTESIAN_POINT('',(-8.099265925901E0,-7.349997612238E0, +-1.383033860692E1)); +#309=CARTESIAN_POINT('',(-8.098265250893E0,-7.349997612238E0, +-1.384548335288E1)); +#310=CARTESIAN_POINT('',(-8.096865249366E0,-7.349997612238E0, +-1.386059636927E1)); +#311=CARTESIAN_POINT('',(-8.095066890211E0,-7.349997612238E0, +-1.387566719351E1)); +#312=CARTESIAN_POINT('',(-8.092871428271E0,-7.349997612238E0, +-1.389068530415E1)); +#313=CARTESIAN_POINT('',(-8.090280392358E0,-7.349997612238E0, +-1.390564024552E1)); +#314=CARTESIAN_POINT('',(-8.087295587508E0,-7.349997612238E0, +-1.392052159856E1)); +#315=CARTESIAN_POINT('',(-8.083919092868E0,-7.349997612238E0, +-1.393531899749E1)); +#316=CARTESIAN_POINT('',(-8.080153260484E0,-7.349997612238E0, +-1.395002213446E1)); +#317=CARTESIAN_POINT('',(-8.076000713595E0,-7.349997612238E0, +-1.396462076743E1)); +#318=CARTESIAN_POINT('',(-8.071464344828E0,-7.349997612238E0, +-1.397910472714E1)); +#319=CARTESIAN_POINT('',(-8.066547314174E0,-7.349997612238E0, +-1.399346392419E1)); +#320=CARTESIAN_POINT('',(-8.061253046789E0,-7.349997612238E0, +-1.400768835611E1)); +#321=CARTESIAN_POINT('',(-8.055585230610E0,-7.349997612238E0, +-1.402176811428E1)); +#322=CARTESIAN_POINT('',(-8.049547813785E0,-7.349997612239E0, +-1.403569339088E1)); +#323=CARTESIAN_POINT('',(-8.043145001920E0,-7.349997612236E0, +-1.404945448570E1)); +#324=CARTESIAN_POINT('',(-8.036381255155E0,-7.349997612246E0, +-1.406304181289E1)); +#325=CARTESIAN_POINT('',(-8.029261285049E0,-7.349997612209E0, +-1.407644590764E1)); +#326=CARTESIAN_POINT('',(-8.021790051311E0,-7.349997612348E0, +-1.408965743279E1)); +#327=CARTESIAN_POINT('',(-8.013972758315E0,-7.349997611828E0, +-1.410266718533E1)); +#328=CARTESIAN_POINT('',(-8.005814851577E0,-7.349997613769E0, +-1.411546610272E1)); +#329=CARTESIAN_POINT('',(-7.997322013578E0,-7.349997606526E0, +-1.412804526961E1)); +#330=CARTESIAN_POINT('',(-7.988500161253E0,-7.349997633557E0, +-1.414039592251E1)); +#331=CARTESIAN_POINT('',(-7.979355436564E0,-7.349997532675E0, +-1.415250946149E1)); +#332=CARTESIAN_POINT('',(-7.969894223541E0,-7.349997909170E0, +-1.416437743343E1)); +#333=CARTESIAN_POINT('',(-7.960123086145E0,-7.349996504074E0, +-1.417599159653E1)); +#334=CARTESIAN_POINT('',(-7.953407223606E0,-7.35E0,-1.418355943387E1)); +#335=CARTESIAN_POINT('',(-7.95E0,-7.35E0,-1.418729833462E1)); +#337=CARTESIAN_POINT('',(-7.949999999998E0,-5.55E0,-1.418729833462E1)); +#338=CARTESIAN_POINT('',(-7.953407223583E0,-5.55E0,-1.418355943389E1)); +#339=CARTESIAN_POINT('',(-7.960123086097E0,-5.550003495926E0, +-1.417599159659E1)); +#340=CARTESIAN_POINT('',(-7.969894223496E0,-5.550002090830E0, +-1.416437743349E1)); +#341=CARTESIAN_POINT('',(-7.979355436515E0,-5.550002467324E0, +-1.415250946155E1)); +#342=CARTESIAN_POINT('',(-7.988500161201E0,-5.550002366443E0, +-1.414039592258E1)); +#343=CARTESIAN_POINT('',(-7.997322013523E0,-5.550002393474E0, +-1.412804526969E1)); +#344=CARTESIAN_POINT('',(-8.005814851521E0,-5.550002386231E0, +-1.411546610280E1)); +#345=CARTESIAN_POINT('',(-8.013972758257E0,-5.550002388172E0, +-1.410266718542E1)); +#346=CARTESIAN_POINT('',(-8.021790051252E0,-5.550002387652E0, +-1.408965743289E1)); +#347=CARTESIAN_POINT('',(-8.029261284989E0,-5.550002387791E0, +-1.407644590775E1)); +#348=CARTESIAN_POINT('',(-8.036381255095E0,-5.550002387754E0, +-1.406304181301E1)); +#349=CARTESIAN_POINT('',(-8.043145001860E0,-5.550002387764E0, +-1.404945448583E1)); +#350=CARTESIAN_POINT('',(-8.049547813725E0,-5.550002387761E0, +-1.403569339102E1)); +#351=CARTESIAN_POINT('',(-8.055585230551E0,-5.550002387762E0, +-1.402176811442E1)); +#352=CARTESIAN_POINT('',(-8.061253046731E0,-5.550002387762E0, +-1.400768835626E1)); +#353=CARTESIAN_POINT('',(-8.066547314117E0,-5.550002387762E0, +-1.399346392435E1)); +#354=CARTESIAN_POINT('',(-8.071464344774E0,-5.550002387762E0, +-1.397910472731E1)); +#355=CARTESIAN_POINT('',(-8.076000713543E0,-5.550002387762E0, +-1.396462076761E1)); +#356=CARTESIAN_POINT('',(-8.080153260434E0,-5.550002387762E0, +-1.395002213464E1)); +#357=CARTESIAN_POINT('',(-8.083919092822E0,-5.550002387762E0, +-1.393531899768E1)); +#358=CARTESIAN_POINT('',(-8.087295587465E0,-5.550002387762E0, +-1.392052159876E1)); +#359=CARTESIAN_POINT('',(-8.090280392319E0,-5.550002387762E0, +-1.390564024573E1)); +#360=CARTESIAN_POINT('',(-8.092871428237E0,-5.550002387762E0, +-1.389068530437E1)); +#361=CARTESIAN_POINT('',(-8.095066890181E0,-5.550002387762E0, +-1.387566719374E1)); +#362=CARTESIAN_POINT('',(-8.096865249341E0,-5.550002387762E0, +-1.386059636950E1)); +#363=CARTESIAN_POINT('',(-8.098265250873E0,-5.550002387762E0, +-1.384548335313E1)); +#364=CARTESIAN_POINT('',(-8.099265925888E0,-5.550002387762E0, +-1.383033860716E1)); +#365=CARTESIAN_POINT('',(-8.099866539521E0,-5.550002387762E0, +-1.381517323022E1)); +#366=CARTESIAN_POINT('',(-8.100066730240E0,-5.550002387762E0, +-1.380000000005E1)); +#367=CARTESIAN_POINT('',(-8.099866539521E0,-5.550002387762E0, +-1.378482676980E1)); +#368=CARTESIAN_POINT('',(-8.099265925891E0,-5.550002387762E0, +-1.376966139289E1)); +#369=CARTESIAN_POINT('',(-8.098265250878E0,-5.550002387762E0, +-1.375451664693E1)); +#370=CARTESIAN_POINT('',(-8.096865249347E0,-5.550002387762E0, +-1.373940363055E1)); +#371=CARTESIAN_POINT('',(-8.095066890188E0,-5.550002387762E0, +-1.372433280631E1)); +#372=CARTESIAN_POINT('',(-8.092871428245E0,-5.550002387762E0, +-1.370931469568E1)); +#373=CARTESIAN_POINT('',(-8.090280392330E0,-5.550002387762E0, +-1.369435975432E1)); +#374=CARTESIAN_POINT('',(-8.087295587477E0,-5.550002387762E0, +-1.367947840129E1)); +#375=CARTESIAN_POINT('',(-8.083919092835E0,-5.550002387762E0, +-1.366468100238E1)); +#376=CARTESIAN_POINT('',(-8.080153260449E0,-5.550002387762E0, +-1.364997786541E1)); +#377=CARTESIAN_POINT('',(-8.076000713559E0,-5.550002387762E0, +-1.363537923245E1)); +#378=CARTESIAN_POINT('',(-8.071464344791E0,-5.550002387762E0, +-1.362089527275E1)); +#379=CARTESIAN_POINT('',(-8.066547314136E0,-5.550002387762E0, +-1.360653607570E1)); +#380=CARTESIAN_POINT('',(-8.061253046751E0,-5.550002387762E0, +-1.359231164380E1)); +#381=CARTESIAN_POINT('',(-8.055585230573E0,-5.550002387762E0, +-1.357823188563E1)); +#382=CARTESIAN_POINT('',(-8.049547813748E0,-5.550002387761E0, +-1.356430660904E1)); +#383=CARTESIAN_POINT('',(-8.043145001885E0,-5.550002387764E0, +-1.355054551422E1)); +#384=CARTESIAN_POINT('',(-8.036381255121E0,-5.550002387754E0, +-1.353695818704E1)); +#385=CARTESIAN_POINT('',(-8.029261285017E0,-5.550002387791E0, +-1.352355409230E1)); +#386=CARTESIAN_POINT('',(-8.021790051281E0,-5.550002387652E0, +-1.351034256716E1)); +#387=CARTESIAN_POINT('',(-8.013972758288E0,-5.550002388172E0, +-1.349733281463E1)); +#388=CARTESIAN_POINT('',(-8.005814851553E0,-5.550002386231E0, +-1.348453389724E1)); +#389=CARTESIAN_POINT('',(-7.997322013556E0,-5.550002393474E0, +-1.347195473036E1)); +#390=CARTESIAN_POINT('',(-7.988500161235E0,-5.550002366443E0, +-1.345960407746E1)); +#391=CARTESIAN_POINT('',(-7.979355436551E0,-5.550002467324E0, +-1.344749053849E1)); +#392=CARTESIAN_POINT('',(-7.969894223532E0,-5.550002090830E0, +-1.343562256656E1)); +#393=CARTESIAN_POINT('',(-7.960123086141E0,-5.550003495926E0, +-1.342400840346E1)); +#394=CARTESIAN_POINT('',(-7.953407223604E0,-5.55E0,-1.341644056612E1)); +#395=CARTESIAN_POINT('',(-7.95E0,-5.55E0,-1.341270166538E1)); +#397=DIRECTION('',(0.E0,0.E0,1.E0)); +#398=VECTOR('',#397,1.E0); +#399=CARTESIAN_POINT('',(7.75E0,-6.55E0,-1.545E1)); +#400=LINE('',#399,#398); +#401=DIRECTION('',(-1.E0,0.E0,0.E0)); +#402=VECTOR('',#401,2.E-1); +#403=CARTESIAN_POINT('',(7.95E0,-6.55E0,-1.445E1)); +#404=LINE('',#403,#402); +#405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#406=VECTOR('',#405,1.E0); +#407=CARTESIAN_POINT('',(7.95E0,-6.55E0,-1.445E1)); +#408=LINE('',#407,#406); +#409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#410=VECTOR('',#409,2.E-1); +#411=CARTESIAN_POINT('',(7.95E0,-6.55E0,-1.545E1)); +#412=LINE('',#411,#410); +#413=DIRECTION('',(0.E0,0.E0,1.E0)); +#414=VECTOR('',#413,1.E0); +#415=CARTESIAN_POINT('',(-7.95E0,-6.55E0,-1.545E1)); +#416=LINE('',#415,#414); +#417=DIRECTION('',(1.E0,0.E0,0.E0)); +#418=VECTOR('',#417,2.E-1); +#419=CARTESIAN_POINT('',(-7.95E0,-6.55E0,-1.445E1)); +#420=LINE('',#419,#418); +#421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#422=VECTOR('',#421,1.E0); +#423=CARTESIAN_POINT('',(-7.75E0,-6.55E0,-1.445E1)); +#424=LINE('',#423,#422); +#425=DIRECTION('',(1.E0,0.E0,0.E0)); +#426=VECTOR('',#425,2.E-1); +#427=CARTESIAN_POINT('',(-7.95E0,-6.55E0,-1.545E1)); +#428=LINE('',#427,#426); +#429=DIRECTION('',(-1.E0,0.E0,0.E0)); +#430=VECTOR('',#429,7.5E-1); +#431=CARTESIAN_POINT('',(7.75E0,-6.55E0,0.E0)); +#432=LINE('',#431,#430); +#433=CARTESIAN_POINT('',(7.75E0,-6.55E0,-2.E-1)); +#434=DIRECTION('',(0.E0,-1.E0,0.E0)); +#435=DIRECTION('',(1.E0,0.E0,0.E0)); +#436=AXIS2_PLACEMENT_3D('',#433,#434,#435); +#438=DIRECTION('',(0.E0,0.E0,1.E0)); +#439=VECTOR('',#438,7.95E0); +#440=CARTESIAN_POINT('',(7.95E0,-6.55E0,-8.15E0)); +#441=LINE('',#440,#439); +#442=DIRECTION('',(1.E0,0.E0,0.E0)); +#443=VECTOR('',#442,2.E-1); +#444=CARTESIAN_POINT('',(7.75E0,-6.55E0,-8.15E0)); +#445=LINE('',#444,#443); +#446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#447=VECTOR('',#446,7.95E0); +#448=CARTESIAN_POINT('',(7.75E0,-6.55E0,-2.E-1)); +#449=LINE('',#448,#447); +#450=DIRECTION('',(1.E0,0.E0,0.E0)); +#451=VECTOR('',#450,7.5E-1); +#452=CARTESIAN_POINT('',(7.E0,-6.55E0,-2.E-1)); +#453=LINE('',#452,#451); +#454=DIRECTION('',(1.E0,0.E0,0.E0)); +#455=VECTOR('',#454,7.5E-1); +#456=CARTESIAN_POINT('',(-7.75E0,-6.55E0,-2.E-1)); +#457=LINE('',#456,#455); +#458=DIRECTION('',(0.E0,0.E0,1.E0)); +#459=VECTOR('',#458,7.95E0); +#460=CARTESIAN_POINT('',(-7.75E0,-6.55E0,-8.15E0)); +#461=LINE('',#460,#459); +#462=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#463=VECTOR('',#462,2.E-1); +#464=CARTESIAN_POINT('',(-7.95E0,-6.55E0,-8.15E0)); +#465=LINE('',#464,#463); +#466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#467=VECTOR('',#466,7.95E0); +#468=CARTESIAN_POINT('',(-7.95E0,-6.55E0,-2.E-1)); +#469=LINE('',#468,#467); +#470=CARTESIAN_POINT('',(-7.75E0,-6.55E0,-2.E-1)); +#471=DIRECTION('',(0.E0,-1.E0,0.E0)); +#472=DIRECTION('',(0.E0,0.E0,1.E0)); +#473=AXIS2_PLACEMENT_3D('',#470,#471,#472); +#475=DIRECTION('',(-1.E0,0.E0,0.E0)); +#476=VECTOR('',#475,7.5E-1); +#477=CARTESIAN_POINT('',(-7.E0,-6.55E0,0.E0)); +#478=LINE('',#477,#476); +#479=DIRECTION('',(0.E0,-1.E0,0.E0)); +#480=VECTOR('',#479,1.75E0); +#481=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.315E1)); +#482=LINE('',#481,#480); +#483=CARTESIAN_POINT('',(7.75E0,-8.15E0,-1.38E1)); +#484=DIRECTION('',(1.E0,0.E0,0.E0)); +#485=DIRECTION('',(0.E0,0.E0,1.E0)); +#486=AXIS2_PLACEMENT_3D('',#483,#484,#485); +#488=DIRECTION('',(0.E0,1.E0,0.E0)); +#489=VECTOR('',#488,1.6E0); +#490=CARTESIAN_POINT('',(7.75E0,-8.15E0,-1.445E1)); +#491=LINE('',#490,#489); +#492=DIRECTION('',(0.E0,-1.E0,0.E0)); +#493=VECTOR('',#492,1.5E-1); +#494=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.545E1)); +#495=LINE('',#494,#493); +#496=DIRECTION('',(0.E0,0.E0,1.E0)); +#497=VECTOR('',#496,2.3E0); +#498=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.545E1)); +#499=LINE('',#498,#497); +#500=DIRECTION('',(0.E0,-1.E0,0.E0)); +#501=VECTOR('',#500,1.8E0); +#502=CARTESIAN_POINT('',(7.95E0,-6.35E0,-1.315E1)); +#503=LINE('',#502,#501); +#504=DIRECTION('',(0.E0,-1.E0,7.105427357635E-14)); +#505=VECTOR('',#504,4.999999999976E-2); +#506=CARTESIAN_POINT('',(7.75E0,-6.35E0,-1.275E1)); +#507=LINE('',#506,#505); +#508=CARTESIAN_POINT('',(7.75E0,-6.35E0,-1.295E1)); +#509=DIRECTION('',(1.E0,0.E0,0.E0)); +#510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#511=AXIS2_PLACEMENT_3D('',#508,#509,#510); +#513=DIRECTION('',(-1.776356839400E-14,1.E0,3.552713678801E-14)); +#514=VECTOR('',#513,5.E-2); +#515=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.315E1)); +#516=LINE('',#515,#514); +#517=DIRECTION('',(0.E0,0.E0,1.E0)); +#518=VECTOR('',#517,2.406350832690E0); +#519=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.075E1)); +#520=LINE('',#519,#518); +#521=CARTESIAN_POINT('',(7.75E0,-6.35E0,-8.15E0)); +#522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#523=DIRECTION('',(0.E0,-6.044054146059E-12,-1.E0)); +#524=AXIS2_PLACEMENT_3D('',#521,#522,#523); +#526=CARTESIAN_POINT('',(7.75E0,-6.35E0,-8.55E0)); +#527=DIRECTION('',(1.E0,0.E0,0.E0)); +#528=DIRECTION('',(0.E0,0.E0,-1.E0)); +#529=AXIS2_PLACEMENT_3D('',#526,#527,#528); +#531=DIRECTION('',(0.E0,-1.E0,0.E0)); +#532=VECTOR('',#531,5.E-2); +#533=CARTESIAN_POINT('',(7.75E0,-6.35E0,-1.075E1)); +#534=LINE('',#533,#532); +#535=DIRECTION('',(0.E0,0.E0,1.E0)); +#536=VECTOR('',#535,8.143649167310E0); +#537=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-8.343649167310E0)); +#538=LINE('',#537,#536); +#539=DIRECTION('',(1.E0,0.E0,0.E0)); +#540=VECTOR('',#539,7.5E-1); +#541=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-2.E-1)); +#542=LINE('',#541,#540); +#543=DIRECTION('',(0.E0,0.E0,1.E0)); +#544=VECTOR('',#543,2.5E0); +#545=CARTESIAN_POINT('',(-7.E0,-6.4E0,-2.7E0)); +#546=LINE('',#545,#544); +#547=DIRECTION('',(-1.E0,0.E0,0.E0)); +#548=VECTOR('',#547,1.4E1); +#549=CARTESIAN_POINT('',(7.E0,-6.4E0,-2.7E0)); +#550=LINE('',#549,#548); +#551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#552=VECTOR('',#551,2.5E0); +#553=CARTESIAN_POINT('',(7.E0,-6.4E0,-2.E-1)); +#554=LINE('',#553,#552); +#555=DIRECTION('',(1.E0,0.E0,0.E0)); +#556=VECTOR('',#555,7.5E-1); +#557=CARTESIAN_POINT('',(7.E0,-6.4E0,-2.E-1)); +#558=LINE('',#557,#556); +#559=DIRECTION('',(0.E0,0.E0,-1.E0)); +#560=VECTOR('',#559,8.143649167310E0); +#561=CARTESIAN_POINT('',(7.75E0,-6.4E0,-2.E-1)); +#562=LINE('',#561,#560); +#563=DIRECTION('',(-1.E0,0.E0,0.E0)); +#564=VECTOR('',#563,6.350832689629E-3); +#565=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.075E1)); +#566=LINE('',#565,#564); +#567=DIRECTION('',(0.E0,0.E0,1.E0)); +#568=VECTOR('',#567,2.E0); +#569=CARTESIAN_POINT('',(7.743649167310E0,-6.4E0,-1.275E1)); +#570=LINE('',#569,#568); +#571=DIRECTION('',(1.E0,0.E0,0.E0)); +#572=VECTOR('',#571,6.350832689629E-3); +#573=CARTESIAN_POINT('',(7.743649167310E0,-6.4E0,-1.275E1)); +#574=LINE('',#573,#572); +#575=DIRECTION('',(0.E0,0.E0,-1.E0)); +#576=VECTOR('',#575,4.E-1); +#577=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.275E1)); +#578=LINE('',#577,#576); +#579=DIRECTION('',(-1.E0,0.E0,0.E0)); +#580=VECTOR('',#579,5.E-2); +#581=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.545E1)); +#582=LINE('',#581,#580); +#583=DIRECTION('',(0.E0,0.E0,-1.E0)); +#584=VECTOR('',#583,1.15E0); +#585=CARTESIAN_POINT('',(7.7E0,-6.4E0,-1.545E1)); +#586=LINE('',#585,#584); +#587=DIRECTION('',(-1.E0,0.E0,1.093142670400E-14)); +#588=VECTOR('',#587,3.25E-1); +#589=CARTESIAN_POINT('',(7.7E0,-6.4E0,-1.66E1)); +#590=LINE('',#589,#588); +#591=DIRECTION('',(0.E0,0.E0,-1.E0)); +#592=VECTOR('',#591,4.4E0); +#593=CARTESIAN_POINT('',(7.375E0,-6.4E0,-1.66E1)); +#594=LINE('',#593,#592); +#595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#596=VECTOR('',#595,1.475E1); +#597=CARTESIAN_POINT('',(7.375E0,-6.4E0,-2.1E1)); +#598=LINE('',#597,#596); +#599=DIRECTION('',(0.E0,0.E0,1.E0)); +#600=VECTOR('',#599,4.4E0); +#601=CARTESIAN_POINT('',(-7.375E0,-6.4E0,-2.1E1)); +#602=LINE('',#601,#600); +#603=DIRECTION('',(-1.E0,0.E0,1.093142670400E-14)); +#604=VECTOR('',#603,3.25E-1); +#605=CARTESIAN_POINT('',(-7.375E0,-6.4E0,-1.66E1)); +#606=LINE('',#605,#604); +#607=DIRECTION('',(0.E0,0.E0,1.E0)); +#608=VECTOR('',#607,1.15E0); +#609=CARTESIAN_POINT('',(-7.7E0,-6.4E0,-1.66E1)); +#610=LINE('',#609,#608); +#611=DIRECTION('',(1.E0,0.E0,0.E0)); +#612=VECTOR('',#611,5.E-2); +#613=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.545E1)); +#614=LINE('',#613,#612); +#615=DIRECTION('',(0.E0,0.E0,-1.E0)); +#616=VECTOR('',#615,4.E-1); +#617=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.275E1)); +#618=LINE('',#617,#616); +#619=DIRECTION('',(1.E0,0.E0,0.E0)); +#620=VECTOR('',#619,6.350832689629E-3); +#621=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.275E1)); +#622=LINE('',#621,#620); +#623=DIRECTION('',(0.E0,0.E0,-1.E0)); +#624=VECTOR('',#623,2.E0); +#625=CARTESIAN_POINT('',(-7.743649167310E0,-6.4E0,-1.075E1)); +#626=LINE('',#625,#624); +#627=DIRECTION('',(1.E0,0.E0,0.E0)); +#628=VECTOR('',#627,6.350832689630E-3); +#629=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.075E1)); +#630=LINE('',#629,#628); +#631=CARTESIAN_POINT('',(-7.265E0,-6.4E0,-9.2E0)); +#632=DIRECTION('',(0.E0,-1.E0,0.E0)); +#633=DIRECTION('',(-1.277610496030E-13,0.E0,-1.E0)); +#634=AXIS2_PLACEMENT_3D('',#631,#632,#633); +#636=DIRECTION('',(1.E0,1.776356839402E-14,0.E0)); +#637=VECTOR('',#636,4.999999999994E-2); +#638=CARTESIAN_POINT('',(-7.315E0,-6.4E0,-1.05E1)); +#639=LINE('',#638,#637); +#640=DIRECTION('',(0.E0,0.E0,1.E0)); +#641=VECTOR('',#640,5.E-1); +#642=CARTESIAN_POINT('',(-7.315E0,-6.4E0,-1.1E1)); +#643=LINE('',#642,#641); +#644=DIRECTION('',(-1.E0,-1.776356839400E-14,0.E0)); +#645=VECTOR('',#644,5.E-2); +#646=CARTESIAN_POINT('',(-7.265E0,-6.4E0,-1.1E1)); +#647=LINE('',#646,#645); +#648=CARTESIAN_POINT('',(-7.265E0,-6.4E0,-1.23E1)); +#649=DIRECTION('',(0.E0,-1.E0,0.E0)); +#650=DIRECTION('',(8.653846153846E-1,0.E0,5.011082392614E-1)); +#651=AXIS2_PLACEMENT_3D('',#648,#649,#650); +#653=DIRECTION('',(0.E0,0.E0,1.E0)); +#654=VECTOR('',#653,2.651440711040E0); +#655=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-1.43E1)); +#656=LINE('',#655,#654); +#657=DIRECTION('',(1.E0,0.E0,0.E0)); +#658=VECTOR('',#657,8.5E-1); +#659=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-1.43E1)); +#660=LINE('',#659,#658); +#661=DIRECTION('',(0.E0,0.E0,1.E0)); +#662=VECTOR('',#661,2.651440711040E0); +#663=CARTESIAN_POINT('',(-5.29E0,-6.4E0,-1.43E1)); +#664=LINE('',#663,#662); +#665=CARTESIAN_POINT('',(-4.165E0,-6.4E0,-1.23E1)); +#666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#667=DIRECTION('',(0.E0,0.E0,1.E0)); +#668=AXIS2_PLACEMENT_3D('',#665,#666,#667); +#670=DIRECTION('',(-1.E0,1.776356839400E-14,0.E0)); +#671=VECTOR('',#670,5.E-2); +#672=CARTESIAN_POINT('',(-4.115E0,-6.4E0,-1.1E1)); +#673=LINE('',#672,#671); +#674=DIRECTION('',(0.E0,0.E0,-1.E0)); +#675=VECTOR('',#674,5.E-1); +#676=CARTESIAN_POINT('',(-4.115E0,-6.4E0,-1.05E1)); +#677=LINE('',#676,#675); +#678=DIRECTION('',(1.E0,-1.776356839402E-14,0.E0)); +#679=VECTOR('',#678,4.999999999994E-2); +#680=CARTESIAN_POINT('',(-4.165E0,-6.4E0,-1.05E1)); +#681=LINE('',#680,#679); +#682=CARTESIAN_POINT('',(-4.165E0,-6.4E0,-9.2E0)); +#683=DIRECTION('',(0.E0,-1.E0,0.E0)); +#684=DIRECTION('',(-8.653846153846E-1,0.E0,-5.011082392614E-1)); +#685=AXIS2_PLACEMENT_3D('',#682,#683,#684); +#687=DIRECTION('',(0.E0,0.E0,1.E0)); +#688=VECTOR('',#687,4.501440711040E0); +#689=CARTESIAN_POINT('',(-5.29E0,-6.4E0,-9.851440711040E0)); +#690=LINE('',#689,#688); +#691=DIRECTION('',(1.E0,0.E0,0.E0)); +#692=VECTOR('',#691,8.5E-1); +#693=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-5.35E0)); +#694=LINE('',#693,#692); +#695=DIRECTION('',(0.E0,0.E0,1.E0)); +#696=VECTOR('',#695,4.501440711040E0); +#697=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-9.851440711040E0)); +#698=LINE('',#697,#696); +#699=CARTESIAN_POINT('',(7.265E0,-6.4E0,-9.2E0)); +#700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#701=DIRECTION('',(-8.653846153846E-1,0.E0,-5.011082392614E-1)); +#702=AXIS2_PLACEMENT_3D('',#699,#700,#701); +#704=DIRECTION('',(0.E0,0.E0,1.E0)); +#705=VECTOR('',#704,4.501440711040E0); +#706=CARTESIAN_POINT('',(6.14E0,-6.4E0,-9.851440711040E0)); +#707=LINE('',#706,#705); +#708=DIRECTION('',(1.E0,0.E0,0.E0)); +#709=VECTOR('',#708,8.5E-1); +#710=CARTESIAN_POINT('',(5.29E0,-6.4E0,-5.35E0)); +#711=LINE('',#710,#709); +#712=DIRECTION('',(0.E0,0.E0,1.E0)); +#713=VECTOR('',#712,4.501440711040E0); +#714=CARTESIAN_POINT('',(5.29E0,-6.4E0,-9.851440711040E0)); +#715=LINE('',#714,#713); +#716=CARTESIAN_POINT('',(4.165E0,-6.4E0,-9.2E0)); +#717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#718=DIRECTION('',(-1.277610496030E-13,0.E0,-1.E0)); +#719=AXIS2_PLACEMENT_3D('',#716,#717,#718); +#721=DIRECTION('',(1.E0,1.776356839402E-14,0.E0)); +#722=VECTOR('',#721,4.999999999994E-2); +#723=CARTESIAN_POINT('',(4.115E0,-6.4E0,-1.05E1)); +#724=LINE('',#723,#722); +#725=DIRECTION('',(0.E0,0.E0,1.E0)); +#726=VECTOR('',#725,5.E-1); +#727=CARTESIAN_POINT('',(4.115E0,-6.4E0,-1.1E1)); +#728=LINE('',#727,#726); +#729=DIRECTION('',(-1.E0,-1.776356839400E-14,0.E0)); +#730=VECTOR('',#729,5.E-2); +#731=CARTESIAN_POINT('',(4.165E0,-6.4E0,-1.1E1)); +#732=LINE('',#731,#730); +#733=CARTESIAN_POINT('',(4.165E0,-6.4E0,-1.23E1)); +#734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#735=DIRECTION('',(8.653846153846E-1,0.E0,5.011082392614E-1)); +#736=AXIS2_PLACEMENT_3D('',#733,#734,#735); +#738=DIRECTION('',(0.E0,0.E0,1.E0)); +#739=VECTOR('',#738,2.651440711040E0); +#740=CARTESIAN_POINT('',(5.29E0,-6.4E0,-1.43E1)); +#741=LINE('',#740,#739); +#742=DIRECTION('',(1.E0,0.E0,0.E0)); +#743=VECTOR('',#742,8.5E-1); +#744=CARTESIAN_POINT('',(5.29E0,-6.4E0,-1.43E1)); +#745=LINE('',#744,#743); +#746=DIRECTION('',(0.E0,0.E0,1.E0)); +#747=VECTOR('',#746,2.651440711040E0); +#748=CARTESIAN_POINT('',(6.14E0,-6.4E0,-1.43E1)); +#749=LINE('',#748,#747); +#750=CARTESIAN_POINT('',(7.265E0,-6.4E0,-1.23E1)); +#751=DIRECTION('',(0.E0,-1.E0,0.E0)); +#752=DIRECTION('',(0.E0,0.E0,1.E0)); +#753=AXIS2_PLACEMENT_3D('',#750,#751,#752); +#755=DIRECTION('',(-1.E0,1.776356839400E-14,0.E0)); +#756=VECTOR('',#755,5.E-2); +#757=CARTESIAN_POINT('',(7.315E0,-6.4E0,-1.1E1)); +#758=LINE('',#757,#756); +#759=DIRECTION('',(0.E0,0.E0,-1.E0)); +#760=VECTOR('',#759,5.E-1); +#761=CARTESIAN_POINT('',(7.315E0,-6.4E0,-1.05E1)); +#762=LINE('',#761,#760); +#763=DIRECTION('',(1.E0,-1.776356839402E-14,0.E0)); +#764=VECTOR('',#763,4.999999999994E-2); +#765=CARTESIAN_POINT('',(7.265E0,-6.4E0,-1.05E1)); +#766=LINE('',#765,#764); +#767=DIRECTION('',(0.E0,0.E0,1.E0)); +#768=VECTOR('',#767,3.5E-1); +#769=CARTESIAN_POINT('',(5.946840728931E0,-6.4E0,-1.7285E1)); +#770=LINE('',#769,#768); +#771=DIRECTION('',(-1.E0,0.E0,0.E0)); +#772=VECTOR('',#771,4.6E-1); +#773=CARTESIAN_POINT('',(5.946840728931E0,-6.4E0,-1.6935E1)); +#774=LINE('',#773,#772); +#775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#776=VECTOR('',#775,3.5E-1); +#777=CARTESIAN_POINT('',(5.486840728931E0,-6.4E0,-1.6935E1)); +#778=LINE('',#777,#776); +#779=DIRECTION('',(1.E0,0.E0,0.E0)); +#780=VECTOR('',#779,4.6E-1); +#781=CARTESIAN_POINT('',(5.486840728931E0,-6.4E0,-1.7285E1)); +#782=LINE('',#781,#780); +#783=DIRECTION('',(-1.E0,0.E0,0.E0)); +#784=VECTOR('',#783,3.5E-1); +#785=CARTESIAN_POINT('',(5.891527302656E0,-6.4E0,-1.435E1)); +#786=LINE('',#785,#784); +#787=DIRECTION('',(0.E0,0.E0,-1.E0)); +#788=VECTOR('',#787,4.6E-1); +#789=CARTESIAN_POINT('',(5.541527302656E0,-6.4E0,-1.435E1)); +#790=LINE('',#789,#788); +#791=DIRECTION('',(1.E0,0.E0,0.E0)); +#792=VECTOR('',#791,3.5E-1); +#793=CARTESIAN_POINT('',(5.541527302656E0,-6.4E0,-1.481E1)); +#794=LINE('',#793,#792); +#795=DIRECTION('',(0.E0,0.E0,1.E0)); +#796=VECTOR('',#795,4.6E-1); +#797=CARTESIAN_POINT('',(5.891527302656E0,-6.4E0,-1.481E1)); +#798=LINE('',#797,#796); +#799=DIRECTION('',(-1.E0,0.E0,0.E0)); +#800=VECTOR('',#799,3.5E-1); +#801=CARTESIAN_POINT('',(3.351527302656E0,-6.4E0,-1.308E1)); +#802=LINE('',#801,#800); +#803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#804=VECTOR('',#803,4.6E-1); +#805=CARTESIAN_POINT('',(3.001527302656E0,-6.4E0,-1.308E1)); +#806=LINE('',#805,#804); +#807=DIRECTION('',(1.E0,0.E0,0.E0)); +#808=VECTOR('',#807,3.5E-1); +#809=CARTESIAN_POINT('',(3.001527302656E0,-6.4E0,-1.354E1)); +#810=LINE('',#809,#808); +#811=DIRECTION('',(0.E0,0.E0,1.E0)); +#812=VECTOR('',#811,4.6E-1); +#813=CARTESIAN_POINT('',(3.351527302656E0,-6.4E0,-1.354E1)); +#814=LINE('',#813,#812); +#815=DIRECTION('',(0.E0,0.E0,1.E0)); +#816=VECTOR('',#815,3.5E-1); +#817=CARTESIAN_POINT('',(3.406528581622E0,-6.4E0,-1.7285E1)); +#818=LINE('',#817,#816); +#819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#820=VECTOR('',#819,4.6E-1); +#821=CARTESIAN_POINT('',(3.406528581622E0,-6.4E0,-1.6935E1)); +#822=LINE('',#821,#820); +#823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#824=VECTOR('',#823,3.5E-1); +#825=CARTESIAN_POINT('',(2.946528581622E0,-6.4E0,-1.6935E1)); +#826=LINE('',#825,#824); +#827=DIRECTION('',(1.E0,0.E0,0.E0)); +#828=VECTOR('',#827,4.6E-1); +#829=CARTESIAN_POINT('',(2.946528581622E0,-6.4E0,-1.7285E1)); +#830=LINE('',#829,#828); +#831=DIRECTION('',(0.E0,0.E0,1.E0)); +#832=VECTOR('',#831,3.5E-1); +#833=CARTESIAN_POINT('',(4.676528581620E0,-6.4E0,-1.9825E1)); +#834=LINE('',#833,#832); +#835=DIRECTION('',(-1.E0,0.E0,0.E0)); +#836=VECTOR('',#835,4.6E-1); +#837=CARTESIAN_POINT('',(4.676528581620E0,-6.4E0,-1.9475E1)); +#838=LINE('',#837,#836); +#839=DIRECTION('',(0.E0,0.E0,-1.E0)); +#840=VECTOR('',#839,3.5E-1); +#841=CARTESIAN_POINT('',(4.216528581620E0,-6.4E0,-1.9475E1)); +#842=LINE('',#841,#840); +#843=DIRECTION('',(1.E0,0.E0,0.E0)); +#844=VECTOR('',#843,4.6E-1); +#845=CARTESIAN_POINT('',(4.216528581620E0,-6.4E0,-1.9825E1)); +#846=LINE('',#845,#844); +#847=DIRECTION('',(0.E0,0.E0,1.E0)); +#848=VECTOR('',#847,3.5E-1); +#849=CARTESIAN_POINT('',(2.136528581620E0,-6.4E0,-1.9825E1)); +#850=LINE('',#849,#848); +#851=DIRECTION('',(-1.E0,0.E0,0.E0)); +#852=VECTOR('',#851,4.6E-1); +#853=CARTESIAN_POINT('',(2.136528581620E0,-6.4E0,-1.9475E1)); +#854=LINE('',#853,#852); +#855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#856=VECTOR('',#855,3.5E-1); +#857=CARTESIAN_POINT('',(1.676528581620E0,-6.4E0,-1.9475E1)); +#858=LINE('',#857,#856); +#859=DIRECTION('',(1.E0,0.E0,0.E0)); +#860=VECTOR('',#859,4.6E-1); +#861=CARTESIAN_POINT('',(1.676528581620E0,-6.4E0,-1.9825E1)); +#862=LINE('',#861,#860); +#863=DIRECTION('',(0.E0,0.E0,1.E0)); +#864=VECTOR('',#863,3.5E-1); +#865=CARTESIAN_POINT('',(8.665285816220E-1,-6.4E0,-1.7285E1)); +#866=LINE('',#865,#864); +#867=DIRECTION('',(-1.E0,0.E0,0.E0)); +#868=VECTOR('',#867,4.6E-1); +#869=CARTESIAN_POINT('',(8.665285816220E-1,-6.4E0,-1.6935E1)); +#870=LINE('',#869,#868); +#871=DIRECTION('',(0.E0,0.E0,-1.E0)); +#872=VECTOR('',#871,3.5E-1); +#873=CARTESIAN_POINT('',(4.065285816220E-1,-6.4E0,-1.6935E1)); +#874=LINE('',#873,#872); +#875=DIRECTION('',(1.E0,0.E0,0.E0)); +#876=VECTOR('',#875,4.6E-1); +#877=CARTESIAN_POINT('',(4.065285816220E-1,-6.4E0,-1.7285E1)); +#878=LINE('',#877,#876); +#879=DIRECTION('',(-1.E0,0.E0,0.E0)); +#880=VECTOR('',#879,5.E-1); +#881=CARTESIAN_POINT('',(6.89E0,-6.4E0,-6.435E0)); +#882=LINE('',#881,#880); +#883=DIRECTION('',(0.E0,0.E0,-1.E0)); +#884=VECTOR('',#883,5.E-1); +#885=CARTESIAN_POINT('',(6.39E0,-6.4E0,-6.435E0)); +#886=LINE('',#885,#884); +#887=DIRECTION('',(1.E0,0.E0,0.E0)); +#888=VECTOR('',#887,5.E-1); +#889=CARTESIAN_POINT('',(6.39E0,-6.4E0,-6.935E0)); +#890=LINE('',#889,#888); +#891=DIRECTION('',(0.E0,0.E0,1.E0)); +#892=VECTOR('',#891,5.E-1); +#893=CARTESIAN_POINT('',(6.89E0,-6.4E0,-6.935E0)); +#894=LINE('',#893,#892); +#895=DIRECTION('',(-1.E0,0.E0,0.E0)); +#896=VECTOR('',#895,5.E-1); +#897=CARTESIAN_POINT('',(4.35E0,-6.4E0,-6.435E0)); +#898=LINE('',#897,#896); +#899=DIRECTION('',(0.E0,0.E0,-1.E0)); +#900=VECTOR('',#899,5.E-1); +#901=CARTESIAN_POINT('',(3.85E0,-6.4E0,-6.435E0)); +#902=LINE('',#901,#900); +#903=DIRECTION('',(1.E0,0.E0,0.E0)); +#904=VECTOR('',#903,5.E-1); +#905=CARTESIAN_POINT('',(3.85E0,-6.4E0,-6.935E0)); +#906=LINE('',#905,#904); +#907=DIRECTION('',(0.E0,0.E0,1.E0)); +#908=VECTOR('',#907,5.E-1); +#909=CARTESIAN_POINT('',(4.35E0,-6.4E0,-6.935E0)); +#910=LINE('',#909,#908); +#911=DIRECTION('',(0.E0,0.E0,-1.E0)); +#912=VECTOR('',#911,5.E-1); +#913=CARTESIAN_POINT('',(-6.89E0,-6.4E0,-6.435E0)); +#914=LINE('',#913,#912); +#915=DIRECTION('',(1.E0,0.E0,0.E0)); +#916=VECTOR('',#915,5.E-1); +#917=CARTESIAN_POINT('',(-6.89E0,-6.4E0,-6.935E0)); +#918=LINE('',#917,#916); +#919=DIRECTION('',(0.E0,0.E0,1.E0)); +#920=VECTOR('',#919,5.E-1); +#921=CARTESIAN_POINT('',(-6.39E0,-6.4E0,-6.935E0)); +#922=LINE('',#921,#920); +#923=DIRECTION('',(-1.E0,0.E0,0.E0)); +#924=VECTOR('',#923,5.E-1); +#925=CARTESIAN_POINT('',(-6.39E0,-6.4E0,-6.435E0)); +#926=LINE('',#925,#924); +#927=DIRECTION('',(0.E0,0.E0,-1.E0)); +#928=VECTOR('',#927,5.E-1); +#929=CARTESIAN_POINT('',(-4.35E0,-6.4E0,-6.435E0)); +#930=LINE('',#929,#928); +#931=DIRECTION('',(1.E0,0.E0,0.E0)); +#932=VECTOR('',#931,5.E-1); +#933=CARTESIAN_POINT('',(-4.35E0,-6.4E0,-6.935E0)); +#934=LINE('',#933,#932); +#935=DIRECTION('',(0.E0,0.E0,1.E0)); +#936=VECTOR('',#935,5.E-1); +#937=CARTESIAN_POINT('',(-3.85E0,-6.4E0,-6.935E0)); +#938=LINE('',#937,#936); +#939=DIRECTION('',(-1.E0,0.E0,0.E0)); +#940=VECTOR('',#939,5.E-1); +#941=CARTESIAN_POINT('',(-3.85E0,-6.4E0,-6.435E0)); +#942=LINE('',#941,#940); +#943=DIRECTION('',(0.E0,0.E0,1.E0)); +#944=VECTOR('',#943,3.5E-1); +#945=CARTESIAN_POINT('',(-4.034714183780E-1,-6.4E0,-1.9825E1)); +#946=LINE('',#945,#944); +#947=DIRECTION('',(-1.E0,0.E0,0.E0)); +#948=VECTOR('',#947,4.6E-1); +#949=CARTESIAN_POINT('',(-4.034714183780E-1,-6.4E0,-1.9475E1)); +#950=LINE('',#949,#948); +#951=DIRECTION('',(0.E0,0.E0,-1.E0)); +#952=VECTOR('',#951,3.5E-1); +#953=CARTESIAN_POINT('',(-8.634714183780E-1,-6.4E0,-1.9475E1)); +#954=LINE('',#953,#952); +#955=DIRECTION('',(1.E0,0.E0,0.E0)); +#956=VECTOR('',#955,4.6E-1); +#957=CARTESIAN_POINT('',(-8.634714183780E-1,-6.4E0,-1.9825E1)); +#958=LINE('',#957,#956); +#959=DIRECTION('',(1.E0,0.E0,0.E0)); +#960=VECTOR('',#959,4.6E-1); +#961=CARTESIAN_POINT('',(-4.673471418378E0,-6.4E0,-1.7285E1)); +#962=LINE('',#961,#960); +#963=DIRECTION('',(0.E0,0.E0,1.E0)); +#964=VECTOR('',#963,3.5E-1); +#965=CARTESIAN_POINT('',(-4.213471418378E0,-6.4E0,-1.7285E1)); +#966=LINE('',#965,#964); +#967=DIRECTION('',(-1.E0,0.E0,0.E0)); +#968=VECTOR('',#967,4.6E-1); +#969=CARTESIAN_POINT('',(-4.213471418378E0,-6.4E0,-1.6935E1)); +#970=LINE('',#969,#968); +#971=DIRECTION('',(0.E0,0.E0,-1.E0)); +#972=VECTOR('',#971,3.5E-1); +#973=CARTESIAN_POINT('',(-4.673471418378E0,-6.4E0,-1.6935E1)); +#974=LINE('',#973,#972); +#975=DIRECTION('',(1.E0,0.E0,0.E0)); +#976=VECTOR('',#975,4.6E-1); +#977=CARTESIAN_POINT('',(-5.943471418376E0,-6.4E0,-1.9825E1)); +#978=LINE('',#977,#976); +#979=DIRECTION('',(0.E0,0.E0,1.E0)); +#980=VECTOR('',#979,3.5E-1); +#981=CARTESIAN_POINT('',(-5.483471418376E0,-6.4E0,-1.9825E1)); +#982=LINE('',#981,#980); +#983=DIRECTION('',(-1.E0,0.E0,0.E0)); +#984=VECTOR('',#983,4.6E-1); +#985=CARTESIAN_POINT('',(-5.483471418376E0,-6.4E0,-1.9475E1)); +#986=LINE('',#985,#984); +#987=DIRECTION('',(0.E0,0.E0,-1.E0)); +#988=VECTOR('',#987,3.5E-1); +#989=CARTESIAN_POINT('',(-5.943471418376E0,-6.4E0,-1.9475E1)); +#990=LINE('',#989,#988); +#991=DIRECTION('',(1.E0,0.E0,0.E0)); +#992=VECTOR('',#991,4.6E-1); +#993=CARTESIAN_POINT('',(-3.403471418376E0,-6.4E0,-1.9825E1)); +#994=LINE('',#993,#992); +#995=DIRECTION('',(0.E0,0.E0,1.E0)); +#996=VECTOR('',#995,3.5E-1); +#997=CARTESIAN_POINT('',(-2.943471418376E0,-6.4E0,-1.9825E1)); +#998=LINE('',#997,#996); +#999=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1000=VECTOR('',#999,4.6E-1); +#1001=CARTESIAN_POINT('',(-2.943471418376E0,-6.4E0,-1.9475E1)); +#1002=LINE('',#1001,#1000); +#1003=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1004=VECTOR('',#1003,3.5E-1); +#1005=CARTESIAN_POINT('',(-3.403471418376E0,-6.4E0,-1.9475E1)); +#1006=LINE('',#1005,#1004); +#1007=DIRECTION('',(1.E0,0.E0,0.E0)); +#1008=VECTOR('',#1007,4.6E-1); +#1009=CARTESIAN_POINT('',(-2.133471418378E0,-6.4E0,-1.7285E1)); +#1010=LINE('',#1009,#1008); +#1011=DIRECTION('',(0.E0,0.E0,1.E0)); +#1012=VECTOR('',#1011,3.5E-1); +#1013=CARTESIAN_POINT('',(-1.673471418378E0,-6.4E0,-1.7285E1)); +#1014=LINE('',#1013,#1012); +#1015=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1016=VECTOR('',#1015,4.6E-1); +#1017=CARTESIAN_POINT('',(-1.673471418378E0,-6.4E0,-1.6935E1)); +#1018=LINE('',#1017,#1016); +#1019=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1020=VECTOR('',#1019,3.5E-1); +#1021=CARTESIAN_POINT('',(-2.133471418378E0,-6.4E0,-1.6935E1)); +#1022=LINE('',#1021,#1020); +#1023=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1024=VECTOR('',#1023,4.6E-1); +#1025=CARTESIAN_POINT('',(-5.891527302656E0,-6.4E0,-1.435E1)); +#1026=LINE('',#1025,#1024); +#1027=DIRECTION('',(1.E0,0.E0,0.E0)); +#1028=VECTOR('',#1027,3.5E-1); +#1029=CARTESIAN_POINT('',(-5.891527302656E0,-6.4E0,-1.481E1)); +#1030=LINE('',#1029,#1028); +#1031=DIRECTION('',(0.E0,0.E0,1.E0)); +#1032=VECTOR('',#1031,4.6E-1); +#1033=CARTESIAN_POINT('',(-5.541527302656E0,-6.4E0,-1.481E1)); +#1034=LINE('',#1033,#1032); +#1035=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1036=VECTOR('',#1035,3.5E-1); +#1037=CARTESIAN_POINT('',(-5.541527302656E0,-6.4E0,-1.435E1)); +#1038=LINE('',#1037,#1036); +#1039=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1040=VECTOR('',#1039,4.6E-1); +#1041=CARTESIAN_POINT('',(-3.351527302656E0,-6.4E0,-1.308E1)); +#1042=LINE('',#1041,#1040); +#1043=DIRECTION('',(1.E0,0.E0,0.E0)); +#1044=VECTOR('',#1043,3.5E-1); +#1045=CARTESIAN_POINT('',(-3.351527302656E0,-6.4E0,-1.354E1)); +#1046=LINE('',#1045,#1044); +#1047=DIRECTION('',(0.E0,0.E0,1.E0)); +#1048=VECTOR('',#1047,4.6E-1); +#1049=CARTESIAN_POINT('',(-3.001527302656E0,-6.4E0,-1.354E1)); +#1050=LINE('',#1049,#1048); +#1051=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1052=VECTOR('',#1051,3.5E-1); +#1053=CARTESIAN_POINT('',(-3.001527302656E0,-6.4E0,-1.308E1)); +#1054=LINE('',#1053,#1052); +#1055=CARTESIAN_POINT('',(-7.75E0,-6.35E0,-8.15E0)); +#1056=DIRECTION('',(1.E0,0.E0,0.E0)); +#1057=DIRECTION('',(0.E0,-1.E0,-5.329070518201E-14)); +#1058=AXIS2_PLACEMENT_3D('',#1055,#1056,#1057); +#1060=DIRECTION('',(1.E0,-2.016165012719E-12,3.552713678800E-14)); +#1061=VECTOR('',#1060,2.E-1); +#1062=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-8.35E0)); +#1063=LINE('',#1062,#1061); +#1064=DIRECTION('',(1.776356839400E-14,1.E0,3.552713678801E-14)); +#1065=VECTOR('',#1064,5.E-2); +#1066=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.315E1)); +#1067=LINE('',#1066,#1065); +#1068=CARTESIAN_POINT('',(-7.75E0,-6.35E0,-1.295E1)); +#1069=DIRECTION('',(1.E0,0.E0,0.E0)); +#1070=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1071=AXIS2_PLACEMENT_3D('',#1068,#1069,#1070); +#1073=DIRECTION('',(0.E0,-1.E0,7.105427357635E-14)); +#1074=VECTOR('',#1073,4.999999999976E-2); +#1075=CARTESIAN_POINT('',(-7.75E0,-6.35E0,-1.275E1)); +#1076=LINE('',#1075,#1074); +#1077=CARTESIAN_POINT('',(-7.75E0,-6.35E0,-8.55E0)); +#1078=DIRECTION('',(1.E0,0.E0,0.E0)); +#1079=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1080=AXIS2_PLACEMENT_3D('',#1077,#1078,#1079); +#1082=CARTESIAN_POINT('',(-7.75E0,-6.35E0,-8.15E0)); +#1083=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1084=DIRECTION('',(0.E0,-6.044054146059E-12,-1.E0)); +#1085=AXIS2_PLACEMENT_3D('',#1082,#1083,#1084); +#1087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1088=VECTOR('',#1087,2.406350832690E0); +#1089=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-8.343649167310E0)); +#1090=LINE('',#1089,#1088); +#1091=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1092=VECTOR('',#1091,5.E-2); +#1093=CARTESIAN_POINT('',(-7.75E0,-6.35E0,-1.075E1)); +#1094=LINE('',#1093,#1092); +#1095=DIRECTION('',(0.E0,1.E0,0.E0)); +#1096=VECTOR('',#1095,1.8E0); +#1097=CARTESIAN_POINT('',(-7.95E0,-8.15E0,-1.315E1)); +#1098=LINE('',#1097,#1096); +#1099=DIRECTION('',(1.E0,0.E0,0.E0)); +#1100=VECTOR('',#1099,2.E-1); +#1101=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-1.315E1)); +#1102=LINE('',#1101,#1100); +#1103=DIRECTION('',(1.E0,-1.194599974497E-12,0.E0)); +#1104=VECTOR('',#1103,2.E-1); +#1105=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-1.275E1)); +#1106=LINE('',#1105,#1104); +#1107=CARTESIAN_POINT('',(-7.55E0,-6.35E0,-1.275E1)); +#1108=DIRECTION('',(0.E0,0.E0,1.E0)); +#1109=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1110=AXIS2_PLACEMENT_3D('',#1107,#1108,#1109); +#1112=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1113=VECTOR('',#1112,7.E-1); +#1114=CARTESIAN_POINT('',(-6.85E0,-6.75E0,-1.275E1)); +#1115=LINE('',#1114,#1113); +#1116=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1117=VECTOR('',#1116,2.E-1); +#1118=CARTESIAN_POINT('',(-6.85E0,-6.55E0,-1.275E1)); +#1119=LINE('',#1118,#1117); +#1120=DIRECTION('',(1.E0,0.E0,0.E0)); +#1121=VECTOR('',#1120,7.E-1); +#1122=CARTESIAN_POINT('',(-7.55E0,-6.55E0,-1.275E1)); +#1123=LINE('',#1122,#1121); +#1124=CARTESIAN_POINT('',(-7.55E0,-6.35E0,-1.275E1)); +#1125=DIRECTION('',(0.E0,0.E0,1.E0)); +#1126=DIRECTION('',(-9.682458365519E-1,-2.5E-1,0.E0)); +#1127=AXIS2_PLACEMENT_3D('',#1124,#1125,#1126); +#1129=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1130=VECTOR('',#1129,2.E0); +#1131=CARTESIAN_POINT('',(-7.55E0,-6.75E0,-1.075E1)); +#1132=LINE('',#1131,#1130); +#1133=DIRECTION('',(0.E0,1.E0,0.E0)); +#1134=VECTOR('',#1133,2.E-1); +#1135=CARTESIAN_POINT('',(-7.395E0,-6.75E0,-1.075E1)); +#1136=LINE('',#1135,#1134); +#1137=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1138=VECTOR('',#1137,1.55E-1); +#1139=CARTESIAN_POINT('',(-7.395E0,-6.75E0,-1.075E1)); +#1140=LINE('',#1139,#1138); +#1141=CARTESIAN_POINT('',(-7.55E0,-6.35E0,-1.075E1)); +#1142=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1143=DIRECTION('',(1.598721155460E-13,-1.E0,0.E0)); +#1144=AXIS2_PLACEMENT_3D('',#1141,#1142,#1143); +#1146=CARTESIAN_POINT('',(-7.55E0,-6.35E0,-1.075E1)); +#1147=DIRECTION('',(0.E0,0.E0,1.E0)); +#1148=DIRECTION('',(-9.682458365519E-1,-2.5E-1,0.E0)); +#1149=AXIS2_PLACEMENT_3D('',#1146,#1147,#1148); +#1151=DIRECTION('',(1.E0,0.E0,0.E0)); +#1152=VECTOR('',#1151,1.55E-1); +#1153=CARTESIAN_POINT('',(-7.55E0,-6.55E0,-1.075E1)); +#1154=LINE('',#1153,#1152); +#1155=CARTESIAN_POINT('',(-5.795E0,-6.55E0,-1.075E1)); +#1156=DIRECTION('',(0.E0,1.E0,0.E0)); +#1157=DIRECTION('',(-6.59375E-1,0.E0,-7.518142120065E-1)); +#1158=AXIS2_PLACEMENT_3D('',#1155,#1156,#1157); +#1160=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1161=VECTOR('',#1160,2.E0); +#1162=CARTESIAN_POINT('',(-7.55E0,-6.55E0,-1.075E1)); +#1163=LINE('',#1162,#1161); +#1164=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1165=VECTOR('',#1164,9.618943233409E-4); +#1166=CARTESIAN_POINT('',(-7.315E0,-6.55E0,-1.1E1)); +#1167=LINE('',#1166,#1165); +#1168=CARTESIAN_POINT('',(-7.265E0,-6.55E0,-1.23E1)); +#1169=DIRECTION('',(0.E0,1.E0,0.E0)); +#1170=DIRECTION('',(-3.846153846154E-2,0.E0,9.992600812897E-1)); +#1171=AXIS2_PLACEMENT_3D('',#1168,#1169,#1170); +#1173=DIRECTION('',(0.E0,1.E0,0.E0)); +#1174=VECTOR('',#1173,2.E-1); +#1175=CARTESIAN_POINT('',(-6.85E0,-6.75E0,-1.195290273921E1)); +#1176=LINE('',#1175,#1174); +#1177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1178=VECTOR('',#1177,7.970972607895E-1); +#1179=CARTESIAN_POINT('',(-6.85E0,-6.55E0,-1.195290273921E1)); +#1180=LINE('',#1179,#1178); +#1181=CARTESIAN_POINT('',(-5.795E0,-6.75E0,-1.075E1)); +#1182=DIRECTION('',(0.E0,1.E0,0.E0)); +#1183=DIRECTION('',(-6.59375E-1,0.E0,-7.518142120065E-1)); +#1184=AXIS2_PLACEMENT_3D('',#1181,#1182,#1183); +#1186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1187=VECTOR('',#1186,7.970972607895E-1); +#1188=CARTESIAN_POINT('',(-6.85E0,-6.75E0,-1.195290273921E1)); +#1189=LINE('',#1188,#1187); +#1190=DIRECTION('',(-1.846727645954E-12,0.E0,-1.E0)); +#1191=VECTOR('',#1190,9.618943233409E-4); +#1192=CARTESIAN_POINT('',(-7.315E0,-6.75E0,-1.1E1)); +#1193=LINE('',#1192,#1191); +#1194=CARTESIAN_POINT('',(-7.265E0,-6.75E0,-1.23E1)); +#1195=DIRECTION('',(0.E0,1.E0,0.E0)); +#1196=DIRECTION('',(-3.846153846154E-2,0.E0,9.992600812897E-1)); +#1197=AXIS2_PLACEMENT_3D('',#1194,#1195,#1196); +#1199=DIRECTION('',(0.E0,1.E0,0.E0)); +#1200=VECTOR('',#1199,2.9E0); +#1201=CARTESIAN_POINT('',(-7.315E0,-9.65E0,-1.1E1)); +#1202=LINE('',#1201,#1200); +#1203=DIRECTION('',(0.E0,1.E0,0.E0)); +#1204=VECTOR('',#1203,1.5E-1); +#1205=CARTESIAN_POINT('',(-7.315E0,-6.55E0,-1.1E1)); +#1206=LINE('',#1205,#1204); +#1207=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1208=VECTOR('',#1207,5.E-1); +#1209=CARTESIAN_POINT('',(-7.315E0,-9.65E0,-1.05E1)); +#1210=LINE('',#1209,#1208); +#1211=DIRECTION('',(-2.136968788054E-1,9.769000173963E-1,0.E0)); +#1212=VECTOR('',#1211,2.339762764880E-1); +#1213=CARTESIAN_POINT('',(-7.265E0,-9.878571428571E0,-1.1E1)); +#1214=LINE('',#1213,#1212); +#1215=DIRECTION('',(0.E0,1.E0,0.E0)); +#1216=VECTOR('',#1215,3.128571428571E0); +#1217=CARTESIAN_POINT('',(-7.265E0,-9.878571428571E0,-1.1E1)); +#1218=LINE('',#1217,#1216); +#1219=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1220=VECTOR('',#1219,5.E-2); +#1221=CARTESIAN_POINT('',(-7.265E0,-6.75E0,-1.1E1)); +#1222=LINE('',#1221,#1220); +#1223=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1224=VECTOR('',#1223,5.E-2); +#1225=CARTESIAN_POINT('',(-7.265E0,-6.55E0,-1.1E1)); +#1226=LINE('',#1225,#1224); +#1227=DIRECTION('',(0.E0,1.E0,0.E0)); +#1228=VECTOR('',#1227,1.5E-1); +#1229=CARTESIAN_POINT('',(-7.265E0,-6.55E0,-1.1E1)); +#1230=LINE('',#1229,#1228); +#1231=DIRECTION('',(0.E0,1.E0,0.E0)); +#1232=VECTOR('',#1231,2.E-1); +#1233=CARTESIAN_POINT('',(-7.315E0,-6.75E0,-1.100096189432E1)); +#1234=LINE('',#1233,#1232); +#1235=CARTESIAN_POINT('',(-7.14E0,-1.045E1,-1.100602357054E1)); +#1236=CARTESIAN_POINT('',(-7.181662218706E0,-1.025954414306E1, +-1.100199893978E1)); +#1237=CARTESIAN_POINT('',(-7.223328905039E0,-1.006906786268E1,-1.1E1)); +#1238=CARTESIAN_POINT('',(-7.265E0,-9.878571428571E0,-1.1E1)); +#1240=CARTESIAN_POINT('',(-7.265E0,-1.045E1,-1.23E1)); +#1241=DIRECTION('',(0.E0,1.E0,0.E0)); +#1242=DIRECTION('',(9.615384615385E-2,0.E0,9.953664842006E-1)); +#1243=AXIS2_PLACEMENT_3D('',#1240,#1241,#1242); +#1245=CARTESIAN_POINT('',(-5.965E0,-9.878571428571E0,-1.23E1)); +#1246=CARTESIAN_POINT('',(-5.965E0,-1.006906786268E1,-1.225832890504E1)); +#1247=CARTESIAN_POINT('',(-5.966998939781E0,-1.025954414306E1, +-1.221666221871E1)); +#1248=CARTESIAN_POINT('',(-5.971023570539E0,-1.045E1,-1.2175E1)); +#1250=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1251=VECTOR('',#1250,5.120471410785E-1); +#1252=CARTESIAN_POINT('',(-7.14E0,-1.045E1,-1.049397642946E1)); +#1253=LINE('',#1252,#1251); +#1254=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1255=VECTOR('',#1254,5.120471410785E-1); +#1256=CARTESIAN_POINT('',(-5.458976429461E0,-1.045E1,-9.325E0)); +#1257=LINE('',#1256,#1255); +#1258=DIRECTION('',(0.E0,0.E0,1.E0)); +#1259=VECTOR('',#1258,5.120471410785E-1); +#1260=CARTESIAN_POINT('',(-4.29E0,-1.045E1,-1.100602357054E1)); +#1261=LINE('',#1260,#1259); +#1262=DIRECTION('',(1.E0,0.E0,0.E0)); +#1263=VECTOR('',#1262,5.120471410785E-1); +#1264=CARTESIAN_POINT('',(-5.971023570539E0,-1.045E1,-1.2175E1)); +#1265=LINE('',#1264,#1263); +#1266=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1267=VECTOR('',#1266,5.120471410785E-1); +#1268=CARTESIAN_POINT('',(5.971023570539E0,-1.045E1,-9.325E0)); +#1269=LINE('',#1268,#1267); +#1270=DIRECTION('',(0.E0,0.E0,1.E0)); +#1271=VECTOR('',#1270,5.120471410785E-1); +#1272=CARTESIAN_POINT('',(7.14E0,-1.045E1,-1.100602357054E1)); +#1273=LINE('',#1272,#1271); +#1274=DIRECTION('',(1.E0,0.E0,0.E0)); +#1275=VECTOR('',#1274,5.120471410785E-1); +#1276=CARTESIAN_POINT('',(5.458976429461E0,-1.045E1,-1.2175E1)); +#1277=LINE('',#1276,#1275); +#1278=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1279=VECTOR('',#1278,5.120471410785E-1); +#1280=CARTESIAN_POINT('',(4.29E0,-1.045E1,-1.049397642946E1)); +#1281=LINE('',#1280,#1279); +#1282=CARTESIAN_POINT('',(-7.265E0,-9.878571428571E0,-1.05E1)); +#1283=CARTESIAN_POINT('',(-7.223328905039E0,-1.006906786268E1,-1.05E1)); +#1284=CARTESIAN_POINT('',(-7.181662218706E0,-1.025954414306E1, +-1.049800106022E1)); +#1285=CARTESIAN_POINT('',(-7.14E0,-1.045E1,-1.049397642946E1)); +#1287=CARTESIAN_POINT('',(-5.971023570539E0,-1.045E1,-9.325E0)); +#1288=CARTESIAN_POINT('',(-5.966998939781E0,-1.025954414306E1, +-9.283337781294E0)); +#1289=CARTESIAN_POINT('',(-5.965E0,-1.006906786268E1,-9.241671094961E0)); +#1290=CARTESIAN_POINT('',(-5.965E0,-9.878571428571E0,-9.2E0)); +#1292=CARTESIAN_POINT('',(-7.265E0,-1.045E1,-9.2E0)); +#1293=DIRECTION('',(0.E0,1.E0,0.E0)); +#1294=DIRECTION('',(9.953664842006E-1,0.E0,-9.615384615385E-2)); +#1295=AXIS2_PLACEMENT_3D('',#1292,#1293,#1294); +#1297=DIRECTION('',(2.136968788054E-1,-9.769000173963E-1,0.E0)); +#1298=VECTOR('',#1297,2.339762764880E-1); +#1299=CARTESIAN_POINT('',(-7.315E0,-9.65E0,-1.05E1)); +#1300=LINE('',#1299,#1298); +#1301=DIRECTION('',(0.E0,1.E0,0.E0)); +#1302=VECTOR('',#1301,3.25E0); +#1303=CARTESIAN_POINT('',(-7.315E0,-9.65E0,-1.05E1)); +#1304=LINE('',#1303,#1302); +#1305=DIRECTION('',(-1.634102390249E-14,1.E0,0.E0)); +#1306=VECTOR('',#1305,3.478571428571E0); +#1307=CARTESIAN_POINT('',(-7.265E0,-9.878571428571E0,-1.05E1)); +#1308=LINE('',#1307,#1306); +#1309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1310=VECTOR('',#1309,7.5E-2); +#1311=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-9.851440711040E0)); +#1312=LINE('',#1311,#1310); +#1313=DIRECTION('',(0.E0,1.E0,0.E0)); +#1314=VECTOR('',#1313,7.5E-2); +#1315=CARTESIAN_POINT('',(-6.14E0,-6.475E0,-1.164855928896E1)); +#1316=LINE('',#1315,#1314); +#1317=DIRECTION('',(0.E0,1.E0,0.E0)); +#1318=VECTOR('',#1317,7.5E-2); +#1319=CARTESIAN_POINT('',(-5.29E0,-6.475E0,-5.35E0)); +#1320=LINE('',#1319,#1318); +#1321=CARTESIAN_POINT('',(-5.715E0,-6.475E0,-5.35E0)); +#1322=DIRECTION('',(0.E0,0.E0,1.E0)); +#1323=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1324=AXIS2_PLACEMENT_3D('',#1321,#1322,#1323); +#1326=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1327=VECTOR('',#1326,7.5E-2); +#1328=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-5.35E0)); +#1329=LINE('',#1328,#1327); +#1330=DIRECTION('',(0.E0,1.E0,0.E0)); +#1331=VECTOR('',#1330,7.5E-2); +#1332=CARTESIAN_POINT('',(6.14E0,-6.475E0,-5.35E0)); +#1333=LINE('',#1332,#1331); +#1334=CARTESIAN_POINT('',(5.715E0,-6.475E0,-5.35E0)); +#1335=DIRECTION('',(0.E0,0.E0,1.E0)); +#1336=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1337=AXIS2_PLACEMENT_3D('',#1334,#1335,#1336); +#1339=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1340=VECTOR('',#1339,7.5E-2); +#1341=CARTESIAN_POINT('',(5.29E0,-6.4E0,-5.35E0)); +#1342=LINE('',#1341,#1340); +#1343=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1344=VECTOR('',#1343,7.5E-2); +#1345=CARTESIAN_POINT('',(-5.29E0,-6.4E0,-1.164855928896E1)); +#1346=LINE('',#1345,#1344); +#1347=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1348=VECTOR('',#1347,2.651440711040E0); +#1349=CARTESIAN_POINT('',(-5.29E0,-6.475E0,-1.164855928896E1)); +#1350=LINE('',#1349,#1348); +#1351=DIRECTION('',(0.E0,1.E0,0.E0)); +#1352=VECTOR('',#1351,7.5E-2); +#1353=CARTESIAN_POINT('',(-5.29E0,-6.475E0,-9.851440711040E0)); +#1354=LINE('',#1353,#1352); +#1355=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1356=VECTOR('',#1355,4.501440711040E0); +#1357=CARTESIAN_POINT('',(-5.29E0,-6.475E0,-5.35E0)); +#1358=LINE('',#1357,#1356); +#1359=CARTESIAN_POINT('',(-4.165E0,-9.878571428571E0,-1.1E1)); +#1360=CARTESIAN_POINT('',(-4.206671094961E0,-1.006906786268E1,-1.1E1)); +#1361=CARTESIAN_POINT('',(-4.248337781294E0,-1.025954414306E1, +-1.100199893978E1)); +#1362=CARTESIAN_POINT('',(-4.29E0,-1.045E1,-1.100602357054E1)); +#1364=CARTESIAN_POINT('',(-5.458976429461E0,-1.045E1,-1.2175E1)); +#1365=CARTESIAN_POINT('',(-5.463001060219E0,-1.025954414306E1, +-1.221666221871E1)); +#1366=CARTESIAN_POINT('',(-5.465E0,-1.006906786268E1,-1.225832890504E1)); +#1367=CARTESIAN_POINT('',(-5.465E0,-9.878571428571E0,-1.23E1)); +#1369=CARTESIAN_POINT('',(-4.165E0,-1.045E1,-1.23E1)); +#1370=DIRECTION('',(0.E0,1.E0,0.E0)); +#1371=DIRECTION('',(-9.953664842006E-1,0.E0,9.615384615385E-2)); +#1372=AXIS2_PLACEMENT_3D('',#1369,#1370,#1371); +#1374=CARTESIAN_POINT('',(-5.465E0,-9.878571428571E0,-9.2E0)); +#1375=CARTESIAN_POINT('',(-5.465E0,-1.006906786268E1,-9.241671094961E0)); +#1376=CARTESIAN_POINT('',(-5.463001060219E0,-1.025954414306E1, +-9.283337781294E0)); +#1377=CARTESIAN_POINT('',(-5.458976429461E0,-1.045E1,-9.325E0)); +#1379=CARTESIAN_POINT('',(-4.29E0,-1.045E1,-1.049397642946E1)); +#1380=CARTESIAN_POINT('',(-4.248337781294E0,-1.025954414306E1, +-1.049800106022E1)); +#1381=CARTESIAN_POINT('',(-4.206671094961E0,-1.006906786268E1,-1.05E1)); +#1382=CARTESIAN_POINT('',(-4.165E0,-9.878571428571E0,-1.05E1)); +#1384=CARTESIAN_POINT('',(-4.165E0,-1.045E1,-9.2E0)); +#1385=DIRECTION('',(0.E0,1.E0,0.E0)); +#1386=DIRECTION('',(-9.615384615385E-2,0.E0,-9.953664842006E-1)); +#1387=AXIS2_PLACEMENT_3D('',#1384,#1385,#1386); +#1389=DIRECTION('',(0.E0,9.769000173963E-1,2.136968788054E-1)); +#1390=VECTOR('',#1389,2.339762764880E-1); +#1391=CARTESIAN_POINT('',(-5.965E0,-9.878571428571E0,-9.2E0)); +#1392=LINE('',#1391,#1390); +#1393=DIRECTION('',(0.E0,1.E0,0.E0)); +#1394=VECTOR('',#1393,3.059878251450E0); +#1395=CARTESIAN_POINT('',(-5.965E0,-9.878571428571E0,-9.2E0)); +#1396=LINE('',#1395,#1394); +#1397=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#1398=VECTOR('',#1397,5.E-2); +#1399=CARTESIAN_POINT('',(-5.965E0,-6.818693177122E0,-9.2E0)); +#1400=LINE('',#1399,#1398); +#1401=CARTESIAN_POINT('',(-6.14E0,-6.475E0,-9.851440711040E0)); +#1402=CARTESIAN_POINT('',(-6.14E0,-6.477392905578E0,-9.851440711040E0)); +#1403=CARTESIAN_POINT('',(-6.139959569254E0,-6.482179017396E0, +-9.851370896988E0)); +#1404=CARTESIAN_POINT('',(-6.139777746578E0,-6.489354359862E0, +-9.851056798779E0)); +#1405=CARTESIAN_POINT('',(-6.139474502273E0,-6.496531866967E0, +-9.850532495060E0)); +#1406=CARTESIAN_POINT('',(-6.139049385725E0,-6.503712035081E0, +-9.849796526626E0)); +#1407=CARTESIAN_POINT('',(-6.138502128741E0,-6.510892372333E0, +-9.848847469137E0)); +#1408=CARTESIAN_POINT('',(-6.137831997270E0,-6.518075217877E0, +-9.847682799417E0)); +#1409=CARTESIAN_POINT('',(-6.137038697801E0,-6.525257162075E0, +-9.846300459328E0)); +#1410=CARTESIAN_POINT('',(-6.136120871865E0,-6.532443470810E0, +-9.844696217206E0)); +#1411=CARTESIAN_POINT('',(-6.135077483630E0,-6.539634173651E0, +-9.842866061737E0)); +#1412=CARTESIAN_POINT('',(-6.133906237043E0,-6.546835754228E0, +-9.840803392987E0)); +#1413=CARTESIAN_POINT('',(-6.132606894338E0,-6.554040478598E0, +-9.838504829863E0)); +#1414=CARTESIAN_POINT('',(-6.131179622935E0,-6.561241106E0,-9.835967330059E0)); +#1415=CARTESIAN_POINT('',(-6.129623532430E0,-6.568436976605E0, +-9.833185570653E0)); +#1416=CARTESIAN_POINT('',(-6.127935398701E0,-6.575636272492E0, +-9.830149548358E0)); +#1417=CARTESIAN_POINT('',(-6.126115008448E0,-6.582832621301E0, +-9.826854105508E0)); +#1418=CARTESIAN_POINT('',(-6.124162243681E0,-6.590021055255E0, +-9.823293772667E0)); +#1419=CARTESIAN_POINT('',(-6.122072841577E0,-6.597210800838E0, +-9.819454873076E0)); +#1420=CARTESIAN_POINT('',(-6.119846566626E0,-6.604395482083E0, +-9.815330348530E0)); +#1421=CARTESIAN_POINT('',(-6.117483833612E0,-6.611568131515E0, +-9.810913711864E0)); +#1422=CARTESIAN_POINT('',(-6.114982448685E0,-6.618730024029E0, +-9.806192840710E0)); +#1423=CARTESIAN_POINT('',(-6.112339806976E0,-6.625882603067E0, +-9.801153847590E0)); +#1424=CARTESIAN_POINT('',(-6.109554452500E0,-6.633023632774E0, +-9.795783961954E0)); +#1425=CARTESIAN_POINT('',(-6.106626519333E0,-6.640146942526E0, +-9.790072373577E0)); +#1426=CARTESIAN_POINT('',(-6.103558555498E0,-6.647241842488E0, +-9.784012014597E0)); +#1427=CARTESIAN_POINT('',(-6.100346872867E0,-6.654312540052E0, +-9.777582278527E0)); +#1428=CARTESIAN_POINT('',(-6.096990726329E0,-6.661355735731E0, +-9.770766710827E0)); +#1429=CARTESIAN_POINT('',(-6.093492228699E0,-6.668362706471E0, +-9.763553104859E0)); +#1430=CARTESIAN_POINT('',(-6.089854375342E0,-6.675324337298E0, +-9.755929683787E0)); +#1431=CARTESIAN_POINT('',(-6.086073907081E0,-6.682243684174E0, +-9.747869573909E0)); +#1432=CARTESIAN_POINT('',(-6.082155042586E0,-6.689109885692E0, +-9.739359384012E0)); +#1433=CARTESIAN_POINT('',(-6.078103647803E0,-6.695910943107E0, +-9.730387540496E0)); +#1434=CARTESIAN_POINT('',(-6.073922839576E0,-6.702640827851E0, +-9.720934345244E0)); +#1435=CARTESIAN_POINT('',(-6.069611991250E0,-6.709299630045E0, +-9.710968429867E0)); +#1436=CARTESIAN_POINT('',(-6.065177161131E0,-6.715877427713E0, +-9.700470359150E0)); +#1437=CARTESIAN_POINT('',(-6.060623994668E0,-6.722365963280E0, +-9.689416242004E0)); +#1438=CARTESIAN_POINT('',(-6.055972460396E0,-6.728738880334E0, +-9.677814619356E0)); +#1439=CARTESIAN_POINT('',(-6.051230292236E0,-6.734989574513E0, +-9.665642037431E0)); +#1440=CARTESIAN_POINT('',(-6.046408529007E0,-6.741107938262E0, +-9.652879149752E0)); +#1441=CARTESIAN_POINT('',(-6.041521778805E0,-6.747081215835E0, +-9.639512755279E0)); +#1442=CARTESIAN_POINT('',(-6.036578348555E0,-6.752905732775E0, +-9.625507834573E0)); +#1443=CARTESIAN_POINT('',(-6.031594672996E0,-6.758569216759E0, +-9.610846081394E0)); +#1444=CARTESIAN_POINT('',(-6.026591207743E0,-6.764057081259E0, +-9.595517276303E0)); +#1445=CARTESIAN_POINT('',(-6.021586352867E0,-6.769358820587E0, +-9.579499453861E0)); +#1446=CARTESIAN_POINT('',(-6.016620468625E0,-6.774443569716E0, +-9.562839791465E0)); +#1447=CARTESIAN_POINT('',(-6.011720073635E0,-6.779298448245E0, +-9.545544078360E0)); +#1448=CARTESIAN_POINT('',(-6.006910448619E0,-6.783913490321E0, +-9.527611323302E0)); +#1449=CARTESIAN_POINT('',(-6.002219258894E0,-6.788278163153E0, +-9.509048164414E0)); +#1450=CARTESIAN_POINT('',(-5.997670986045E0,-6.792386238998E0, +-9.489846052844E0)); +#1451=CARTESIAN_POINT('',(-5.993298916304E0,-6.796224771590E0, +-9.470031828797E0)); +#1452=CARTESIAN_POINT('',(-5.989135564304E0,-6.799783069898E0, +-9.449635785292E0)); +#1453=CARTESIAN_POINT('',(-5.985210958574E0,-6.803053450402E0, +-9.428680231951E0)); +#1454=CARTESIAN_POINT('',(-5.981564968186E0,-6.806020879061E0, +-9.407255144814E0)); +#1455=CARTESIAN_POINT('',(-5.978225097738E0,-6.808680984366E0, +-9.385407074289E0)); +#1456=CARTESIAN_POINT('',(-5.975214034981E0,-6.811032646578E0, +-9.363164103067E0)); +#1457=CARTESIAN_POINT('',(-5.972553865011E0,-6.813074290701E0, +-9.340562445964E0)); +#1458=CARTESIAN_POINT('',(-5.970264444304E0,-6.814804862164E0, +-9.317638612877E0)); +#1459=CARTESIAN_POINT('',(-5.968363117117E0,-6.816223696607E0, +-9.294421096230E0)); +#1460=CARTESIAN_POINT('',(-5.966868504776E0,-6.817327461130E0, +-9.270988536934E0)); +#1461=CARTESIAN_POINT('',(-5.965793421364E0,-6.818115176979E0, +-9.247419810580E0)); +#1462=CARTESIAN_POINT('',(-5.965144729841E0,-6.818587971421E0, +-9.223742222295E0)); +#1463=CARTESIAN_POINT('',(-5.965E0,-6.818693177122E0,-9.207922011771E0)); +#1464=CARTESIAN_POINT('',(-5.965E0,-6.818693177122E0,-9.2E0)); +#1466=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1467=VECTOR('',#1466,4.501440711040E0); +#1468=CARTESIAN_POINT('',(-6.14E0,-6.475E0,-5.35E0)); +#1469=LINE('',#1468,#1467); +#1470=CARTESIAN_POINT('',(-5.465E0,-6.818693177122E0,-9.2E0)); +#1471=CARTESIAN_POINT('',(-5.465E0,-6.818693177122E0,-9.207922040467E0)); +#1472=CARTESIAN_POINT('',(-5.464855268601E0,-6.818587970287E0, +-9.223742310610E0)); +#1473=CARTESIAN_POINT('',(-5.464206576347E0,-6.818115175308E0, +-9.247419882498E0)); +#1474=CARTESIAN_POINT('',(-5.463131481741E0,-6.817327451252E0, +-9.270988824171E0)); +#1475=CARTESIAN_POINT('',(-5.461636833656E0,-6.816223660171E0, +-9.294421804138E0)); +#1476=CARTESIAN_POINT('',(-5.459735479547E0,-6.814804804937E0, +-9.317639430190E0)); +#1477=CARTESIAN_POINT('',(-5.457446127287E0,-6.813074284354E0, +-9.340562449216E0)); +#1478=CARTESIAN_POINT('',(-5.454786146303E0,-6.811032785837E0, +-9.363162589111E0)); +#1479=CARTESIAN_POINT('',(-5.451775358290E0,-6.808681342716E0, +-9.385403847809E0)); +#1480=CARTESIAN_POINT('',(-5.448435762088E0,-6.806021465225E0, +-9.407250572297E0)); +#1481=CARTESIAN_POINT('',(-5.444790032038E0,-6.803054265863E0, +-9.428674692712E0)); +#1482=CARTESIAN_POINT('',(-5.440865304434E0,-6.799783804230E0, +-9.449631380645E0)); +#1483=CARTESIAN_POINT('',(-5.436701877351E0,-6.796225459178E0, +-9.470028097790E0)); +#1484=CARTESIAN_POINT('',(-5.432329844486E0,-6.792386978033E0, +-9.489842420392E0)); +#1485=CARTESIAN_POINT('',(-5.427781640048E0,-6.788278986727E0, +-9.509044491413E0)); +#1486=CARTESIAN_POINT('',(-5.423090476876E0,-6.783914364679E0, +-9.527607772901E0)); +#1487=CARTESIAN_POINT('',(-5.418280834904E0,-6.779299334492E0, +-9.545540789014E0)); +#1488=CARTESIAN_POINT('',(-5.413380411418E0,-6.774444455543E0, +-9.562836763685E0)); +#1489=CARTESIAN_POINT('',(-5.408414613678E0,-6.769359826387E0, +-9.579496286158E0)); +#1490=CARTESIAN_POINT('',(-5.403409721762E0,-6.764058084557E0, +-9.595514373388E0)); +#1491=CARTESIAN_POINT('',(-5.398406090390E0,-6.758570071035E0, +-9.610843797590E0)); +#1492=CARTESIAN_POINT('',(-5.393422246357E0,-6.752906423960E0, +-9.625506125364E0)); +#1493=CARTESIAN_POINT('',(-5.388478603008E0,-6.747081675891E0, +-9.639511697157E0)); +#1494=CARTESIAN_POINT('',(-5.383591831532E0,-6.741108386498E0, +-9.652878178573E0)); +#1495=CARTESIAN_POINT('',(-5.378770118623E0,-6.734990104907E0, +-9.665640965467E0)); +#1496=CARTESIAN_POINT('',(-5.374028006745E0,-6.728739506423E0, +-9.677813435590E0)); +#1497=CARTESIAN_POINT('',(-5.369376567960E0,-6.722366747791E0, +-9.689414856177E0)); +#1498=CARTESIAN_POINT('',(-5.364823414616E0,-6.715878265306E0, +-9.700468980054E0)); +#1499=CARTESIAN_POINT('',(-5.360388519873E0,-6.709300404137E0, +-9.710967235066E0)); +#1500=CARTESIAN_POINT('',(-5.356077659836E0,-6.702641615459E0, +-9.720933203856E0)); +#1501=CARTESIAN_POINT('',(-5.351896834080E0,-6.695911733913E0, +-9.730386461690E0)); +#1502=CARTESIAN_POINT('',(-5.347845518796E0,-6.689110846631E0, +-9.739358152229E0)); +#1503=CARTESIAN_POINT('',(-5.343926642513E0,-6.682244668940E0, +-9.747868392026E0)); +#1504=CARTESIAN_POINT('',(-5.340146122612E0,-6.675325270162E0, +-9.755928631930E0)); +#1505=CARTESIAN_POINT('',(-5.336508232822E0,-6.668363609276E0, +-9.763552145487E0)); +#1506=CARTESIAN_POINT('',(-5.333009760392E0,-6.661356732499E0, +-9.770765714781E0)); +#1507=CARTESIAN_POINT('',(-5.329653566207E0,-6.654313486728E0, +-9.777581394233E0)); +#1508=CARTESIAN_POINT('',(-5.326441781672E0,-6.647242603682E0, +-9.784011344361E0)); +#1509=CARTESIAN_POINT('',(-5.323373853664E0,-6.640147825291E0, +-9.790071641121E0)); +#1510=CARTESIAN_POINT('',(-5.320445901824E0,-6.633024518210E0, +-9.795783275104E0)); +#1511=CARTESIAN_POINT('',(-5.317660502906E0,-6.625883422056E0, +-9.801153253954E0)); +#1512=CARTESIAN_POINT('',(-5.315017779242E0,-6.618730659941E0, +-9.806192408604E0)); +#1513=CARTESIAN_POINT('',(-5.312516395543E0,-6.611568805915E0, +-9.810913281394E0)); +#1514=CARTESIAN_POINT('',(-5.310153647941E0,-6.604396153871E0, +-9.815329949340E0)); +#1515=CARTESIAN_POINT('',(-5.307927350208E0,-6.597211441440E0, +-9.819454519411E0)); +#1516=CARTESIAN_POINT('',(-5.305837902803E0,-6.590021578757E0, +-9.823293504743E0)); +#1517=CARTESIAN_POINT('',(-5.303885114667E0,-6.582833091032E0, +-9.826853881879E0)); +#1518=CARTESIAN_POINT('',(-5.302064716044E0,-6.575636743397E0, +-9.830149341357E0)); +#1519=CARTESIAN_POINT('',(-5.300376553955E0,-6.568437366054E0, +-9.833185415951E0)); +#1520=CARTESIAN_POINT('',(-5.298820416853E0,-6.561241296217E0, +-9.835967259103E0)); +#1521=CARTESIAN_POINT('',(-5.297393192658E0,-6.554040932939E0, +-9.838504675493E0)); +#1522=CARTESIAN_POINT('',(-5.296093830457E0,-6.546836151945E0, +-9.840803273933E0)); +#1523=CARTESIAN_POINT('',(-5.294922555321E0,-6.539634437245E0, +-9.842865993374E0)); +#1524=CARTESIAN_POINT('',(-5.293879120540E0,-6.532443420547E0, +-9.844696230548E0)); +#1525=CARTESIAN_POINT('',(-5.292961300637E0,-6.525257150903E0, +-9.846300462049E0)); +#1526=CARTESIAN_POINT('',(-5.292167987787E0,-6.518075071119E0, +-9.847682825427E0)); +#1527=CARTESIAN_POINT('',(-5.291497868536E0,-6.510892341785E0, +-9.848847473859E0)); +#1528=CARTESIAN_POINT('',(-5.290950601808E0,-6.503711852128E0, +-9.849796548231E0)); +#1529=CARTESIAN_POINT('',(-5.290525495914E0,-6.496531825892E0, +-9.850532498190E0)); +#1530=CARTESIAN_POINT('',(-5.290222249114E0,-6.489354233496E0, +-9.851056806226E0)); +#1531=CARTESIAN_POINT('',(-5.290040431459E0,-6.482179021484E0, +-9.851370895755E0)); +#1532=CARTESIAN_POINT('',(-5.29E0,-6.477392908727E0,-9.851440711040E0)); +#1533=CARTESIAN_POINT('',(-5.29E0,-6.475E0,-9.851440711040E0)); +#1535=CARTESIAN_POINT('',(-5.965E0,-6.818693177122E0,-1.23E1)); +#1536=CARTESIAN_POINT('',(-5.965E0,-6.818693177122E0,-1.229207796919E1)); +#1537=CARTESIAN_POINT('',(-5.965144730604E0,-6.818587970866E0, +-1.227625773497E1)); +#1538=CARTESIAN_POINT('',(-5.965793422317E0,-6.818115176282E0, +-1.225258016905E1)); +#1539=CARTESIAN_POINT('',(-5.966868504156E0,-6.817327461581E0, +-1.222901147880E1)); +#1540=CARTESIAN_POINT('',(-5.968363113677E0,-6.816223699156E0, +-1.220557895207E1)); +#1541=CARTESIAN_POINT('',(-5.970264441234E0,-6.814804864480E0, +-1.218236141790E1)); +#1542=CARTESIAN_POINT('',(-5.972553868020E0,-6.813074288439E0, +-1.215943752257E1)); +#1543=CARTESIAN_POINT('',(-5.975214047183E0,-6.811032637176E0, +-1.213683579732E1)); +#1544=CARTESIAN_POINT('',(-5.978225120487E0,-6.808680966470E0, +-1.211459276601E1)); +#1545=CARTESIAN_POINT('',(-5.981564997816E0,-6.806020855230E0, +-1.209274467146E1)); +#1546=CARTESIAN_POINT('',(-5.985210989212E0,-6.803053425142E0, +-1.207131959777E1)); +#1547=CARTESIAN_POINT('',(-5.989135589569E0,-6.799783048562E0, +-1.205036408616E1)); +#1548=CARTESIAN_POINT('',(-5.993298945144E0,-6.796224746651E0, +-1.202996803488E1)); +#1549=CARTESIAN_POINT('',(-5.997671021573E0,-6.792386207438E0, +-1.201015379112E1)); +#1550=CARTESIAN_POINT('',(-6.002219304836E0,-6.788278121115E0, +-1.199095164738E1)); +#1551=CARTESIAN_POINT('',(-6.006910497102E0,-6.783913444475E0, +-1.197238849104E1)); +#1552=CARTESIAN_POINT('',(-6.011720113276E0,-6.779298409482E0, +-1.195445577876E1)); +#1553=CARTESIAN_POINT('',(-6.016620495929E0,-6.774443542059E0, +-1.193716011548E1)); +#1554=CARTESIAN_POINT('',(-6.021586368386E0,-6.769358804381E0, +-1.192050049552E1)); +#1555=CARTESIAN_POINT('',(-6.026591226946E0,-6.764057060629E0, +-1.190448266335E1)); +#1556=CARTESIAN_POINT('',(-6.031594694311E0,-6.758569192970E0, +-1.188915385466E1)); +#1557=CARTESIAN_POINT('',(-6.036578368319E0,-6.752905709858E0, +-1.187449210853E1)); +#1558=CARTESIAN_POINT('',(-6.041521794642E0,-6.747081196812E0, +-1.186048720070E1)); +#1559=CARTESIAN_POINT('',(-6.046408546478E0,-6.741107916552E0, +-1.184712080317E1)); +#1560=CARTESIAN_POINT('',(-6.051230312130E0,-6.734989548837E0, +-1.183435791066E1)); +#1561=CARTESIAN_POINT('',(-6.055972482190E0,-6.728738851051E0, +-1.182218532552E1)); +#1562=CARTESIAN_POINT('',(-6.060624013312E0,-6.722365937148E0, +-1.181058371223E1)); +#1563=CARTESIAN_POINT('',(-6.065177177844E0,-6.715877403519E0, +-1.179952960069E1)); +#1564=CARTESIAN_POINT('',(-6.069612018761E0,-6.709299588670E0, +-1.178903150555E1)); +#1565=CARTESIAN_POINT('',(-6.073922878380E0,-6.702640766971E0, +-1.177906556584E1)); +#1566=CARTESIAN_POINT('',(-6.078103697719E0,-6.695910861195E0, +-1.176961234775E1)); +#1567=CARTESIAN_POINT('',(-6.082155090478E0,-6.689109803547E0, +-1.176064051101E1)); +#1568=CARTESIAN_POINT('',(-6.086073955596E0,-6.682243597383E0, +-1.175213032169E1)); +#1569=CARTESIAN_POINT('',(-6.089854424106E0,-6.675324246089E0, +-1.174407021315E1)); +#1570=CARTESIAN_POINT('',(-6.093492277057E0,-6.668362611849E0, +-1.173644679463E1)); +#1571=CARTESIAN_POINT('',(-6.096990773836E0,-6.661355638368E0, +-1.172923319198E1)); +#1572=CARTESIAN_POINT('',(-6.100346918137E0,-6.654312442708E0, +-1.172241763023E1)); +#1573=CARTESIAN_POINT('',(-6.103558597338E0,-6.647241748094E0, +-1.171598790222E1)); +#1574=CARTESIAN_POINT('',(-6.106626560423E0,-6.640146845102E0, +-1.170992754577E1)); +#1575=CARTESIAN_POINT('',(-6.109554491577E0,-6.633023535238E0, +-1.170421596228E1)); +#1576=CARTESIAN_POINT('',(-6.112339844848E0,-6.625882503245E0, +-1.169884607982E1)); +#1577=CARTESIAN_POINT('',(-6.114982482882E0,-6.618729928990E0, +-1.169380709441E1)); +#1578=CARTESIAN_POINT('',(-6.117483870005E0,-6.611568024187E0, +-1.168908621980E1)); +#1579=CARTESIAN_POINT('',(-6.119846592492E0,-6.604395400425E0, +-1.168466960341E1)); +#1580=CARTESIAN_POINT('',(-6.122072858550E0,-6.597210744130E0, +-1.168054509562E1)); +#1581=CARTESIAN_POINT('',(-6.124162261316E0,-6.590020992723E0, +-1.167670619505E1)); +#1582=CARTESIAN_POINT('',(-6.126115023602E0,-6.582832562953E0, +-1.167314586699E1)); +#1583=CARTESIAN_POINT('',(-6.127935404349E0,-6.575636248562E0, +-1.166985044148E1)); +#1584=CARTESIAN_POINT('',(-6.129623533728E0,-6.568436970482E0, +-1.166681442703E1)); +#1585=CARTESIAN_POINT('',(-6.131179621636E0,-6.561241112093E0, +-1.166403267226E1)); +#1586=CARTESIAN_POINT('',(-6.132606893160E0,-6.554040484858E0, +-1.166149517222E1)); +#1587=CARTESIAN_POINT('',(-6.133906235986E0,-6.546835760442E0, +-1.165919660888E1)); +#1588=CARTESIAN_POINT('',(-6.135077483151E0,-6.539634176857E0, +-1.165713393910E1)); +#1589=CARTESIAN_POINT('',(-6.136120871596E0,-6.532443472824E0, +-1.165530378326E1)); +#1590=CARTESIAN_POINT('',(-6.137038697690E0,-6.525257163005E0, +-1.165369954087E1)); +#1591=CARTESIAN_POINT('',(-6.137831997074E0,-6.518075219797E0, +-1.165231720093E1)); +#1592=CARTESIAN_POINT('',(-6.138502128658E0,-6.510892373348E0, +-1.165115253101E1)); +#1593=CARTESIAN_POINT('',(-6.139049385647E0,-6.503712036253E0, +-1.165020347351E1)); +#1594=CARTESIAN_POINT('',(-6.139474502251E0,-6.496531867432E0, +-1.164946750498E1)); +#1595=CARTESIAN_POINT('',(-6.139777746556E0,-6.489354360519E0, +-1.164894320126E1)); +#1596=CARTESIAN_POINT('',(-6.139959569254E0,-6.482179017572E0, +-1.164862910301E1)); +#1597=CARTESIAN_POINT('',(-6.14E0,-6.477392905639E0,-1.164855928896E1)); +#1598=CARTESIAN_POINT('',(-6.14E0,-6.475E0,-1.164855928896E1)); +#1600=CARTESIAN_POINT('',(-5.29E0,-6.475E0,-1.164855928896E1)); +#1601=CARTESIAN_POINT('',(-5.29E0,-6.477392908679E0,-1.164855928896E1)); +#1602=CARTESIAN_POINT('',(-5.290040431459E0,-6.482179021345E0, +-1.164862910425E1)); +#1603=CARTESIAN_POINT('',(-5.290222249094E0,-6.489354232906E0, +-1.164894319374E1)); +#1604=CARTESIAN_POINT('',(-5.290525495898E0,-6.496531825544E0, +-1.164946750178E1)); +#1605=CARTESIAN_POINT('',(-5.290950601738E0,-6.503711851078E0, +-1.165020345165E1)); +#1606=CARTESIAN_POINT('',(-5.291497868469E0,-6.510892340962E0, +-1.165115252603E1)); +#1607=CARTESIAN_POINT('',(-5.292167987609E0,-6.518075069389E0, +-1.165231717426E1)); +#1608=CARTESIAN_POINT('',(-5.292961300560E0,-6.525257150266E0, +-1.165369953782E1)); +#1609=CARTESIAN_POINT('',(-5.293879120302E0,-6.532443418756E0, +-1.165530376904E1)); +#1610=CARTESIAN_POINT('',(-5.294922554885E0,-6.539634434316E0, +-1.165713400586E1)); +#1611=CARTESIAN_POINT('',(-5.296093829449E0,-6.546836146025E0, +-1.165919672429E1)); +#1612=CARTESIAN_POINT('',(-5.297393191565E0,-6.554040927131E0, +-1.166149532257E1)); +#1613=CARTESIAN_POINT('',(-5.298820415641E0,-6.561241290556E0, +-1.166403273873E1)); +#1614=CARTESIAN_POINT('',(-5.300376555464E0,-6.568437373126E0, +-1.166681458675E1)); +#1615=CARTESIAN_POINT('',(-5.302064721961E0,-6.575636768446E0, +-1.166985066929E1)); +#1616=CARTESIAN_POINT('',(-5.303885130254E0,-6.582833151027E0, +-1.167314614641E1)); +#1617=CARTESIAN_POINT('',(-5.305837920708E0,-6.590021642245E0, +-1.167670652803E1)); +#1618=CARTESIAN_POINT('',(-5.307927367587E0,-6.597211499510E0, +-1.168054551264E1)); +#1619=CARTESIAN_POINT('',(-5.310153674309E0,-6.604396237099E0, +-1.168467009966E1)); +#1620=CARTESIAN_POINT('',(-5.312516432560E0,-6.611568915091E0, +-1.168908678812E1)); +#1621=CARTESIAN_POINT('',(-5.315017814279E0,-6.618730757319E0, +-1.169380765787E1)); +#1622=CARTESIAN_POINT('',(-5.317660541599E0,-6.625883524039E0, +-1.169884682021E1)); +#1623=CARTESIAN_POINT('',(-5.320445941802E0,-6.633024617998E0, +-1.170421680242E1)); +#1624=CARTESIAN_POINT('',(-5.323373895671E0,-6.640147924891E0, +-1.170992844133E1)); +#1625=CARTESIAN_POINT('',(-5.326441824582E0,-6.647242700491E0, +-1.171598874095E1)); +#1626=CARTESIAN_POINT('',(-5.329653612544E0,-6.654313586364E0, +-1.172241869916E1)); +#1627=CARTESIAN_POINT('',(-5.333009809059E0,-6.661356832240E0, +-1.172923438479E1)); +#1628=CARTESIAN_POINT('',(-5.336508282291E0,-6.668363706070E0, +-1.173644795733E1)); +#1629=CARTESIAN_POINT('',(-5.340146172633E0,-6.675325363725E0, +-1.174407147379E1)); +#1630=CARTESIAN_POINT('',(-5.343926692288E0,-6.682244757988E0, +-1.175213171509E1)); +#1631=CARTESIAN_POINT('',(-5.347845568076E0,-6.689110931157E0, +-1.176064195580E1)); +#1632=CARTESIAN_POINT('',(-5.351896885364E0,-6.695911818072E0, +-1.176961365313E1)); +#1633=CARTESIAN_POINT('',(-5.356077700026E0,-6.702641678525E0, +-1.177906688823E1)); +#1634=CARTESIAN_POINT('',(-5.360388548796E0,-6.709300447649E0, +-1.178903283282E1)); +#1635=CARTESIAN_POINT('',(-5.364823432723E0,-6.715878291527E0, +-1.179953106344E1)); +#1636=CARTESIAN_POINT('',(-5.369376588107E0,-6.722366776022E0, +-1.181058519329E1)); +#1637=CARTESIAN_POINT('',(-5.374028029909E0,-6.728739537544E0, +-1.182218662300E1)); +#1638=CARTESIAN_POINT('',(-5.378770139921E0,-6.734990132398E0, +-1.183435909010E1)); +#1639=CARTESIAN_POINT('',(-5.383591850391E0,-6.741108409939E0, +-1.184712187223E1)); +#1640=CARTESIAN_POINT('',(-5.388478620578E0,-6.747081696995E0, +-1.186048835168E1)); +#1641=CARTESIAN_POINT('',(-5.393422267812E0,-6.752906448833E0, +-1.187449393642E1)); +#1642=CARTESIAN_POINT('',(-5.398406113427E0,-6.758570096747E0, +-1.188915627153E1)); +#1643=CARTESIAN_POINT('',(-5.403409742541E0,-6.764058106884E0, +-1.190448569189E1)); +#1644=CARTESIAN_POINT('',(-5.408414630730E0,-6.769359844189E0, +-1.192050376948E1)); +#1645=CARTESIAN_POINT('',(-5.413380440147E0,-6.774444484633E0, +-1.193716333427E1)); +#1646=CARTESIAN_POINT('',(-5.418280875873E0,-6.779299374550E0, +-1.195445935868E1)); +#1647=CARTESIAN_POINT('',(-5.423090526740E0,-6.783914411830E0, +-1.197239241805E1)); +#1648=CARTESIAN_POINT('',(-5.427781687493E0,-6.788279030143E0, +-1.199095570292E1)); +#1649=CARTESIAN_POINT('',(-5.432329881521E0,-6.792387010934E0, +-1.201015774223E1)); +#1650=CARTESIAN_POINT('',(-5.436701907516E0,-6.796225485262E0, +-1.202997204479E1)); +#1651=CARTESIAN_POINT('',(-5.440865330750E0,-6.799783826451E0, +-1.205036875328E1)); +#1652=CARTESIAN_POINT('',(-5.444790063668E0,-6.803054291939E0, +-1.207132548314E1)); +#1653=CARTESIAN_POINT('',(-5.448435792353E0,-6.806021489565E0, +-1.209274961542E1)); +#1654=CARTESIAN_POINT('',(-5.451775381431E0,-6.808681360921E0, +-1.211459631466E1)); +#1655=CARTESIAN_POINT('',(-5.454786158758E0,-6.811032795433E0, +-1.213683751253E1)); +#1656=CARTESIAN_POINT('',(-5.457446130421E0,-6.813074286711E0, +-1.215943758346E1)); +#1657=CARTESIAN_POINT('',(-5.459735476478E0,-6.814804802621E0, +-1.218236053912E1)); +#1658=CARTESIAN_POINT('',(-5.461636830167E0,-6.816223657586E0, +-1.220557814692E1)); +#1659=CARTESIAN_POINT('',(-5.463131481089E0,-6.817327450778E0, +-1.222901115950E1)); +#1660=CARTESIAN_POINT('',(-5.464206577288E0,-6.818115175997E0, +-1.225258013749E1)); +#1661=CARTESIAN_POINT('',(-5.464855269361E0,-6.818587970840E0, +-1.227625773194E1)); +#1662=CARTESIAN_POINT('',(-5.465E0,-6.818693177122E0,-1.229207797852E1)); +#1663=CARTESIAN_POINT('',(-5.465E0,-6.818693177122E0,-1.23E1)); +#1665=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1666=VECTOR('',#1665,2.651440711040E0); +#1667=CARTESIAN_POINT('',(-6.14E0,-6.475E0,-1.164855928896E1)); +#1668=LINE('',#1667,#1666); +#1669=DIRECTION('',(0.E0,-9.769000173963E-1,-2.136968788054E-1)); +#1670=VECTOR('',#1669,2.339762764880E-1); +#1671=CARTESIAN_POINT('',(-5.465E0,-9.65E0,-9.15E0)); +#1672=LINE('',#1671,#1670); +#1673=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1674=VECTOR('',#1673,2.831306822878E0); +#1675=CARTESIAN_POINT('',(-5.465E0,-6.818693177122E0,-9.15E0)); +#1676=LINE('',#1675,#1674); +#1677=DIRECTION('',(0.E0,0.E0,1.E0)); +#1678=VECTOR('',#1677,5.E-2); +#1679=CARTESIAN_POINT('',(-5.465E0,-6.818693177122E0,-9.2E0)); +#1680=LINE('',#1679,#1678); +#1681=DIRECTION('',(0.E0,1.E0,0.E0)); +#1682=VECTOR('',#1681,3.059878251450E0); +#1683=CARTESIAN_POINT('',(-5.465E0,-9.878571428571E0,-9.2E0)); +#1684=LINE('',#1683,#1682); +#1685=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1686=VECTOR('',#1685,5.E-1); +#1687=CARTESIAN_POINT('',(-5.465E0,-9.65E0,-9.15E0)); +#1688=LINE('',#1687,#1686); +#1689=DIRECTION('',(0.E0,1.E0,0.E0)); +#1690=VECTOR('',#1689,2.831306822878E0); +#1691=CARTESIAN_POINT('',(-5.965E0,-9.65E0,-9.15E0)); +#1692=LINE('',#1691,#1690); +#1693=CARTESIAN_POINT('',(-5.715E0,-6.475E0,-9.15E0)); +#1694=DIRECTION('',(0.E0,0.E0,1.E0)); +#1695=DIRECTION('',(-5.882352941176E-1,-8.086898285216E-1,0.E0)); +#1696=AXIS2_PLACEMENT_3D('',#1693,#1694,#1695); +#1698=DIRECTION('',(0.E0,-9.769000173963E-1,2.136968788054E-1)); +#1699=VECTOR('',#1698,2.339762764880E-1); +#1700=CARTESIAN_POINT('',(-5.965E0,-9.65E0,-1.235E1)); +#1701=LINE('',#1700,#1699); +#1702=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1703=VECTOR('',#1702,2.831306822878E0); +#1704=CARTESIAN_POINT('',(-5.965E0,-6.818693177122E0,-1.235E1)); +#1705=LINE('',#1704,#1703); +#1706=DIRECTION('',(0.E0,1.776356839400E-14,1.E0)); +#1707=VECTOR('',#1706,5.E-2); +#1708=CARTESIAN_POINT('',(-5.965E0,-6.818693177122E0,-1.235E1)); +#1709=LINE('',#1708,#1707); +#1710=DIRECTION('',(0.E0,1.E0,0.E0)); +#1711=VECTOR('',#1710,3.059878251450E0); +#1712=CARTESIAN_POINT('',(-5.965E0,-9.878571428571E0,-1.23E1)); +#1713=LINE('',#1712,#1711); +#1714=DIRECTION('',(1.138805736674E-14,9.769000173963E-1,-2.136968788054E-1)); +#1715=VECTOR('',#1714,2.339762764880E-1); +#1716=CARTESIAN_POINT('',(-5.465E0,-9.878571428571E0,-1.23E1)); +#1717=LINE('',#1716,#1715); +#1718=DIRECTION('',(0.E0,1.E0,0.E0)); +#1719=VECTOR('',#1718,3.059878251450E0); +#1720=CARTESIAN_POINT('',(-5.465E0,-9.878571428571E0,-1.23E1)); +#1721=LINE('',#1720,#1719); +#1722=DIRECTION('',(0.E0,0.E0,1.E0)); +#1723=VECTOR('',#1722,5.E-2); +#1724=CARTESIAN_POINT('',(-5.465E0,-6.818693177122E0,-1.235E1)); +#1725=LINE('',#1724,#1723); +#1726=DIRECTION('',(1.E0,0.E0,0.E0)); +#1727=VECTOR('',#1726,5.E-1); +#1728=CARTESIAN_POINT('',(-5.965E0,-9.65E0,-1.235E1)); +#1729=LINE('',#1728,#1727); +#1730=DIRECTION('',(0.E0,1.E0,0.E0)); +#1731=VECTOR('',#1730,2.831306822878E0); +#1732=CARTESIAN_POINT('',(-5.465E0,-9.65E0,-1.235E1)); +#1733=LINE('',#1732,#1731); +#1734=CARTESIAN_POINT('',(-5.715E0,-6.475E0,-1.235E1)); +#1735=DIRECTION('',(0.E0,0.E0,1.E0)); +#1736=DIRECTION('',(-5.882352941176E-1,-8.086898285216E-1,0.E0)); +#1737=AXIS2_PLACEMENT_3D('',#1734,#1735,#1736); +#1739=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1740=VECTOR('',#1739,7.5E-2); +#1741=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-1.43E1)); +#1742=LINE('',#1741,#1740); +#1743=CARTESIAN_POINT('',(-5.715E0,-6.475E0,-1.43E1)); +#1744=DIRECTION('',(0.E0,0.E0,1.E0)); +#1745=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1746=AXIS2_PLACEMENT_3D('',#1743,#1744,#1745); +#1748=DIRECTION('',(0.E0,1.E0,0.E0)); +#1749=VECTOR('',#1748,7.5E-2); +#1750=CARTESIAN_POINT('',(-5.29E0,-6.475E0,-1.43E1)); +#1751=LINE('',#1750,#1749); +#1752=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1753=VECTOR('',#1752,7.5E-2); +#1754=CARTESIAN_POINT('',(5.29E0,-6.4E0,-1.43E1)); +#1755=LINE('',#1754,#1753); +#1756=CARTESIAN_POINT('',(5.715E0,-6.475E0,-1.43E1)); +#1757=DIRECTION('',(0.E0,0.E0,1.E0)); +#1758=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1759=AXIS2_PLACEMENT_3D('',#1756,#1757,#1758); +#1761=DIRECTION('',(0.E0,1.E0,0.E0)); +#1762=VECTOR('',#1761,7.5E-2); +#1763=CARTESIAN_POINT('',(6.14E0,-6.475E0,-1.43E1)); +#1764=LINE('',#1763,#1762); +#1765=DIRECTION('',(0.E0,-1.E0,-2.368475785867E-14)); +#1766=VECTOR('',#1765,7.5E-2); +#1767=CARTESIAN_POINT('',(5.29E0,-6.4E0,-9.851440711040E0)); +#1768=LINE('',#1767,#1766); +#1769=DIRECTION('',(0.E0,1.E0,0.E0)); +#1770=VECTOR('',#1769,7.5E-2); +#1771=CARTESIAN_POINT('',(5.29E0,-6.475E0,-1.164855928896E1)); +#1772=LINE('',#1771,#1770); +#1773=CARTESIAN_POINT('',(5.458976429461E0,-1.045E1,-9.325E0)); +#1774=CARTESIAN_POINT('',(5.463001060219E0,-1.025954414306E1, +-9.283337781294E0)); +#1775=CARTESIAN_POINT('',(5.465E0,-1.006906786268E1,-9.241671094961E0)); +#1776=CARTESIAN_POINT('',(5.465E0,-9.878571428571E0,-9.2E0)); +#1778=CARTESIAN_POINT('',(4.165E0,-1.045E1,-9.2E0)); +#1779=DIRECTION('',(0.E0,1.E0,0.E0)); +#1780=DIRECTION('',(9.953664842006E-1,0.E0,-9.615384615385E-2)); +#1781=AXIS2_PLACEMENT_3D('',#1778,#1779,#1780); +#1783=CARTESIAN_POINT('',(4.165E0,-9.878571428571E0,-1.05E1)); +#1784=CARTESIAN_POINT('',(4.206671094961E0,-1.006906786268E1,-1.05E1)); +#1785=CARTESIAN_POINT('',(4.248337781294E0,-1.025954414306E1, +-1.049800106022E1)); +#1786=CARTESIAN_POINT('',(4.29E0,-1.045E1,-1.049397642946E1)); +#1788=DIRECTION('',(0.E0,9.769000173963E-1,2.136968788054E-1)); +#1789=VECTOR('',#1788,2.339762764880E-1); +#1790=CARTESIAN_POINT('',(5.465E0,-9.878571428571E0,-9.2E0)); +#1791=LINE('',#1790,#1789); +#1792=DIRECTION('',(0.E0,1.E0,0.E0)); +#1793=VECTOR('',#1792,3.059878251450E0); +#1794=CARTESIAN_POINT('',(5.465E0,-9.878571428571E0,-9.2E0)); +#1795=LINE('',#1794,#1793); +#1796=DIRECTION('',(0.E0,0.E0,1.E0)); +#1797=VECTOR('',#1796,4.999999999999E-2); +#1798=CARTESIAN_POINT('',(5.465E0,-6.818693177122E0,-9.2E0)); +#1799=LINE('',#1798,#1797); +#1800=CARTESIAN_POINT('',(5.965E0,-6.818693177122E0,-9.2E0)); +#1801=CARTESIAN_POINT('',(5.965E0,-6.818693177122E0,-9.207922040467E0)); +#1802=CARTESIAN_POINT('',(5.965144731399E0,-6.818587970287E0, +-9.223742310610E0)); +#1803=CARTESIAN_POINT('',(5.965793423653E0,-6.818115175308E0, +-9.247419882498E0)); +#1804=CARTESIAN_POINT('',(5.966868518259E0,-6.817327451252E0, +-9.270988824171E0)); +#1805=CARTESIAN_POINT('',(5.968363166344E0,-6.816223660171E0, +-9.294421804138E0)); +#1806=CARTESIAN_POINT('',(5.970264520453E0,-6.814804804937E0, +-9.317639430190E0)); +#1807=CARTESIAN_POINT('',(5.972553872713E0,-6.813074284354E0, +-9.340562449216E0)); +#1808=CARTESIAN_POINT('',(5.975213853697E0,-6.811032785837E0, +-9.363162589111E0)); +#1809=CARTESIAN_POINT('',(5.978224641710E0,-6.808681342716E0, +-9.385403847809E0)); +#1810=CARTESIAN_POINT('',(5.981564237912E0,-6.806021465225E0, +-9.407250572297E0)); +#1811=CARTESIAN_POINT('',(5.985209967962E0,-6.803054265863E0, +-9.428674692712E0)); +#1812=CARTESIAN_POINT('',(5.989134695566E0,-6.799783804230E0, +-9.449631380645E0)); +#1813=CARTESIAN_POINT('',(5.993298122649E0,-6.796225459178E0, +-9.470028097790E0)); +#1814=CARTESIAN_POINT('',(5.997670155514E0,-6.792386978033E0, +-9.489842420392E0)); +#1815=CARTESIAN_POINT('',(6.002218359952E0,-6.788278986727E0, +-9.509044491413E0)); +#1816=CARTESIAN_POINT('',(6.006909523124E0,-6.783914364679E0, +-9.527607772901E0)); +#1817=CARTESIAN_POINT('',(6.011719165096E0,-6.779299334492E0, +-9.545540789014E0)); +#1818=CARTESIAN_POINT('',(6.016619588582E0,-6.774444455543E0, +-9.562836763685E0)); +#1819=CARTESIAN_POINT('',(6.021585386322E0,-6.769359826387E0, +-9.579496286158E0)); +#1820=CARTESIAN_POINT('',(6.026590278238E0,-6.764058084557E0, +-9.595514373388E0)); +#1821=CARTESIAN_POINT('',(6.031593909610E0,-6.758570071035E0, +-9.610843797590E0)); +#1822=CARTESIAN_POINT('',(6.036577753643E0,-6.752906423960E0, +-9.625506125364E0)); +#1823=CARTESIAN_POINT('',(6.041521396992E0,-6.747081675891E0, +-9.639511697157E0)); +#1824=CARTESIAN_POINT('',(6.046408168468E0,-6.741108386498E0, +-9.652878178573E0)); +#1825=CARTESIAN_POINT('',(6.051229881377E0,-6.734990104907E0, +-9.665640965467E0)); +#1826=CARTESIAN_POINT('',(6.055971993255E0,-6.728739506423E0, +-9.677813435589E0)); +#1827=CARTESIAN_POINT('',(6.060623432040E0,-6.722366747791E0, +-9.689414856177E0)); +#1828=CARTESIAN_POINT('',(6.065176585384E0,-6.715878265306E0, +-9.700468980054E0)); +#1829=CARTESIAN_POINT('',(6.069611480127E0,-6.709300404137E0, +-9.710967235066E0)); +#1830=CARTESIAN_POINT('',(6.073922340164E0,-6.702641615459E0, +-9.720933203856E0)); +#1831=CARTESIAN_POINT('',(6.078103165920E0,-6.695911733913E0, +-9.730386461690E0)); +#1832=CARTESIAN_POINT('',(6.082154481204E0,-6.689110846632E0, +-9.739358152229E0)); +#1833=CARTESIAN_POINT('',(6.086073357487E0,-6.682244668940E0, +-9.747868392026E0)); +#1834=CARTESIAN_POINT('',(6.089853877388E0,-6.675325270162E0, +-9.755928631930E0)); +#1835=CARTESIAN_POINT('',(6.093491767178E0,-6.668363609276E0, +-9.763552145487E0)); +#1836=CARTESIAN_POINT('',(6.096990239608E0,-6.661356732499E0, +-9.770765714781E0)); +#1837=CARTESIAN_POINT('',(6.100346433793E0,-6.654313486728E0, +-9.777581394233E0)); +#1838=CARTESIAN_POINT('',(6.103558218328E0,-6.647242603682E0, +-9.784011344361E0)); +#1839=CARTESIAN_POINT('',(6.106626146336E0,-6.640147825291E0, +-9.790071641121E0)); +#1840=CARTESIAN_POINT('',(6.109554098176E0,-6.633024518210E0, +-9.795783275104E0)); +#1841=CARTESIAN_POINT('',(6.112339497094E0,-6.625883422056E0, +-9.801153253954E0)); +#1842=CARTESIAN_POINT('',(6.114982220758E0,-6.618730659941E0, +-9.806192408604E0)); +#1843=CARTESIAN_POINT('',(6.117483604457E0,-6.611568805915E0, +-9.810913281394E0)); +#1844=CARTESIAN_POINT('',(6.119846352059E0,-6.604396153871E0, +-9.815329949340E0)); +#1845=CARTESIAN_POINT('',(6.122072649792E0,-6.597211441440E0, +-9.819454519411E0)); +#1846=CARTESIAN_POINT('',(6.124162097197E0,-6.590021578757E0, +-9.823293504743E0)); +#1847=CARTESIAN_POINT('',(6.126114885333E0,-6.582833091032E0, +-9.826853881879E0)); +#1848=CARTESIAN_POINT('',(6.127935283956E0,-6.575636743397E0, +-9.830149341357E0)); +#1849=CARTESIAN_POINT('',(6.129623446045E0,-6.568437366054E0, +-9.833185415951E0)); +#1850=CARTESIAN_POINT('',(6.131179583147E0,-6.561241296217E0, +-9.835967259103E0)); +#1851=CARTESIAN_POINT('',(6.132606807342E0,-6.554040932939E0, +-9.838504675493E0)); +#1852=CARTESIAN_POINT('',(6.133906169543E0,-6.546836151945E0, +-9.840803273933E0)); +#1853=CARTESIAN_POINT('',(6.135077444679E0,-6.539634437245E0, +-9.842865993374E0)); +#1854=CARTESIAN_POINT('',(6.136120879460E0,-6.532443420547E0, +-9.844696230548E0)); +#1855=CARTESIAN_POINT('',(6.137038699363E0,-6.525257150903E0, +-9.846300462049E0)); +#1856=CARTESIAN_POINT('',(6.137832012213E0,-6.518075071119E0, +-9.847682825427E0)); +#1857=CARTESIAN_POINT('',(6.138502131464E0,-6.510892341785E0, +-9.848847473859E0)); +#1858=CARTESIAN_POINT('',(6.139049398192E0,-6.503711852128E0, +-9.849796548231E0)); +#1859=CARTESIAN_POINT('',(6.139474504086E0,-6.496531825892E0, +-9.850532498190E0)); +#1860=CARTESIAN_POINT('',(6.139777750886E0,-6.489354233496E0, +-9.851056806226E0)); +#1861=CARTESIAN_POINT('',(6.139959568541E0,-6.482179021484E0, +-9.851370895755E0)); +#1862=CARTESIAN_POINT('',(6.14E0,-6.477392908727E0,-9.851440711040E0)); +#1863=CARTESIAN_POINT('',(6.14E0,-6.475E0,-9.851440711040E0)); +#1865=CARTESIAN_POINT('',(5.29E0,-6.475E0,-9.851440711040E0)); +#1866=CARTESIAN_POINT('',(5.29E0,-6.477392905578E0,-9.851440711040E0)); +#1867=CARTESIAN_POINT('',(5.290040430746E0,-6.482179017396E0, +-9.851370896988E0)); +#1868=CARTESIAN_POINT('',(5.290222253422E0,-6.489354359862E0, +-9.851056798779E0)); +#1869=CARTESIAN_POINT('',(5.290525497727E0,-6.496531866967E0, +-9.850532495060E0)); +#1870=CARTESIAN_POINT('',(5.290950614275E0,-6.503712035081E0, +-9.849796526626E0)); +#1871=CARTESIAN_POINT('',(5.291497871259E0,-6.510892372333E0, +-9.848847469137E0)); +#1872=CARTESIAN_POINT('',(5.292168002730E0,-6.518075217877E0, +-9.847682799417E0)); +#1873=CARTESIAN_POINT('',(5.292961302199E0,-6.525257162075E0, +-9.846300459328E0)); +#1874=CARTESIAN_POINT('',(5.293879128135E0,-6.532443470810E0, +-9.844696217206E0)); +#1875=CARTESIAN_POINT('',(5.294922516370E0,-6.539634173651E0, +-9.842866061737E0)); +#1876=CARTESIAN_POINT('',(5.296093762957E0,-6.546835754228E0, +-9.840803392987E0)); +#1877=CARTESIAN_POINT('',(5.297393105662E0,-6.554040478598E0, +-9.838504829863E0)); +#1878=CARTESIAN_POINT('',(5.298820377065E0,-6.561241106E0,-9.835967330059E0)); +#1879=CARTESIAN_POINT('',(5.300376467570E0,-6.568436976605E0, +-9.833185570653E0)); +#1880=CARTESIAN_POINT('',(5.302064601299E0,-6.575636272492E0, +-9.830149548358E0)); +#1881=CARTESIAN_POINT('',(5.303884991552E0,-6.582832621301E0, +-9.826854105508E0)); +#1882=CARTESIAN_POINT('',(5.305837756319E0,-6.590021055255E0, +-9.823293772667E0)); +#1883=CARTESIAN_POINT('',(5.307927158423E0,-6.597210800838E0, +-9.819454873076E0)); +#1884=CARTESIAN_POINT('',(5.310153433374E0,-6.604395482083E0, +-9.815330348530E0)); +#1885=CARTESIAN_POINT('',(5.312516166388E0,-6.611568131515E0, +-9.810913711864E0)); +#1886=CARTESIAN_POINT('',(5.315017551316E0,-6.618730024029E0, +-9.806192840710E0)); +#1887=CARTESIAN_POINT('',(5.317660193024E0,-6.625882603067E0, +-9.801153847590E0)); +#1888=CARTESIAN_POINT('',(5.320445547500E0,-6.633023632774E0, +-9.795783961954E0)); +#1889=CARTESIAN_POINT('',(5.323373480667E0,-6.640146942526E0, +-9.790072373577E0)); +#1890=CARTESIAN_POINT('',(5.326441444502E0,-6.647241842488E0, +-9.784012014597E0)); +#1891=CARTESIAN_POINT('',(5.329653127133E0,-6.654312540052E0, +-9.777582278527E0)); +#1892=CARTESIAN_POINT('',(5.333009273671E0,-6.661355735731E0, +-9.770766710827E0)); +#1893=CARTESIAN_POINT('',(5.336507771301E0,-6.668362706471E0, +-9.763553104859E0)); +#1894=CARTESIAN_POINT('',(5.340145624658E0,-6.675324337298E0, +-9.755929683787E0)); +#1895=CARTESIAN_POINT('',(5.343926092919E0,-6.682243684174E0, +-9.747869573909E0)); +#1896=CARTESIAN_POINT('',(5.347844957414E0,-6.689109885692E0, +-9.739359384012E0)); +#1897=CARTESIAN_POINT('',(5.351896352197E0,-6.695910943107E0, +-9.730387540496E0)); +#1898=CARTESIAN_POINT('',(5.356077160424E0,-6.702640827851E0, +-9.720934345244E0)); +#1899=CARTESIAN_POINT('',(5.360388008750E0,-6.709299630045E0, +-9.710968429867E0)); +#1900=CARTESIAN_POINT('',(5.364822838869E0,-6.715877427713E0, +-9.700470359150E0)); +#1901=CARTESIAN_POINT('',(5.369376005332E0,-6.722365963280E0, +-9.689416242004E0)); +#1902=CARTESIAN_POINT('',(5.374027539604E0,-6.728738880334E0, +-9.677814619356E0)); +#1903=CARTESIAN_POINT('',(5.378769707764E0,-6.734989574513E0, +-9.665642037431E0)); +#1904=CARTESIAN_POINT('',(5.383591470993E0,-6.741107938262E0, +-9.652879149752E0)); +#1905=CARTESIAN_POINT('',(5.388478221195E0,-6.747081215835E0, +-9.639512755279E0)); +#1906=CARTESIAN_POINT('',(5.393421651445E0,-6.752905732775E0, +-9.625507834573E0)); +#1907=CARTESIAN_POINT('',(5.398405327004E0,-6.758569216759E0, +-9.610846081394E0)); +#1908=CARTESIAN_POINT('',(5.403408792257E0,-6.764057081259E0, +-9.595517276303E0)); +#1909=CARTESIAN_POINT('',(5.408413647133E0,-6.769358820587E0, +-9.579499453861E0)); +#1910=CARTESIAN_POINT('',(5.413379531375E0,-6.774443569716E0, +-9.562839791465E0)); +#1911=CARTESIAN_POINT('',(5.418279926365E0,-6.779298448245E0, +-9.545544078360E0)); +#1912=CARTESIAN_POINT('',(5.423089551381E0,-6.783913490321E0, +-9.527611323302E0)); +#1913=CARTESIAN_POINT('',(5.427780741106E0,-6.788278163153E0, +-9.509048164414E0)); +#1914=CARTESIAN_POINT('',(5.432329013955E0,-6.792386238998E0, +-9.489846052844E0)); +#1915=CARTESIAN_POINT('',(5.436701083696E0,-6.796224771590E0, +-9.470031828797E0)); +#1916=CARTESIAN_POINT('',(5.440864435696E0,-6.799783069898E0, +-9.449635785292E0)); +#1917=CARTESIAN_POINT('',(5.444789041426E0,-6.803053450402E0, +-9.428680231951E0)); +#1918=CARTESIAN_POINT('',(5.448435031814E0,-6.806020879061E0, +-9.407255144814E0)); +#1919=CARTESIAN_POINT('',(5.451774902262E0,-6.808680984366E0, +-9.385407074289E0)); +#1920=CARTESIAN_POINT('',(5.454785965019E0,-6.811032646578E0, +-9.363164103067E0)); +#1921=CARTESIAN_POINT('',(5.457446134989E0,-6.813074290701E0, +-9.340562445964E0)); +#1922=CARTESIAN_POINT('',(5.459735555696E0,-6.814804862164E0, +-9.317638612877E0)); +#1923=CARTESIAN_POINT('',(5.461636882883E0,-6.816223696607E0, +-9.294421096230E0)); +#1924=CARTESIAN_POINT('',(5.463131495224E0,-6.817327461130E0, +-9.270988536934E0)); +#1925=CARTESIAN_POINT('',(5.464206578636E0,-6.818115176979E0, +-9.247419810580E0)); +#1926=CARTESIAN_POINT('',(5.464855270159E0,-6.818587971421E0, +-9.223742222295E0)); +#1927=CARTESIAN_POINT('',(5.465E0,-6.818693177122E0,-9.207922011771E0)); +#1928=CARTESIAN_POINT('',(5.465E0,-6.818693177122E0,-9.2E0)); +#1930=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1931=VECTOR('',#1930,4.501440711040E0); +#1932=CARTESIAN_POINT('',(5.29E0,-6.475E0,-5.35E0)); +#1933=LINE('',#1932,#1931); +#1934=CARTESIAN_POINT('',(5.465E0,-6.818693177122E0,-1.23E1)); +#1935=CARTESIAN_POINT('',(5.465E0,-6.818693177122E0,-1.229207796919E1)); +#1936=CARTESIAN_POINT('',(5.464855269396E0,-6.818587970866E0, +-1.227625773497E1)); +#1937=CARTESIAN_POINT('',(5.464206577683E0,-6.818115176282E0, +-1.225258016905E1)); +#1938=CARTESIAN_POINT('',(5.463131495844E0,-6.817327461581E0, +-1.222901147880E1)); +#1939=CARTESIAN_POINT('',(5.461636886323E0,-6.816223699156E0, +-1.220557895207E1)); +#1940=CARTESIAN_POINT('',(5.459735558766E0,-6.814804864480E0, +-1.218236141790E1)); +#1941=CARTESIAN_POINT('',(5.457446131980E0,-6.813074288439E0, +-1.215943752257E1)); +#1942=CARTESIAN_POINT('',(5.454785952817E0,-6.811032637176E0, +-1.213683579732E1)); +#1943=CARTESIAN_POINT('',(5.451774879513E0,-6.808680966470E0, +-1.211459276601E1)); +#1944=CARTESIAN_POINT('',(5.448435002184E0,-6.806020855230E0, +-1.209274467146E1)); +#1945=CARTESIAN_POINT('',(5.444789010788E0,-6.803053425142E0, +-1.207131959777E1)); +#1946=CARTESIAN_POINT('',(5.440864410431E0,-6.799783048562E0, +-1.205036408616E1)); +#1947=CARTESIAN_POINT('',(5.436701054856E0,-6.796224746651E0, +-1.202996803488E1)); +#1948=CARTESIAN_POINT('',(5.432328978427E0,-6.792386207438E0, +-1.201015379112E1)); +#1949=CARTESIAN_POINT('',(5.427780695164E0,-6.788278121115E0, +-1.199095164738E1)); +#1950=CARTESIAN_POINT('',(5.423089502898E0,-6.783913444475E0, +-1.197238849104E1)); +#1951=CARTESIAN_POINT('',(5.418279886724E0,-6.779298409482E0, +-1.195445577876E1)); +#1952=CARTESIAN_POINT('',(5.413379504071E0,-6.774443542059E0, +-1.193716011548E1)); +#1953=CARTESIAN_POINT('',(5.408413631614E0,-6.769358804381E0, +-1.192050049552E1)); +#1954=CARTESIAN_POINT('',(5.403408773054E0,-6.764057060629E0, +-1.190448266335E1)); +#1955=CARTESIAN_POINT('',(5.398405305689E0,-6.758569192970E0, +-1.188915385466E1)); +#1956=CARTESIAN_POINT('',(5.393421631681E0,-6.752905709858E0, +-1.187449210853E1)); +#1957=CARTESIAN_POINT('',(5.388478205358E0,-6.747081196812E0, +-1.186048720070E1)); +#1958=CARTESIAN_POINT('',(5.383591453522E0,-6.741107916552E0, +-1.184712080317E1)); +#1959=CARTESIAN_POINT('',(5.378769687870E0,-6.734989548837E0, +-1.183435791066E1)); +#1960=CARTESIAN_POINT('',(5.374027517810E0,-6.728738851051E0, +-1.182218532552E1)); +#1961=CARTESIAN_POINT('',(5.369375986688E0,-6.722365937148E0, +-1.181058371223E1)); +#1962=CARTESIAN_POINT('',(5.364822822156E0,-6.715877403519E0, +-1.179952960069E1)); +#1963=CARTESIAN_POINT('',(5.360387981239E0,-6.709299588670E0, +-1.178903150555E1)); +#1964=CARTESIAN_POINT('',(5.356077121620E0,-6.702640766971E0, +-1.177906556584E1)); +#1965=CARTESIAN_POINT('',(5.351896302281E0,-6.695910861195E0, +-1.176961234775E1)); +#1966=CARTESIAN_POINT('',(5.347844909522E0,-6.689109803547E0, +-1.176064051101E1)); +#1967=CARTESIAN_POINT('',(5.343926044404E0,-6.682243597383E0, +-1.175213032169E1)); +#1968=CARTESIAN_POINT('',(5.340145575894E0,-6.675324246089E0, +-1.174407021315E1)); +#1969=CARTESIAN_POINT('',(5.336507722943E0,-6.668362611849E0, +-1.173644679463E1)); +#1970=CARTESIAN_POINT('',(5.333009226164E0,-6.661355638368E0, +-1.172923319198E1)); +#1971=CARTESIAN_POINT('',(5.329653081863E0,-6.654312442708E0, +-1.172241763023E1)); +#1972=CARTESIAN_POINT('',(5.326441402662E0,-6.647241748094E0, +-1.171598790222E1)); +#1973=CARTESIAN_POINT('',(5.323373439577E0,-6.640146845102E0, +-1.170992754577E1)); +#1974=CARTESIAN_POINT('',(5.320445508423E0,-6.633023535238E0, +-1.170421596228E1)); +#1975=CARTESIAN_POINT('',(5.317660155152E0,-6.625882503245E0, +-1.169884607982E1)); +#1976=CARTESIAN_POINT('',(5.315017517118E0,-6.618729928990E0, +-1.169380709441E1)); +#1977=CARTESIAN_POINT('',(5.312516129995E0,-6.611568024187E0, +-1.168908621980E1)); +#1978=CARTESIAN_POINT('',(5.310153407508E0,-6.604395400425E0, +-1.168466960341E1)); +#1979=CARTESIAN_POINT('',(5.307927141450E0,-6.597210744130E0, +-1.168054509562E1)); +#1980=CARTESIAN_POINT('',(5.305837738684E0,-6.590020992723E0, +-1.167670619505E1)); +#1981=CARTESIAN_POINT('',(5.303884976398E0,-6.582832562953E0, +-1.167314586699E1)); +#1982=CARTESIAN_POINT('',(5.302064595651E0,-6.575636248562E0, +-1.166985044148E1)); +#1983=CARTESIAN_POINT('',(5.300376466272E0,-6.568436970482E0, +-1.166681442703E1)); +#1984=CARTESIAN_POINT('',(5.298820378364E0,-6.561241112093E0, +-1.166403267226E1)); +#1985=CARTESIAN_POINT('',(5.297393106840E0,-6.554040484858E0, +-1.166149517222E1)); +#1986=CARTESIAN_POINT('',(5.296093764014E0,-6.546835760442E0, +-1.165919660888E1)); +#1987=CARTESIAN_POINT('',(5.294922516849E0,-6.539634176857E0, +-1.165713393910E1)); +#1988=CARTESIAN_POINT('',(5.293879128404E0,-6.532443472824E0, +-1.165530378326E1)); +#1989=CARTESIAN_POINT('',(5.292961302310E0,-6.525257163005E0, +-1.165369954087E1)); +#1990=CARTESIAN_POINT('',(5.292168002926E0,-6.518075219797E0, +-1.165231720093E1)); +#1991=CARTESIAN_POINT('',(5.291497871342E0,-6.510892373348E0, +-1.165115253101E1)); +#1992=CARTESIAN_POINT('',(5.290950614353E0,-6.503712036253E0, +-1.165020347351E1)); +#1993=CARTESIAN_POINT('',(5.290525497749E0,-6.496531867432E0, +-1.164946750498E1)); +#1994=CARTESIAN_POINT('',(5.290222253444E0,-6.489354360519E0, +-1.164894320126E1)); +#1995=CARTESIAN_POINT('',(5.290040430746E0,-6.482179017572E0, +-1.164862910301E1)); +#1996=CARTESIAN_POINT('',(5.29E0,-6.477392905639E0,-1.164855928896E1)); +#1997=CARTESIAN_POINT('',(5.29E0,-6.475E0,-1.164855928896E1)); +#1999=CARTESIAN_POINT('',(6.14E0,-6.475E0,-1.164855928896E1)); +#2000=CARTESIAN_POINT('',(6.14E0,-6.477392908679E0,-1.164855928896E1)); +#2001=CARTESIAN_POINT('',(6.139959568541E0,-6.482179021346E0, +-1.164862910425E1)); +#2002=CARTESIAN_POINT('',(6.139777750906E0,-6.489354232906E0, +-1.164894319374E1)); +#2003=CARTESIAN_POINT('',(6.139474504102E0,-6.496531825544E0, +-1.164946750178E1)); +#2004=CARTESIAN_POINT('',(6.139049398262E0,-6.503711851078E0, +-1.165020345165E1)); +#2005=CARTESIAN_POINT('',(6.138502131531E0,-6.510892340962E0, +-1.165115252603E1)); +#2006=CARTESIAN_POINT('',(6.137832012391E0,-6.518075069389E0, +-1.165231717426E1)); +#2007=CARTESIAN_POINT('',(6.137038699440E0,-6.525257150266E0, +-1.165369953782E1)); +#2008=CARTESIAN_POINT('',(6.136120879698E0,-6.532443418756E0, +-1.165530376904E1)); +#2009=CARTESIAN_POINT('',(6.135077445115E0,-6.539634434316E0, +-1.165713400586E1)); +#2010=CARTESIAN_POINT('',(6.133906170551E0,-6.546836146025E0, +-1.165919672429E1)); +#2011=CARTESIAN_POINT('',(6.132606808435E0,-6.554040927131E0, +-1.166149532257E1)); +#2012=CARTESIAN_POINT('',(6.131179584359E0,-6.561241290556E0, +-1.166403273873E1)); +#2013=CARTESIAN_POINT('',(6.129623444536E0,-6.568437373126E0, +-1.166681458675E1)); +#2014=CARTESIAN_POINT('',(6.127935278039E0,-6.575636768446E0, +-1.166985066929E1)); +#2015=CARTESIAN_POINT('',(6.126114869746E0,-6.582833151027E0, +-1.167314614641E1)); +#2016=CARTESIAN_POINT('',(6.124162079292E0,-6.590021642245E0, +-1.167670652803E1)); +#2017=CARTESIAN_POINT('',(6.122072632413E0,-6.597211499510E0, +-1.168054551264E1)); +#2018=CARTESIAN_POINT('',(6.119846325691E0,-6.604396237099E0, +-1.168467009966E1)); +#2019=CARTESIAN_POINT('',(6.117483567440E0,-6.611568915091E0, +-1.168908678812E1)); +#2020=CARTESIAN_POINT('',(6.114982185721E0,-6.618730757319E0, +-1.169380765787E1)); +#2021=CARTESIAN_POINT('',(6.112339458401E0,-6.625883524039E0, +-1.169884682021E1)); +#2022=CARTESIAN_POINT('',(6.109554058198E0,-6.633024617998E0, +-1.170421680242E1)); +#2023=CARTESIAN_POINT('',(6.106626104329E0,-6.640147924891E0, +-1.170992844133E1)); +#2024=CARTESIAN_POINT('',(6.103558175418E0,-6.647242700491E0, +-1.171598874095E1)); +#2025=CARTESIAN_POINT('',(6.100346387456E0,-6.654313586364E0, +-1.172241869916E1)); +#2026=CARTESIAN_POINT('',(6.096990190941E0,-6.661356832240E0, +-1.172923438479E1)); +#2027=CARTESIAN_POINT('',(6.093491717709E0,-6.668363706070E0, +-1.173644795733E1)); +#2028=CARTESIAN_POINT('',(6.089853827367E0,-6.675325363725E0, +-1.174407147379E1)); +#2029=CARTESIAN_POINT('',(6.086073307712E0,-6.682244757988E0, +-1.175213171509E1)); +#2030=CARTESIAN_POINT('',(6.082154431924E0,-6.689110931157E0, +-1.176064195580E1)); +#2031=CARTESIAN_POINT('',(6.078103114636E0,-6.695911818072E0, +-1.176961365313E1)); +#2032=CARTESIAN_POINT('',(6.073922299974E0,-6.702641678525E0, +-1.177906688823E1)); +#2033=CARTESIAN_POINT('',(6.069611451204E0,-6.709300447649E0, +-1.178903283282E1)); +#2034=CARTESIAN_POINT('',(6.065176567277E0,-6.715878291527E0, +-1.179953106344E1)); +#2035=CARTESIAN_POINT('',(6.060623411893E0,-6.722366776022E0, +-1.181058519329E1)); +#2036=CARTESIAN_POINT('',(6.055971970091E0,-6.728739537544E0, +-1.182218662300E1)); +#2037=CARTESIAN_POINT('',(6.051229860079E0,-6.734990132398E0, +-1.183435909010E1)); +#2038=CARTESIAN_POINT('',(6.046408149609E0,-6.741108409939E0, +-1.184712187223E1)); +#2039=CARTESIAN_POINT('',(6.041521379422E0,-6.747081696995E0, +-1.186048835168E1)); +#2040=CARTESIAN_POINT('',(6.036577732188E0,-6.752906448833E0, +-1.187449393642E1)); +#2041=CARTESIAN_POINT('',(6.031593886573E0,-6.758570096747E0, +-1.188915627153E1)); +#2042=CARTESIAN_POINT('',(6.026590257459E0,-6.764058106884E0, +-1.190448569189E1)); +#2043=CARTESIAN_POINT('',(6.021585369270E0,-6.769359844189E0, +-1.192050376948E1)); +#2044=CARTESIAN_POINT('',(6.016619559853E0,-6.774444484633E0, +-1.193716333427E1)); +#2045=CARTESIAN_POINT('',(6.011719124127E0,-6.779299374550E0, +-1.195445935868E1)); +#2046=CARTESIAN_POINT('',(6.006909473260E0,-6.783914411830E0, +-1.197239241805E1)); +#2047=CARTESIAN_POINT('',(6.002218312507E0,-6.788279030143E0, +-1.199095570292E1)); +#2048=CARTESIAN_POINT('',(5.997670118479E0,-6.792387010934E0, +-1.201015774223E1)); +#2049=CARTESIAN_POINT('',(5.993298092484E0,-6.796225485262E0, +-1.202997204479E1)); +#2050=CARTESIAN_POINT('',(5.989134669250E0,-6.799783826451E0, +-1.205036875328E1)); +#2051=CARTESIAN_POINT('',(5.985209936332E0,-6.803054291939E0, +-1.207132548314E1)); +#2052=CARTESIAN_POINT('',(5.981564207647E0,-6.806021489565E0, +-1.209274961542E1)); +#2053=CARTESIAN_POINT('',(5.978224618569E0,-6.808681360921E0, +-1.211459631466E1)); +#2054=CARTESIAN_POINT('',(5.975213841242E0,-6.811032795433E0, +-1.213683751253E1)); +#2055=CARTESIAN_POINT('',(5.972553869579E0,-6.813074286711E0, +-1.215943758346E1)); +#2056=CARTESIAN_POINT('',(5.970264523522E0,-6.814804802621E0, +-1.218236053912E1)); +#2057=CARTESIAN_POINT('',(5.968363169833E0,-6.816223657586E0, +-1.220557814692E1)); +#2058=CARTESIAN_POINT('',(5.966868518911E0,-6.817327450778E0, +-1.222901115950E1)); +#2059=CARTESIAN_POINT('',(5.965793422712E0,-6.818115175997E0, +-1.225258013749E1)); +#2060=CARTESIAN_POINT('',(5.965144730639E0,-6.818587970840E0, +-1.227625773194E1)); +#2061=CARTESIAN_POINT('',(5.965E0,-6.818693177122E0,-1.229207797852E1)); +#2062=CARTESIAN_POINT('',(5.965E0,-6.818693177122E0,-1.23E1)); +#2064=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2065=VECTOR('',#2064,2.651440711040E0); +#2066=CARTESIAN_POINT('',(5.29E0,-6.475E0,-1.164855928896E1)); +#2067=LINE('',#2066,#2065); +#2068=CARTESIAN_POINT('',(5.965E0,-9.878571428571E0,-9.2E0)); +#2069=CARTESIAN_POINT('',(5.965E0,-1.006906786268E1,-9.241671094961E0)); +#2070=CARTESIAN_POINT('',(5.966998939781E0,-1.025954414306E1, +-9.283337781294E0)); +#2071=CARTESIAN_POINT('',(5.971023570539E0,-1.045E1,-9.325E0)); +#2073=CARTESIAN_POINT('',(7.14E0,-1.045E1,-1.049397642946E1)); +#2074=CARTESIAN_POINT('',(7.181662218706E0,-1.025954414306E1, +-1.049800106022E1)); +#2075=CARTESIAN_POINT('',(7.223328905039E0,-1.006906786268E1,-1.05E1)); +#2076=CARTESIAN_POINT('',(7.265E0,-9.878571428571E0,-1.05E1)); +#2078=CARTESIAN_POINT('',(7.265E0,-1.045E1,-9.2E0)); +#2079=DIRECTION('',(0.E0,1.E0,0.E0)); +#2080=DIRECTION('',(-9.615384615385E-2,0.E0,-9.953664842006E-1)); +#2081=AXIS2_PLACEMENT_3D('',#2078,#2079,#2080); +#2083=DIRECTION('',(0.E0,-9.769000173963E-1,-2.136968788054E-1)); +#2084=VECTOR('',#2083,2.339762764880E-1); +#2085=CARTESIAN_POINT('',(5.965E0,-9.65E0,-9.15E0)); +#2086=LINE('',#2085,#2084); +#2087=DIRECTION('',(0.E0,1.E0,0.E0)); +#2088=VECTOR('',#2087,2.831306822878E0); +#2089=CARTESIAN_POINT('',(5.965E0,-9.65E0,-9.15E0)); +#2090=LINE('',#2089,#2088); +#2091=DIRECTION('',(0.E0,0.E0,1.E0)); +#2092=VECTOR('',#2091,5.E-2); +#2093=CARTESIAN_POINT('',(5.965E0,-6.818693177122E0,-9.2E0)); +#2094=LINE('',#2093,#2092); +#2095=DIRECTION('',(0.E0,1.E0,0.E0)); +#2096=VECTOR('',#2095,3.059878251450E0); +#2097=CARTESIAN_POINT('',(5.965E0,-9.878571428571E0,-9.2E0)); +#2098=LINE('',#2097,#2096); +#2099=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2100=VECTOR('',#2099,5.E-1); +#2101=CARTESIAN_POINT('',(5.965E0,-9.65E0,-9.15E0)); +#2102=LINE('',#2101,#2100); +#2103=DIRECTION('',(0.E0,1.E0,0.E0)); +#2104=VECTOR('',#2103,2.831306822878E0); +#2105=CARTESIAN_POINT('',(5.465E0,-9.65E0,-9.15E0)); +#2106=LINE('',#2105,#2104); +#2107=CARTESIAN_POINT('',(5.715E0,-6.475E0,-9.15E0)); +#2108=DIRECTION('',(0.E0,0.E0,1.E0)); +#2109=DIRECTION('',(-5.882352941176E-1,-8.086898285216E-1,0.E0)); +#2110=AXIS2_PLACEMENT_3D('',#2107,#2108,#2109); +#2112=DIRECTION('',(0.E0,1.E0,2.368475785867E-14)); +#2113=VECTOR('',#2112,7.5E-2); +#2114=CARTESIAN_POINT('',(6.14E0,-6.475E0,-9.851440711040E0)); +#2115=LINE('',#2114,#2113); +#2116=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2117=VECTOR('',#2116,4.501440711040E0); +#2118=CARTESIAN_POINT('',(6.14E0,-6.475E0,-5.35E0)); +#2119=LINE('',#2118,#2117); +#2120=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2121=VECTOR('',#2120,7.5E-2); +#2122=CARTESIAN_POINT('',(6.14E0,-6.4E0,-1.164855928896E1)); +#2123=LINE('',#2122,#2121); +#2124=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2125=VECTOR('',#2124,2.651440711040E0); +#2126=CARTESIAN_POINT('',(6.14E0,-6.475E0,-1.164855928896E1)); +#2127=LINE('',#2126,#2125); +#2128=DIRECTION('',(0.E0,1.E0,0.E0)); +#2129=VECTOR('',#2128,2.E-1); +#2130=CARTESIAN_POINT('',(7.315E0,-6.75E0,-1.100096189432E1)); +#2131=LINE('',#2130,#2129); +#2132=CARTESIAN_POINT('',(5.971023570539E0,-1.045E1,-1.2175E1)); +#2133=CARTESIAN_POINT('',(5.966998939781E0,-1.025954414306E1, +-1.221666221871E1)); +#2134=CARTESIAN_POINT('',(5.965E0,-1.006906786268E1,-1.225832890504E1)); +#2135=CARTESIAN_POINT('',(5.965E0,-9.878571428571E0,-1.23E1)); +#2137=CARTESIAN_POINT('',(7.265E0,-1.045E1,-1.23E1)); +#2138=DIRECTION('',(0.E0,1.E0,0.E0)); +#2139=DIRECTION('',(-9.953664842006E-1,0.E0,9.615384615384E-2)); +#2140=AXIS2_PLACEMENT_3D('',#2137,#2138,#2139); +#2142=CARTESIAN_POINT('',(7.265E0,-9.878571428571E0,-1.1E1)); +#2143=CARTESIAN_POINT('',(7.223328905039E0,-1.006906786268E1,-1.1E1)); +#2144=CARTESIAN_POINT('',(7.181662218706E0,-1.025954414306E1, +-1.100199893978E1)); +#2145=CARTESIAN_POINT('',(7.14E0,-1.045E1,-1.100602357054E1)); +#2147=DIRECTION('',(0.E0,1.E0,0.E0)); +#2148=VECTOR('',#2147,2.9E0); +#2149=CARTESIAN_POINT('',(7.315E0,-9.65E0,-1.1E1)); +#2150=LINE('',#2149,#2148); +#2151=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2152=VECTOR('',#2151,5.E-2); +#2153=CARTESIAN_POINT('',(7.315E0,-6.75E0,-1.1E1)); +#2154=LINE('',#2153,#2152); +#2155=DIRECTION('',(0.E0,1.E0,0.E0)); +#2156=VECTOR('',#2155,3.128571428571E0); +#2157=CARTESIAN_POINT('',(7.265E0,-9.878571428571E0,-1.1E1)); +#2158=LINE('',#2157,#2156); +#2159=DIRECTION('',(-2.136968788054E-1,-9.769000173963E-1,2.277611473347E-14)); +#2160=VECTOR('',#2159,2.339762764880E-1); +#2161=CARTESIAN_POINT('',(7.315E0,-9.65E0,-1.1E1)); +#2162=LINE('',#2161,#2160); +#2163=DIRECTION('',(0.E0,1.E0,0.E0)); +#2164=VECTOR('',#2163,1.5E-1); +#2165=CARTESIAN_POINT('',(7.315E0,-6.55E0,-1.1E1)); +#2166=LINE('',#2165,#2164); +#2167=DIRECTION('',(0.E0,1.E0,0.E0)); +#2168=VECTOR('',#2167,1.5E-1); +#2169=CARTESIAN_POINT('',(7.265E0,-6.55E0,-1.1E1)); +#2170=LINE('',#2169,#2168); +#2171=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2172=VECTOR('',#2171,5.E-2); +#2173=CARTESIAN_POINT('',(7.315E0,-6.55E0,-1.1E1)); +#2174=LINE('',#2173,#2172); +#2175=DIRECTION('',(0.E0,0.E0,1.E0)); +#2176=VECTOR('',#2175,5.E-1); +#2177=CARTESIAN_POINT('',(7.315E0,-9.65E0,-1.1E1)); +#2178=LINE('',#2177,#2176); +#2179=DIRECTION('',(0.E0,1.E0,0.E0)); +#2180=VECTOR('',#2179,3.25E0); +#2181=CARTESIAN_POINT('',(7.315E0,-9.65E0,-1.05E1)); +#2182=LINE('',#2181,#2180); +#2183=CARTESIAN_POINT('',(5.795E0,-6.55E0,-1.075E1)); +#2184=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2185=DIRECTION('',(6.59375E-1,0.E0,-7.518142120065E-1)); +#2186=AXIS2_PLACEMENT_3D('',#2183,#2184,#2185); +#2188=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2189=VECTOR('',#2188,2.E0); +#2190=CARTESIAN_POINT('',(7.55E0,-6.55E0,-1.075E1)); +#2191=LINE('',#2190,#2189); +#2192=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2193=VECTOR('',#2192,9.618943233409E-4); +#2194=CARTESIAN_POINT('',(7.315E0,-6.55E0,-1.1E1)); +#2195=LINE('',#2194,#2193); +#2196=CARTESIAN_POINT('',(7.265E0,-6.55E0,-1.23E1)); +#2197=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2198=DIRECTION('',(3.846153846154E-2,0.E0,9.992600812897E-1)); +#2199=AXIS2_PLACEMENT_3D('',#2196,#2197,#2198); +#2201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2202=VECTOR('',#2201,7.970972607895E-1); +#2203=CARTESIAN_POINT('',(6.85E0,-6.75E0,-1.195290273921E1)); +#2204=LINE('',#2203,#2202); +#2205=CARTESIAN_POINT('',(5.795E0,-6.75E0,-1.075E1)); +#2206=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2207=DIRECTION('',(6.59375E-1,0.E0,-7.518142120065E-1)); +#2208=AXIS2_PLACEMENT_3D('',#2205,#2206,#2207); +#2210=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2211=VECTOR('',#2210,9.618943233409E-4); +#2212=CARTESIAN_POINT('',(7.315E0,-6.75E0,-1.1E1)); +#2213=LINE('',#2212,#2211); +#2214=CARTESIAN_POINT('',(7.265E0,-6.75E0,-1.23E1)); +#2215=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2216=DIRECTION('',(3.846153846154E-2,0.E0,9.992600812897E-1)); +#2217=AXIS2_PLACEMENT_3D('',#2214,#2215,#2216); +#2219=DIRECTION('',(1.E0,0.E0,0.E0)); +#2220=VECTOR('',#2219,1.55E-1); +#2221=CARTESIAN_POINT('',(7.395E0,-6.75E0,-1.075E1)); +#2222=LINE('',#2221,#2220); +#2223=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2224=VECTOR('',#2223,2.E-1); +#2225=CARTESIAN_POINT('',(7.395E0,-6.55E0,-1.075E1)); +#2226=LINE('',#2225,#2224); +#2227=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2228=VECTOR('',#2227,1.55E-1); +#2229=CARTESIAN_POINT('',(7.55E0,-6.55E0,-1.075E1)); +#2230=LINE('',#2229,#2228); +#2231=CARTESIAN_POINT('',(7.55E0,-6.35E0,-1.075E1)); +#2232=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2233=DIRECTION('',(9.682458365519E-1,-2.5E-1,0.E0)); +#2234=AXIS2_PLACEMENT_3D('',#2231,#2232,#2233); +#2236=CARTESIAN_POINT('',(7.55E0,-6.35E0,-1.075E1)); +#2237=DIRECTION('',(0.E0,0.E0,1.E0)); +#2238=DIRECTION('',(-1.598721155460E-13,-1.E0,0.E0)); +#2239=AXIS2_PLACEMENT_3D('',#2236,#2237,#2238); +#2241=CARTESIAN_POINT('',(7.55E0,-6.35E0,-1.275E1)); +#2242=DIRECTION('',(0.E0,0.E0,1.E0)); +#2243=DIRECTION('',(-1.598721155460E-13,-1.E0,0.E0)); +#2244=AXIS2_PLACEMENT_3D('',#2241,#2242,#2243); +#2246=DIRECTION('',(-1.E0,-1.194599974497E-12,0.E0)); +#2247=VECTOR('',#2246,2.E-1); +#2248=CARTESIAN_POINT('',(7.95E0,-6.35E0,-1.275E1)); +#2249=LINE('',#2248,#2247); +#2250=CARTESIAN_POINT('',(7.55E0,-6.35E0,-1.275E1)); +#2251=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2252=DIRECTION('',(9.682458365519E-1,-2.5E-1,0.E0)); +#2253=AXIS2_PLACEMENT_3D('',#2250,#2251,#2252); +#2255=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2256=VECTOR('',#2255,7.E-1); +#2257=CARTESIAN_POINT('',(7.55E0,-6.55E0,-1.275E1)); +#2258=LINE('',#2257,#2256); +#2259=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2260=VECTOR('',#2259,2.E-1); +#2261=CARTESIAN_POINT('',(6.85E0,-6.55E0,-1.275E1)); +#2262=LINE('',#2261,#2260); +#2263=DIRECTION('',(1.E0,0.E0,0.E0)); +#2264=VECTOR('',#2263,7.E-1); +#2265=CARTESIAN_POINT('',(6.85E0,-6.75E0,-1.275E1)); +#2266=LINE('',#2265,#2264); +#2267=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2268=VECTOR('',#2267,2.E0); +#2269=CARTESIAN_POINT('',(7.55E0,-6.75E0,-1.075E1)); +#2270=LINE('',#2269,#2268); +#2271=DIRECTION('',(0.E0,-1.E0,-3.552713678800E-14)); +#2272=VECTOR('',#2271,1.E-1); +#2273=CARTESIAN_POINT('',(7.95E0,-3.25E0,-1.915E1)); +#2274=LINE('',#2273,#2272); +#2275=DIRECTION('',(0.E0,1.E0,0.E0)); +#2276=VECTOR('',#2275,1.E0); +#2277=CARTESIAN_POINT('',(7.95E0,-4.35E0,-1.915E1)); +#2278=LINE('',#2277,#2276); +#2279=DIRECTION('',(0.E0,-1.E0,3.552713678801E-14)); +#2280=VECTOR('',#2279,1.E-1); +#2281=CARTESIAN_POINT('',(7.95E0,-4.35E0,-1.915E1)); +#2282=LINE('',#2281,#2280); +#2283=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2284=VECTOR('',#2283,1.2E0); +#2285=CARTESIAN_POINT('',(7.95E0,-4.45E0,-1.915E1)); +#2286=LINE('',#2285,#2284); +#2287=DIRECTION('',(0.E0,1.E0,0.E0)); +#2288=VECTOR('',#2287,1.2E0); +#2289=CARTESIAN_POINT('',(7.95E0,-4.45E0,-2.035E1)); +#2290=LINE('',#2289,#2288); +#2291=DIRECTION('',(0.E0,0.E0,1.E0)); +#2292=VECTOR('',#2291,1.2E0); +#2293=CARTESIAN_POINT('',(7.95E0,-3.25E0,-2.035E1)); +#2294=LINE('',#2293,#2292); +#2295=CARTESIAN_POINT('',(7.95E0,-5.550002387890E0,-1.380000000001E1)); +#2296=DIRECTION('',(1.E0,0.E0,0.E0)); +#2297=DIRECTION('',(0.E0,6.165503474272E-6,-9.999999999810E-1)); +#2298=AXIS2_PLACEMENT_3D('',#2295,#2296,#2297); +#2300=DIRECTION('',(1.345590305846E-12,-1.E0,1.227659949008E-12)); +#2301=VECTOR('',#2300,1.8E0); +#2302=CARTESIAN_POINT('',(7.949999999998E0,-5.55E0,-1.341270166538E1)); +#2303=LINE('',#2302,#2301); +#2304=CARTESIAN_POINT('',(7.95E0,-7.349997612111E0,-1.379999999999E1)); +#2305=DIRECTION('',(1.E0,0.E0,0.E0)); +#2306=DIRECTION('',(0.E0,-6.165503313744E-6,9.999999999810E-1)); +#2307=AXIS2_PLACEMENT_3D('',#2304,#2305,#2306); +#2309=DIRECTION('',(1.345590305846E-12,1.E0,-1.226673084097E-12)); +#2310=VECTOR('',#2309,1.8E0); +#2311=CARTESIAN_POINT('',(7.949999999998E0,-7.35E0,-1.418729833462E1)); +#2312=LINE('',#2311,#2310); +#2313=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2314=VECTOR('',#2313,1.205E1); +#2315=CARTESIAN_POINT('',(7.95E0,6.55E0,-2.115E1)); +#2316=LINE('',#2315,#2314); +#2317=DIRECTION('',(0.E0,0.E0,1.E0)); +#2318=VECTOR('',#2317,5.7E0); +#2319=CARTESIAN_POINT('',(7.95E0,-5.5E0,-2.115E1)); +#2320=LINE('',#2319,#2318); +#2321=DIRECTION('',(0.E0,1.E0,0.E0)); +#2322=VECTOR('',#2321,1.05E0); +#2323=CARTESIAN_POINT('',(7.95E0,-6.55E0,-1.545E1)); +#2324=LINE('',#2323,#2322); +#2325=DIRECTION('',(0.E0,1.E0,0.E0)); +#2326=VECTOR('',#2325,1.6E0); +#2327=CARTESIAN_POINT('',(7.95E0,-8.15E0,-1.445E1)); +#2328=LINE('',#2327,#2326); +#2329=CARTESIAN_POINT('',(7.95E0,-8.15E0,-1.38E1)); +#2330=DIRECTION('',(1.E0,0.E0,0.E0)); +#2331=DIRECTION('',(0.E0,0.E0,1.E0)); +#2332=AXIS2_PLACEMENT_3D('',#2329,#2330,#2331); +#2334=CARTESIAN_POINT('',(7.95E0,-6.35E0,-1.295E1)); +#2335=DIRECTION('',(1.E0,0.E0,0.E0)); +#2336=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2337=AXIS2_PLACEMENT_3D('',#2334,#2335,#2336); +#2339=DIRECTION('',(0.E0,0.E0,1.E0)); +#2340=VECTOR('',#2339,2.E0); +#2341=CARTESIAN_POINT('',(7.95E0,-6.35E0,-1.275E1)); +#2342=LINE('',#2341,#2340); +#2343=DIRECTION('',(0.E0,0.E0,1.E0)); +#2344=VECTOR('',#2343,2.E0); +#2345=CARTESIAN_POINT('',(7.95E0,-6.35E0,-1.075E1)); +#2346=LINE('',#2345,#2344); +#2347=CARTESIAN_POINT('',(7.95E0,-6.35E0,-8.55E0)); +#2348=DIRECTION('',(1.E0,0.E0,0.E0)); +#2349=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2350=AXIS2_PLACEMENT_3D('',#2347,#2348,#2349); +#2352=CARTESIAN_POINT('',(7.95E0,-6.35E0,-8.15E0)); +#2353=DIRECTION('',(1.E0,0.E0,0.E0)); +#2354=DIRECTION('',(0.E0,-1.E0,-4.440892098501E-14)); +#2355=AXIS2_PLACEMENT_3D('',#2352,#2353,#2354); +#2357=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2358=VECTOR('',#2357,2.095E1); +#2359=CARTESIAN_POINT('',(7.95E0,6.55E0,-2.E-1)); +#2360=LINE('',#2359,#2358); +#2361=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#2362=VECTOR('',#2361,2.E-1); +#2363=CARTESIAN_POINT('',(7.75E0,-3.35E0,-1.915E1)); +#2364=LINE('',#2363,#2362); +#2365=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2366=VECTOR('',#2365,2.E-1); +#2367=CARTESIAN_POINT('',(7.95E0,-3.25E0,-1.915E1)); +#2368=LINE('',#2367,#2366); +#2369=DIRECTION('',(1.E0,0.E0,-1.776356839400E-14)); +#2370=VECTOR('',#2369,2.E-1); +#2371=CARTESIAN_POINT('',(7.75E0,-4.35E0,-1.915E1)); +#2372=LINE('',#2371,#2370); +#2373=DIRECTION('',(-2.039542541120E-1,0.E0,-9.789804197376E-1)); +#2374=VECTOR('',#2373,9.806120537705E-1); +#2375=CARTESIAN_POINT('',(7.95E0,-3.35E0,-1.915E1)); +#2376=LINE('',#2375,#2374); +#2377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2378=VECTOR('',#2377,9.6E-1); +#2379=CARTESIAN_POINT('',(7.75E0,-3.35E0,-1.915E1)); +#2380=LINE('',#2379,#2378); +#2381=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2382=VECTOR('',#2381,1.E-1); +#2383=CARTESIAN_POINT('',(7.75E0,-3.25E0,-1.915E1)); +#2384=LINE('',#2383,#2382); +#2385=DIRECTION('',(0.E0,0.E0,1.E0)); +#2386=VECTOR('',#2385,1.2E0); +#2387=CARTESIAN_POINT('',(7.75E0,-3.25E0,-2.035E1)); +#2388=LINE('',#2387,#2386); +#2389=DIRECTION('',(0.E0,1.E0,0.E0)); +#2390=VECTOR('',#2389,1.2E0); +#2391=CARTESIAN_POINT('',(7.75E0,-4.45E0,-2.035E1)); +#2392=LINE('',#2391,#2390); +#2393=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2394=VECTOR('',#2393,1.2E0); +#2395=CARTESIAN_POINT('',(7.75E0,-4.45E0,-1.915E1)); +#2396=LINE('',#2395,#2394); +#2397=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2398=VECTOR('',#2397,1.E-1); +#2399=CARTESIAN_POINT('',(7.75E0,-4.35E0,-1.915E1)); +#2400=LINE('',#2399,#2398); +#2401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2402=VECTOR('',#2401,9.6E-1); +#2403=CARTESIAN_POINT('',(7.75E0,-4.35E0,-1.915E1)); +#2404=LINE('',#2403,#2402); +#2405=DIRECTION('',(0.E0,1.E0,0.E0)); +#2406=VECTOR('',#2405,1.E0); +#2407=CARTESIAN_POINT('',(7.75E0,-4.35E0,-2.011E1)); +#2408=LINE('',#2407,#2406); +#2409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2410=VECTOR('',#2409,2.E-1); +#2411=CARTESIAN_POINT('',(7.95E0,-3.25E0,-2.035E1)); +#2412=LINE('',#2411,#2410); +#2413=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2414=VECTOR('',#2413,2.E-1); +#2415=CARTESIAN_POINT('',(7.95E0,-4.45E0,-2.035E1)); +#2416=LINE('',#2415,#2414); +#2417=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2418=VECTOR('',#2417,2.E-1); +#2419=CARTESIAN_POINT('',(7.95E0,-4.45E0,-1.915E1)); +#2420=LINE('',#2419,#2418); +#2421=DIRECTION('',(-2.039542541120E-1,0.E0,-9.789804197376E-1)); +#2422=VECTOR('',#2421,9.806120537705E-1); +#2423=CARTESIAN_POINT('',(7.95E0,-4.35E0,-1.915E1)); +#2424=LINE('',#2423,#2422); +#2425=CARTESIAN_POINT('',(7.949999999998E0,-7.35E0,-1.418729833462E1)); +#2426=CARTESIAN_POINT('',(7.953407223549E0,-7.35E0,-1.418355943393E1)); +#2427=CARTESIAN_POINT('',(7.960123086018E0,-7.349996503888E0, +-1.417599159668E1)); +#2428=CARTESIAN_POINT('',(7.969894223443E0,-7.349997909058E0, +-1.416437743355E1)); +#2429=CARTESIAN_POINT('',(7.979355436474E0,-7.349997532544E0, +-1.415250946161E1)); +#2430=CARTESIAN_POINT('',(7.988500161177E0,-7.349997633431E0, +-1.414039592262E1)); +#2431=CARTESIAN_POINT('',(7.997322013514E0,-7.349997606398E0, +-1.412804526970E1)); +#2432=CARTESIAN_POINT('',(8.005814851525E0,-7.349997613642E0, +-1.411546610280E1)); +#2433=CARTESIAN_POINT('',(8.013972758274E0,-7.349997611701E0, +-1.410266718540E1)); +#2434=CARTESIAN_POINT('',(8.021790051281E0,-7.349997612221E0, +-1.408965743284E1)); +#2435=CARTESIAN_POINT('',(8.029261285028E0,-7.349997612081E0, +-1.407644590768E1)); +#2436=CARTESIAN_POINT('',(8.036381255142E0,-7.349997612119E0, +-1.406304181292E1)); +#2437=CARTESIAN_POINT('',(8.043145001916E0,-7.349997612109E0, +-1.404945448571E1)); +#2438=CARTESIAN_POINT('',(8.049547813788E0,-7.349997612111E0, +-1.403569339088E1)); +#2439=CARTESIAN_POINT('',(8.055585230619E0,-7.349997612111E0, +-1.402176811426E1)); +#2440=CARTESIAN_POINT('',(8.061253046803E0,-7.349997612111E0, +-1.400768835607E1)); +#2441=CARTESIAN_POINT('',(8.066547314193E0,-7.349997612111E0, +-1.399346392414E1)); +#2442=CARTESIAN_POINT('',(8.071464344851E0,-7.349997612111E0, +-1.397910472707E1)); +#2443=CARTESIAN_POINT('',(8.076000713621E0,-7.349997612111E0, +-1.396462076735E1)); +#2444=CARTESIAN_POINT('',(8.080153260512E0,-7.349997612111E0, +-1.395002213435E1)); +#2445=CARTESIAN_POINT('',(8.083919092897E0,-7.349997612111E0, +-1.393531899737E1)); +#2446=CARTESIAN_POINT('',(8.087295587537E0,-7.349997612111E0, +-1.392052159842E1)); +#2447=CARTESIAN_POINT('',(8.090280392387E0,-7.349997612111E0, +-1.390564024537E1)); +#2448=CARTESIAN_POINT('',(8.092871428299E0,-7.349997612111E0, +-1.389068530398E1)); +#2449=CARTESIAN_POINT('',(8.095066890236E0,-7.349997612111E0, +-1.387566719333E1)); +#2450=CARTESIAN_POINT('',(8.096865249388E0,-7.349997612111E0, +-1.386059636906E1)); +#2451=CARTESIAN_POINT('',(8.098265250910E0,-7.349997612111E0, +-1.384548335266E1)); +#2452=CARTESIAN_POINT('',(8.099265925915E0,-7.349997612111E0, +-1.383033860668E1)); +#2453=CARTESIAN_POINT('',(8.099866539532E0,-7.349997612111E0, +-1.381517322970E1)); +#2454=CARTESIAN_POINT('',(8.100066730234E0,-7.349997612111E0, +-1.380000000009E1)); +#2455=CARTESIAN_POINT('',(8.099866539533E0,-7.349997612111E0, +-1.378482677032E1)); +#2456=CARTESIAN_POINT('',(8.099265925920E0,-7.349997612111E0, +-1.376966139344E1)); +#2457=CARTESIAN_POINT('',(8.098265250920E0,-7.349997612111E0, +-1.375451664745E1)); +#2458=CARTESIAN_POINT('',(8.096865249400E0,-7.349997612111E0, +-1.373940363105E1)); +#2459=CARTESIAN_POINT('',(8.095066890251E0,-7.349997612111E0, +-1.372433280679E1)); +#2460=CARTESIAN_POINT('',(8.092871428317E0,-7.349997612111E0, +-1.370931469613E1)); +#2461=CARTESIAN_POINT('',(8.090280392408E0,-7.349997612111E0, +-1.369435975474E1)); +#2462=CARTESIAN_POINT('',(8.087295587561E0,-7.349997612111E0, +-1.367947840169E1)); +#2463=CARTESIAN_POINT('',(8.083919092924E0,-7.349997612111E0, +-1.366468100274E1)); +#2464=CARTESIAN_POINT('',(8.080153260542E0,-7.349997612111E0, +-1.364997786576E1)); +#2465=CARTESIAN_POINT('',(8.076000713654E0,-7.349997612111E0, +-1.363537923276E1)); +#2466=CARTESIAN_POINT('',(8.071464344887E0,-7.349997612111E0, +-1.362089527304E1)); +#2467=CARTESIAN_POINT('',(8.066547314231E0,-7.349997612111E0, +-1.360653607597E1)); +#2468=CARTESIAN_POINT('',(8.061253046845E0,-7.349997612111E0, +-1.359231164404E1)); +#2469=CARTESIAN_POINT('',(8.055585230664E0,-7.349997612111E0, +-1.357823188585E1)); +#2470=CARTESIAN_POINT('',(8.049547813835E0,-7.349997612111E0, +-1.356430660923E1)); +#2471=CARTESIAN_POINT('',(8.043145001966E0,-7.349997612109E0, +-1.355054551439E1)); +#2472=CARTESIAN_POINT('',(8.036381255195E0,-7.349997612119E0, +-1.353695818719E1)); +#2473=CARTESIAN_POINT('',(8.029261285083E0,-7.349997612081E0, +-1.352355409242E1)); +#2474=CARTESIAN_POINT('',(8.021790051339E0,-7.349997612221E0, +-1.351034256725E1)); +#2475=CARTESIAN_POINT('',(8.013972758335E0,-7.349997611701E0, +-1.349733281470E1)); +#2476=CARTESIAN_POINT('',(8.005814851589E0,-7.349997613642E0, +-1.348453389730E1)); +#2477=CARTESIAN_POINT('',(7.997322013580E0,-7.349997606398E0, +-1.347195473039E1)); +#2478=CARTESIAN_POINT('',(7.988500161246E0,-7.349997633431E0, +-1.345960407748E1)); +#2479=CARTESIAN_POINT('',(7.979355436547E0,-7.349997532544E0, +-1.344749053849E1)); +#2480=CARTESIAN_POINT('',(7.969894223513E0,-7.349997909058E0, +-1.343562256653E1)); +#2481=CARTESIAN_POINT('',(7.960123086105E0,-7.349996503888E0, +-1.342400840342E1)); +#2482=CARTESIAN_POINT('',(7.953407223588E0,-7.35E0,-1.341644056611E1)); +#2483=CARTESIAN_POINT('',(7.95E0,-7.35E0,-1.341270166538E1)); +#2485=CARTESIAN_POINT('',(7.949999999998E0,-5.55E0,-1.341270166538E1)); +#2486=CARTESIAN_POINT('',(7.953407223552E0,-5.55E0,-1.341644056607E1)); +#2487=CARTESIAN_POINT('',(7.960123086027E0,-5.550003496113E0, +-1.342400840333E1)); +#2488=CARTESIAN_POINT('',(7.969894223460E0,-5.550002090942E0, +-1.343562256647E1)); +#2489=CARTESIAN_POINT('',(7.979355436500E0,-5.550002467456E0, +-1.344749053843E1)); +#2490=CARTESIAN_POINT('',(7.988500161210E0,-5.550002366570E0, +-1.345960407743E1)); +#2491=CARTESIAN_POINT('',(7.997322013553E0,-5.550002393602E0, +-1.347195473036E1)); +#2492=CARTESIAN_POINT('',(8.005814851571E0,-5.550002386359E0, +-1.348453389727E1)); +#2493=CARTESIAN_POINT('',(8.013972758325E0,-5.550002388300E0, +-1.349733281469E1)); +#2494=CARTESIAN_POINT('',(8.021790051336E0,-5.550002387780E0, +-1.351034256725E1)); +#2495=CARTESIAN_POINT('',(8.029261285087E0,-5.550002387919E0, +-1.352355409243E1)); +#2496=CARTESIAN_POINT('',(8.036381255205E0,-5.550002387882E0, +-1.353695818721E1)); +#2497=CARTESIAN_POINT('',(8.043145001982E0,-5.550002387892E0, +-1.355054551442E1)); +#2498=CARTESIAN_POINT('',(8.049547813856E0,-5.550002387889E0, +-1.356430660927E1)); +#2499=CARTESIAN_POINT('',(8.055585230688E0,-5.550002387890E0, +-1.357823188591E1)); +#2500=CARTESIAN_POINT('',(8.061253046873E0,-5.550002387890E0, +-1.359231164411E1)); +#2501=CARTESIAN_POINT('',(8.066547314262E0,-5.550002387890E0, +-1.360653607605E1)); +#2502=CARTESIAN_POINT('',(8.071464344920E0,-5.550002387890E0, +-1.362089527314E1)); +#2503=CARTESIAN_POINT('',(8.076000713688E0,-5.550002387890E0, +-1.363537923288E1)); +#2504=CARTESIAN_POINT('',(8.080153260577E0,-5.550002387890E0, +-1.364997786589E1)); +#2505=CARTESIAN_POINT('',(8.083919092959E0,-5.550002387890E0, +-1.366468100289E1)); +#2506=CARTESIAN_POINT('',(8.087295587595E0,-5.550002387890E0, +-1.367947840184E1)); +#2507=CARTESIAN_POINT('',(8.090280392441E0,-5.550002387890E0, +-1.369435975492E1)); +#2508=CARTESIAN_POINT('',(8.092871428347E0,-5.550002387890E0, +-1.370931469632E1)); +#2509=CARTESIAN_POINT('',(8.095066890278E0,-5.550002387890E0, +-1.372433280699E1)); +#2510=CARTESIAN_POINT('',(8.096865249423E0,-5.550002387890E0, +-1.373940363127E1)); +#2511=CARTESIAN_POINT('',(8.098265250938E0,-5.550002387890E0, +-1.375451664768E1)); +#2512=CARTESIAN_POINT('',(8.099265925934E0,-5.550002387890E0, +-1.376966139367E1)); +#2513=CARTESIAN_POINT('',(8.099866539541E0,-5.550002387890E0, +-1.378482677067E1)); +#2514=CARTESIAN_POINT('',(8.100066730230E0,-5.550002387890E0,-1.38E1)); +#2515=CARTESIAN_POINT('',(8.099866539541E0,-5.550002387890E0, +-1.381517322933E1)); +#2516=CARTESIAN_POINT('',(8.099265925934E0,-5.550002387890E0, +-1.383033860633E1)); +#2517=CARTESIAN_POINT('',(8.098265250937E0,-5.550002387890E0, +-1.384548335232E1)); +#2518=CARTESIAN_POINT('',(8.096865249423E0,-5.550002387890E0, +-1.386059636873E1)); +#2519=CARTESIAN_POINT('',(8.095066890278E0,-5.550002387890E0, +-1.387566719301E1)); +#2520=CARTESIAN_POINT('',(8.092871428346E0,-5.550002387890E0, +-1.389068530369E1)); +#2521=CARTESIAN_POINT('',(8.090280392440E0,-5.550002387890E0, +-1.390564024508E1)); +#2522=CARTESIAN_POINT('',(8.087295587595E0,-5.550002387890E0, +-1.392052159816E1)); +#2523=CARTESIAN_POINT('',(8.083919092959E0,-5.550002387890E0, +-1.393531899711E1)); +#2524=CARTESIAN_POINT('',(8.080153260576E0,-5.550002387890E0, +-1.395002213411E1)); +#2525=CARTESIAN_POINT('',(8.076000713688E0,-5.550002387890E0, +-1.396462076712E1)); +#2526=CARTESIAN_POINT('',(8.071464344919E0,-5.550002387890E0, +-1.397910472686E1)); +#2527=CARTESIAN_POINT('',(8.066547314262E0,-5.550002387890E0, +-1.399346392395E1)); +#2528=CARTESIAN_POINT('',(8.061253046873E0,-5.550002387890E0, +-1.400768835589E1)); +#2529=CARTESIAN_POINT('',(8.055585230688E0,-5.550002387890E0, +-1.402176811409E1)); +#2530=CARTESIAN_POINT('',(8.049547813855E0,-5.550002387889E0, +-1.403569339073E1)); +#2531=CARTESIAN_POINT('',(8.043145001981E0,-5.550002387892E0, +-1.404945448558E1)); +#2532=CARTESIAN_POINT('',(8.036381255205E0,-5.550002387882E0, +-1.406304181279E1)); +#2533=CARTESIAN_POINT('',(8.029261285087E0,-5.550002387919E0, +-1.407644590758E1)); +#2534=CARTESIAN_POINT('',(8.021790051336E0,-5.550002387780E0, +-1.408965743275E1)); +#2535=CARTESIAN_POINT('',(8.013972758324E0,-5.550002388300E0, +-1.410266718532E1)); +#2536=CARTESIAN_POINT('',(8.005814851570E0,-5.550002386359E0, +-1.411546610273E1)); +#2537=CARTESIAN_POINT('',(7.997322013553E0,-5.550002393602E0, +-1.412804526964E1)); +#2538=CARTESIAN_POINT('',(7.988500161210E0,-5.550002366570E0, +-1.414039592257E1)); +#2539=CARTESIAN_POINT('',(7.979355436499E0,-5.550002467456E0, +-1.415250946157E1)); +#2540=CARTESIAN_POINT('',(7.969894223460E0,-5.550002090942E0, +-1.416437743353E1)); +#2541=CARTESIAN_POINT('',(7.960123086025E0,-5.550003496113E0, +-1.417599159667E1)); +#2542=CARTESIAN_POINT('',(7.953407223554E0,-5.55E0,-1.418355943393E1)); +#2543=CARTESIAN_POINT('',(7.95E0,-5.55E0,-1.418729833462E1)); +#2545=CARTESIAN_POINT('',(7.75E0,-5.5E0,-2.115E1)); +#2546=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2547=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2548=AXIS2_PLACEMENT_3D('',#2545,#2546,#2547); +#2550=CARTESIAN_POINT('',(-7.75E0,-5.5E0,-2.115E1)); +#2551=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2552=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2553=AXIS2_PLACEMENT_3D('',#2550,#2551,#2552); +#2555=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2556=VECTOR('',#2555,2.5E-1); +#2557=CARTESIAN_POINT('',(-7.7E0,-5.5E0,-1.545E1)); +#2558=LINE('',#2557,#2556); +#2559=DIRECTION('',(0.E0,0.E0,1.E0)); +#2560=VECTOR('',#2559,1.15E0); +#2561=CARTESIAN_POINT('',(-7.7E0,-5.5E0,-1.66E1)); +#2562=LINE('',#2561,#2560); +#2563=DIRECTION('',(-1.E0,0.E0,1.093142670400E-14)); +#2564=VECTOR('',#2563,3.25E-1); +#2565=CARTESIAN_POINT('',(-7.375E0,-5.5E0,-1.66E1)); +#2566=LINE('',#2565,#2564); +#2567=DIRECTION('',(0.E0,0.E0,1.E0)); +#2568=VECTOR('',#2567,4.4E0); +#2569=CARTESIAN_POINT('',(-7.375E0,-5.5E0,-2.1E1)); +#2570=LINE('',#2569,#2568); +#2571=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2572=VECTOR('',#2571,1.475E1); +#2573=CARTESIAN_POINT('',(7.375E0,-5.5E0,-2.1E1)); +#2574=LINE('',#2573,#2572); +#2575=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2576=VECTOR('',#2575,4.4E0); +#2577=CARTESIAN_POINT('',(7.375E0,-5.5E0,-1.66E1)); +#2578=LINE('',#2577,#2576); +#2579=DIRECTION('',(-1.E0,0.E0,1.093142670400E-14)); +#2580=VECTOR('',#2579,3.25E-1); +#2581=CARTESIAN_POINT('',(7.7E0,-5.5E0,-1.66E1)); +#2582=LINE('',#2581,#2580); +#2583=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2584=VECTOR('',#2583,1.15E0); +#2585=CARTESIAN_POINT('',(7.7E0,-5.5E0,-1.545E1)); +#2586=LINE('',#2585,#2584); +#2587=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2588=VECTOR('',#2587,2.5E-1); +#2589=CARTESIAN_POINT('',(7.95E0,-5.5E0,-1.545E1)); +#2590=LINE('',#2589,#2588); +#2591=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2592=VECTOR('',#2591,1.205E1); +#2593=CARTESIAN_POINT('',(7.75E0,6.55E0,-2.135E1)); +#2594=LINE('',#2593,#2592); +#2595=DIRECTION('',(1.E0,0.E0,0.E0)); +#2596=VECTOR('',#2595,1.55E1); +#2597=CARTESIAN_POINT('',(-7.75E0,6.55E0,-2.135E1)); +#2598=LINE('',#2597,#2596); +#2599=DIRECTION('',(0.E0,1.E0,0.E0)); +#2600=VECTOR('',#2599,1.205E1); +#2601=CARTESIAN_POINT('',(-7.75E0,-5.5E0,-2.135E1)); +#2602=LINE('',#2601,#2600); +#2603=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2604=VECTOR('',#2603,1.55E1); +#2605=CARTESIAN_POINT('',(7.75E0,-5.5E0,-2.135E1)); +#2606=LINE('',#2605,#2604); +#2607=CARTESIAN_POINT('',(7.75E0,6.55E0,-2.115E1)); +#2608=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2609=DIRECTION('',(0.E0,1.E0,0.E0)); +#2610=AXIS2_PLACEMENT_3D('',#2607,#2608,#2609); +#2612=CARTESIAN_POINT('',(7.75E0,6.55E0,-2.115E1)); +#2613=DIRECTION('',(1.E0,0.E0,0.E0)); +#2614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2615=AXIS2_PLACEMENT_3D('',#2612,#2613,#2614); +#2617=CARTESIAN_POINT('',(7.75E0,6.55E0,-2.115E1)); +#2618=DIRECTION('',(0.E0,1.E0,0.E0)); +#2619=DIRECTION('',(1.E0,0.E0,0.E0)); +#2620=AXIS2_PLACEMENT_3D('',#2617,#2618,#2619); +#2622=CARTESIAN_POINT('',(7.75E0,6.55E0,-2.E-1)); +#2623=DIRECTION('',(0.E0,1.E0,0.E0)); +#2624=DIRECTION('',(0.E0,0.E0,1.E0)); +#2625=AXIS2_PLACEMENT_3D('',#2622,#2623,#2624); +#2627=CARTESIAN_POINT('',(7.75E0,6.55E0,-2.E-1)); +#2628=DIRECTION('',(1.E0,0.E0,0.E0)); +#2629=DIRECTION('',(0.E0,1.E0,0.E0)); +#2630=AXIS2_PLACEMENT_3D('',#2627,#2628,#2629); +#2632=CARTESIAN_POINT('',(7.75E0,6.55E0,-2.E-1)); +#2633=DIRECTION('',(0.E0,0.E0,1.E0)); +#2634=DIRECTION('',(1.E0,0.E0,0.E0)); +#2635=AXIS2_PLACEMENT_3D('',#2632,#2633,#2634); +#2637=DIRECTION('',(0.E0,1.E0,0.E0)); +#2638=VECTOR('',#2637,1.31E1); +#2639=CARTESIAN_POINT('',(7.75E0,-6.55E0,0.E0)); +#2640=LINE('',#2639,#2638); +#2641=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2642=VECTOR('',#2641,1.31E1); +#2643=CARTESIAN_POINT('',(7.95E0,6.55E0,-2.E-1)); +#2644=LINE('',#2643,#2642); +#2645=CARTESIAN_POINT('',(-7.75E0,6.55E0,-2.115E1)); +#2646=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2647=DIRECTION('',(0.E0,1.E0,0.E0)); +#2648=AXIS2_PLACEMENT_3D('',#2645,#2646,#2647); +#2650=CARTESIAN_POINT('',(-7.75E0,6.55E0,-2.115E1)); +#2651=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2652=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2653=AXIS2_PLACEMENT_3D('',#2650,#2651,#2652); +#2655=CARTESIAN_POINT('',(-7.75E0,6.55E0,-2.115E1)); +#2656=DIRECTION('',(0.E0,1.E0,0.E0)); +#2657=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2658=AXIS2_PLACEMENT_3D('',#2655,#2656,#2657); +#2660=DIRECTION('',(0.E0,1.E0,0.E0)); +#2661=VECTOR('',#2660,9.E-1); +#2662=CARTESIAN_POINT('',(-7.7E0,-6.4E0,-1.545E1)); +#2663=LINE('',#2662,#2661); +#2664=DIRECTION('',(0.E0,1.E0,0.E0)); +#2665=VECTOR('',#2664,9.E-1); +#2666=CARTESIAN_POINT('',(-7.7E0,-6.4E0,-1.66E1)); +#2667=LINE('',#2666,#2665); +#2668=DIRECTION('',(0.E0,1.E0,0.E0)); +#2669=VECTOR('',#2668,9.E-1); +#2670=CARTESIAN_POINT('',(-7.375E0,-6.4E0,-1.66E1)); +#2671=LINE('',#2670,#2669); +#2672=DIRECTION('',(0.E0,1.E0,0.E0)); +#2673=VECTOR('',#2672,9.E-1); +#2674=CARTESIAN_POINT('',(-7.375E0,-6.4E0,-2.1E1)); +#2675=LINE('',#2674,#2673); +#2676=DIRECTION('',(0.E0,1.E0,0.E0)); +#2677=VECTOR('',#2676,9.E-1); +#2678=CARTESIAN_POINT('',(7.375E0,-6.4E0,-2.1E1)); +#2679=LINE('',#2678,#2677); +#2680=DIRECTION('',(0.E0,1.E0,0.E0)); +#2681=VECTOR('',#2680,9.E-1); +#2682=CARTESIAN_POINT('',(7.375E0,-6.4E0,-1.66E1)); +#2683=LINE('',#2682,#2681); +#2684=DIRECTION('',(0.E0,1.E0,0.E0)); +#2685=VECTOR('',#2684,9.E-1); +#2686=CARTESIAN_POINT('',(7.7E0,-6.4E0,-1.66E1)); +#2687=LINE('',#2686,#2685); +#2688=DIRECTION('',(0.E0,1.E0,0.E0)); +#2689=VECTOR('',#2688,9.E-1); +#2690=CARTESIAN_POINT('',(7.7E0,-6.4E0,-1.545E1)); +#2691=LINE('',#2690,#2689); +#2692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2693=VECTOR('',#2692,1.75E0); +#2694=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.315E1)); +#2695=LINE('',#2694,#2693); +#2696=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2697=VECTOR('',#2696,2.3E0); +#2698=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.315E1)); +#2699=LINE('',#2698,#2697); +#2700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2701=VECTOR('',#2700,1.5E-1); +#2702=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.545E1)); +#2703=LINE('',#2702,#2701); +#2704=DIRECTION('',(0.E0,1.E0,0.E0)); +#2705=VECTOR('',#2704,1.6E0); +#2706=CARTESIAN_POINT('',(-7.75E0,-8.15E0,-1.445E1)); +#2707=LINE('',#2706,#2705); +#2708=CARTESIAN_POINT('',(-7.75E0,-8.15E0,-1.38E1)); +#2709=DIRECTION('',(1.E0,0.E0,0.E0)); +#2710=DIRECTION('',(0.E0,0.E0,1.E0)); +#2711=AXIS2_PLACEMENT_3D('',#2708,#2709,#2710); +#2713=DIRECTION('',(1.E0,0.E0,0.E0)); +#2714=VECTOR('',#2713,2.E-1); +#2715=CARTESIAN_POINT('',(-7.95E0,-8.15E0,-1.445E1)); +#2716=LINE('',#2715,#2714); +#2717=DIRECTION('',(1.E0,0.E0,0.E0)); +#2718=VECTOR('',#2717,2.E-1); +#2719=CARTESIAN_POINT('',(-7.95E0,-8.15E0,-1.315E1)); +#2720=LINE('',#2719,#2718); +#2721=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2722=VECTOR('',#2721,2.E-1); +#2723=CARTESIAN_POINT('',(7.95E0,-8.15E0,-1.445E1)); +#2724=LINE('',#2723,#2722); +#2725=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2726=VECTOR('',#2725,2.E-1); +#2727=CARTESIAN_POINT('',(7.95E0,-8.15E0,-1.315E1)); +#2728=LINE('',#2727,#2726); +#2729=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2730=VECTOR('',#2729,2.E-1); +#2731=CARTESIAN_POINT('',(7.95E0,-6.35E0,-1.315E1)); +#2732=LINE('',#2731,#2730); +#2733=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2734=VECTOR('',#2733,2.E-1); +#2735=CARTESIAN_POINT('',(7.95E0,-6.35E0,-1.075E1)); +#2736=LINE('',#2735,#2734); +#2737=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2738=VECTOR('',#2737,2.E0); +#2739=CARTESIAN_POINT('',(7.75E0,-6.35E0,-8.75E0)); +#2740=LINE('',#2739,#2738); +#2741=DIRECTION('',(1.E0,0.E0,0.E0)); +#2742=VECTOR('',#2741,2.E-1); +#2743=CARTESIAN_POINT('',(7.75E0,-6.35E0,-8.75E0)); +#2744=LINE('',#2743,#2742); +#2745=DIRECTION('',(0.E0,0.E0,1.E0)); +#2746=VECTOR('',#2745,2.E0); +#2747=CARTESIAN_POINT('',(-7.75E0,-6.35E0,-1.075E1)); +#2748=LINE('',#2747,#2746); +#2749=DIRECTION('',(1.E0,0.E0,0.E0)); +#2750=VECTOR('',#2749,2.E-1); +#2751=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-1.075E1)); +#2752=LINE('',#2751,#2750); +#2753=DIRECTION('',(1.E0,0.E0,0.E0)); +#2754=VECTOR('',#2753,2.E-1); +#2755=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-8.75E0)); +#2756=LINE('',#2755,#2754); +#2757=DIRECTION('',(-1.E0,-2.016165012719E-12,3.552713678800E-14)); +#2758=VECTOR('',#2757,2.E-1); +#2759=CARTESIAN_POINT('',(7.95E0,-6.35E0,-8.35E0)); +#2760=LINE('',#2759,#2758); +#2761=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2762=VECTOR('',#2761,1.5E-1); +#2763=CARTESIAN_POINT('',(7.75E0,-6.4E0,-2.E-1)); +#2764=LINE('',#2763,#2762); +#2765=CARTESIAN_POINT('',(7.75E0,-6.35E0,-8.15E0)); +#2766=DIRECTION('',(1.E0,0.E0,0.E0)); +#2767=DIRECTION('',(0.E0,-1.E0,-5.329070518201E-14)); +#2768=AXIS2_PLACEMENT_3D('',#2765,#2766,#2767); +#2770=CARTESIAN_POINT('',(7.E0,-6.55E0,-2.E-1)); +#2771=DIRECTION('',(1.E0,0.E0,0.E0)); +#2772=DIRECTION('',(0.E0,0.E0,1.E0)); +#2773=AXIS2_PLACEMENT_3D('',#2770,#2771,#2772); +#2775=DIRECTION('',(-9.769962616701E-14,0.E0,-1.E0)); +#2776=VECTOR('',#2775,2.E-1); +#2777=CARTESIAN_POINT('',(7.E0,-6.55E0,0.E0)); +#2778=LINE('',#2777,#2776); +#2779=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2780=VECTOR('',#2779,1.5E-1); +#2781=CARTESIAN_POINT('',(7.E0,-6.4E0,-2.E-1)); +#2782=LINE('',#2781,#2780); +#2783=DIRECTION('',(1.E0,0.E0,0.E0)); +#2784=VECTOR('',#2783,4.57E0); +#2785=CARTESIAN_POINT('',(2.43E0,-6.75E0,-2.E-1)); +#2786=LINE('',#2785,#2784); +#2787=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2788=VECTOR('',#2787,2.5E0); +#2789=CARTESIAN_POINT('',(7.E0,-6.75E0,-2.E-1)); +#2790=LINE('',#2789,#2788); +#2791=DIRECTION('',(1.E0,0.E0,0.E0)); +#2792=VECTOR('',#2791,1.4E1); +#2793=CARTESIAN_POINT('',(-7.E0,-6.75E0,-2.7E0)); +#2794=LINE('',#2793,#2792); +#2795=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2796=VECTOR('',#2795,2.5E0); +#2797=CARTESIAN_POINT('',(-7.E0,-6.75E0,-2.E-1)); +#2798=LINE('',#2797,#2796); +#2799=DIRECTION('',(1.E0,0.E0,0.E0)); +#2800=VECTOR('',#2799,4.37E0); +#2801=CARTESIAN_POINT('',(-7.E0,-6.75E0,-2.E-1)); +#2802=LINE('',#2801,#2800); +#2803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2804=VECTOR('',#2803,5.E-2); +#2805=CARTESIAN_POINT('',(-2.63E0,-6.75E0,-2.E-1)); +#2806=LINE('',#2805,#2804); +#2807=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2808=VECTOR('',#2807,5.06E0); +#2809=CARTESIAN_POINT('',(2.43E0,-6.75E0,-2.5E-1)); +#2810=LINE('',#2809,#2808); +#2811=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2812=VECTOR('',#2811,5.E-2); +#2813=CARTESIAN_POINT('',(2.43E0,-6.75E0,-2.E-1)); +#2814=LINE('',#2813,#2812); +#2815=DIRECTION('',(0.E0,1.E0,0.E0)); +#2816=VECTOR('',#2815,3.5E-1); +#2817=CARTESIAN_POINT('',(7.E0,-6.75E0,-2.7E0)); +#2818=LINE('',#2817,#2816); +#2819=CARTESIAN_POINT('',(-7.E0,-6.55E0,-2.E-1)); +#2820=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2821=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2822=AXIS2_PLACEMENT_3D('',#2819,#2820,#2821); +#2824=DIRECTION('',(0.E0,1.E0,0.E0)); +#2825=VECTOR('',#2824,3.5E-1); +#2826=CARTESIAN_POINT('',(-7.E0,-6.75E0,-2.7E0)); +#2827=LINE('',#2826,#2825); +#2828=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2829=VECTOR('',#2828,1.5E-1); +#2830=CARTESIAN_POINT('',(-7.E0,-6.4E0,-2.E-1)); +#2831=LINE('',#2830,#2829); +#2832=DIRECTION('',(-9.769962616701E-14,0.E0,1.E0)); +#2833=VECTOR('',#2832,2.E-1); +#2834=CARTESIAN_POINT('',(-7.E0,-6.55E0,-2.E-1)); +#2835=LINE('',#2834,#2833); +#2836=CARTESIAN_POINT('',(-2.63E0,-6.55E0,-2.E-1)); +#2837=DIRECTION('',(1.E0,0.E0,0.E0)); +#2838=DIRECTION('',(0.E0,0.E0,1.E0)); +#2839=AXIS2_PLACEMENT_3D('',#2836,#2837,#2838); +#2841=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2842=VECTOR('',#2841,2.5E-1); +#2843=CARTESIAN_POINT('',(-2.63E0,-5.94E0,0.E0)); +#2844=LINE('',#2843,#2842); +#2845=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2846=VECTOR('',#2845,2.5E-1); +#2847=CARTESIAN_POINT('',(-7.15E0,-5.94E0,0.E0)); +#2848=LINE('',#2847,#2846); +#2849=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2850=VECTOR('',#2849,2.5E-1); +#2851=CARTESIAN_POINT('',(-7.15E0,-3.59E0,0.E0)); +#2852=LINE('',#2851,#2850); +#2853=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2854=VECTOR('',#2853,2.5E-1); +#2855=CARTESIAN_POINT('',(-6.55E0,-3.59E0,0.E0)); +#2856=LINE('',#2855,#2854); +#2857=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2858=VECTOR('',#2857,2.8E0); +#2859=CARTESIAN_POINT('',(-6.55E0,1.255E0,-2.5E-1)); +#2860=LINE('',#2859,#2858); +#2861=DIRECTION('',(0.E0,0.E0,1.E0)); +#2862=VECTOR('',#2861,2.E-1); +#2863=CARTESIAN_POINT('',(-6.55E0,-1.21E0,-2.E-1)); +#2864=LINE('',#2863,#2862); +#2865=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2866=VECTOR('',#2865,2.E0); +#2867=CARTESIAN_POINT('',(-6.55E0,7.9E-1,-2.E-1)); +#2868=LINE('',#2867,#2866); +#2869=DIRECTION('',(0.E0,0.E0,1.E0)); +#2870=VECTOR('',#2869,2.E-1); +#2871=CARTESIAN_POINT('',(-6.55E0,7.9E-1,-2.E-1)); +#2872=LINE('',#2871,#2870); +#2873=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2874=VECTOR('',#2873,2.5E-1); +#2875=CARTESIAN_POINT('',(-6.55E0,4.17E0,0.E0)); +#2876=LINE('',#2875,#2874); +#2877=DIRECTION('',(0.E0,1.E0,0.E0)); +#2878=VECTOR('',#2877,1.15E0); +#2879=CARTESIAN_POINT('',(-2.28E0,-5.9E0,-2.5E-1)); +#2880=LINE('',#2879,#2878); +#2881=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2882=VECTOR('',#2881,1.15E0); +#2883=CARTESIAN_POINT('',(2.28E0,-4.75E0,-2.5E-1)); +#2884=LINE('',#2883,#2882); +#2885=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2886=VECTOR('',#2885,1.12E0); +#2887=CARTESIAN_POINT('',(3.4E0,-4.75E0,-2.5E-1)); +#2888=LINE('',#2887,#2886); +#2889=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2890=VECTOR('',#2889,1.69E0); +#2891=CARTESIAN_POINT('',(3.4E0,-3.06E0,-2.5E-1)); +#2892=LINE('',#2891,#2890); +#2893=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2894=VECTOR('',#2893,2.8E0); +#2895=CARTESIAN_POINT('',(6.2E0,-3.06E0,-2.5E-1)); +#2896=LINE('',#2895,#2894); +#2897=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2898=VECTOR('',#2897,1.265E0); +#2899=CARTESIAN_POINT('',(6.2E0,-1.795E0,-2.5E-1)); +#2900=LINE('',#2899,#2898); +#2901=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2902=VECTOR('',#2901,1.5E-1); +#2903=CARTESIAN_POINT('',(6.35E0,-1.795E0,-2.5E-1)); +#2904=LINE('',#2903,#2902); +#2905=DIRECTION('',(0.E0,1.E0,0.E0)); +#2906=VECTOR('',#2905,1.795E0); +#2907=CARTESIAN_POINT('',(6.35E0,-3.59E0,-2.5E-1)); +#2908=LINE('',#2907,#2906); +#2909=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2910=VECTOR('',#2909,7.E-1); +#2911=CARTESIAN_POINT('',(7.05E0,-3.59E0,-2.5E-1)); +#2912=LINE('',#2911,#2910); +#2913=DIRECTION('',(0.E0,1.E0,0.E0)); +#2914=VECTOR('',#2913,2.35E0); +#2915=CARTESIAN_POINT('',(7.05E0,-5.94E0,-2.5E-1)); +#2916=LINE('',#2915,#2914); +#2917=DIRECTION('',(1.E0,0.E0,0.E0)); +#2918=VECTOR('',#2917,4.62E0); +#2919=CARTESIAN_POINT('',(2.43E0,-5.94E0,-2.5E-1)); +#2920=LINE('',#2919,#2918); +#2921=DIRECTION('',(0.E0,1.E0,0.E0)); +#2922=VECTOR('',#2921,8.1E-1); +#2923=CARTESIAN_POINT('',(2.43E0,-6.75E0,-2.5E-1)); +#2924=LINE('',#2923,#2922); +#2925=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2926=VECTOR('',#2925,8.1E-1); +#2927=CARTESIAN_POINT('',(-2.63E0,-5.94E0,-2.5E-1)); +#2928=LINE('',#2927,#2926); +#2929=DIRECTION('',(1.E0,0.E0,0.E0)); +#2930=VECTOR('',#2929,4.52E0); +#2931=CARTESIAN_POINT('',(-7.15E0,-5.94E0,-2.5E-1)); +#2932=LINE('',#2931,#2930); +#2933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2934=VECTOR('',#2933,2.35E0); +#2935=CARTESIAN_POINT('',(-7.15E0,-3.59E0,-2.5E-1)); +#2936=LINE('',#2935,#2934); +#2937=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2938=VECTOR('',#2937,6.E-1); +#2939=CARTESIAN_POINT('',(-6.55E0,-3.59E0,-2.5E-1)); +#2940=LINE('',#2939,#2938); +#2941=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2942=VECTOR('',#2941,1.795E0); +#2943=CARTESIAN_POINT('',(-6.55E0,-1.795E0,-2.5E-1)); +#2944=LINE('',#2943,#2942); +#2945=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2946=VECTOR('',#2945,3.5E-1); +#2947=CARTESIAN_POINT('',(-6.2E0,-1.795E0,-2.5E-1)); +#2948=LINE('',#2947,#2946); +#2949=DIRECTION('',(0.E0,1.E0,0.E0)); +#2950=VECTOR('',#2949,1.265E0); +#2951=CARTESIAN_POINT('',(-6.2E0,-3.06E0,-2.5E-1)); +#2952=LINE('',#2951,#2950); +#2953=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2954=VECTOR('',#2953,2.8E0); +#2955=CARTESIAN_POINT('',(-3.4E0,-3.06E0,-2.5E-1)); +#2956=LINE('',#2955,#2954); +#2957=DIRECTION('',(0.E0,1.E0,0.E0)); +#2958=VECTOR('',#2957,1.69E0); +#2959=CARTESIAN_POINT('',(-3.4E0,-4.75E0,-2.5E-1)); +#2960=LINE('',#2959,#2958); +#2961=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2962=VECTOR('',#2961,1.12E0); +#2963=CARTESIAN_POINT('',(-2.28E0,-4.75E0,-2.5E-1)); +#2964=LINE('',#2963,#2962); +#2965=DIRECTION('',(1.E0,0.E0,0.E0)); +#2966=VECTOR('',#2965,3.5E-1); +#2967=CARTESIAN_POINT('',(-6.55E0,1.505E0,-2.5E-1)); +#2968=LINE('',#2967,#2966); +#2969=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2970=VECTOR('',#2969,2.665E0); +#2971=CARTESIAN_POINT('',(-6.55E0,4.17E0,-2.5E-1)); +#2972=LINE('',#2971,#2970); +#2973=CARTESIAN_POINT('',(-6.3E0,4.17E0,-2.5E-1)); +#2974=DIRECTION('',(0.E0,0.E0,1.E0)); +#2975=DIRECTION('',(0.E0,1.E0,0.E0)); +#2976=AXIS2_PLACEMENT_3D('',#2973,#2974,#2975); +#2978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2979=VECTOR('',#2978,1.24E1); +#2980=CARTESIAN_POINT('',(6.1E0,4.42E0,-2.5E-1)); +#2981=LINE('',#2980,#2979); +#2982=CARTESIAN_POINT('',(6.1E0,4.17E0,-2.5E-1)); +#2983=DIRECTION('',(0.E0,0.E0,1.E0)); +#2984=DIRECTION('',(1.E0,0.E0,0.E0)); +#2985=AXIS2_PLACEMENT_3D('',#2982,#2983,#2984); +#2987=DIRECTION('',(0.E0,1.E0,0.E0)); +#2988=VECTOR('',#2987,2.665E0); +#2989=CARTESIAN_POINT('',(6.35E0,1.505E0,-2.5E-1)); +#2990=LINE('',#2989,#2988); +#2991=DIRECTION('',(1.E0,0.E0,0.E0)); +#2992=VECTOR('',#2991,1.5E-1); +#2993=CARTESIAN_POINT('',(6.2E0,1.505E0,-2.5E-1)); +#2994=LINE('',#2993,#2992); +#2995=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2996=VECTOR('',#2995,2.765E0); +#2997=CARTESIAN_POINT('',(6.2E0,4.27E0,-2.5E-1)); +#2998=LINE('',#2997,#2996); +#2999=DIRECTION('',(1.E0,0.E0,0.E0)); +#3000=VECTOR('',#2999,1.24E1); +#3001=CARTESIAN_POINT('',(-6.2E0,4.27E0,-2.5E-1)); +#3002=LINE('',#3001,#3000); +#3003=DIRECTION('',(0.E0,1.E0,0.E0)); +#3004=VECTOR('',#3003,2.765E0); +#3005=CARTESIAN_POINT('',(-6.2E0,1.505E0,-2.5E-1)); +#3006=LINE('',#3005,#3004); +#3007=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3008=VECTOR('',#3007,2.645751311065E0); +#3009=CARTESIAN_POINT('',(-4.047124344468E0,-3.75E0,-2.5E-1)); +#3010=LINE('',#3009,#3008); +#3011=DIRECTION('',(0.E0,1.E0,0.E0)); +#3012=VECTOR('',#3011,1.9E0); +#3013=CARTESIAN_POINT('',(-6.692875655532E0,-5.65E0,-2.5E-1)); +#3014=LINE('',#3013,#3012); +#3015=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3016=VECTOR('',#3015,2.645751311065E0); +#3017=CARTESIAN_POINT('',(-4.047124344468E0,-5.65E0,-2.5E-1)); +#3018=LINE('',#3017,#3016); +#3019=DIRECTION('',(0.E0,1.E0,0.E0)); +#3020=VECTOR('',#3019,1.9E0); +#3021=CARTESIAN_POINT('',(-4.047124344468E0,-5.65E0,-2.5E-1)); +#3022=LINE('',#3021,#3020); +#3023=DIRECTION('',(1.E0,0.E0,0.E0)); +#3024=VECTOR('',#3023,2.645751311065E0); +#3025=CARTESIAN_POINT('',(4.047124344468E0,-3.75E0,-2.5E-1)); +#3026=LINE('',#3025,#3024); +#3027=DIRECTION('',(0.E0,1.E0,0.E0)); +#3028=VECTOR('',#3027,1.9E0); +#3029=CARTESIAN_POINT('',(4.047124344468E0,-5.65E0,-2.5E-1)); +#3030=LINE('',#3029,#3028); +#3031=DIRECTION('',(1.E0,0.E0,0.E0)); +#3032=VECTOR('',#3031,2.645751311065E0); +#3033=CARTESIAN_POINT('',(4.047124344468E0,-5.65E0,-2.5E-1)); +#3034=LINE('',#3033,#3032); +#3035=DIRECTION('',(0.E0,1.E0,0.E0)); +#3036=VECTOR('',#3035,1.9E0); +#3037=CARTESIAN_POINT('',(6.692875655532E0,-5.65E0,-2.5E-1)); +#3038=LINE('',#3037,#3036); +#3039=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#3040=VECTOR('',#3039,4.330127018922E-1); +#3041=CARTESIAN_POINT('',(-2.03E0,-4.5E0,-5.E-1)); +#3042=LINE('',#3041,#3040); +#3043=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#3044=VECTOR('',#3043,3.535533905933E-1); +#3045=CARTESIAN_POINT('',(-2.03E0,-5.9E0,-5.E-1)); +#3046=LINE('',#3045,#3044); +#3047=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#3048=VECTOR('',#3047,4.330127018922E-1); +#3049=CARTESIAN_POINT('',(-3.15E0,-4.5E0,-5.E-1)); +#3050=LINE('',#3049,#3048); +#3051=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#3052=VECTOR('',#3051,4.330127018922E-1); +#3053=CARTESIAN_POINT('',(-3.15E0,-2.81E0,-5.E-1)); +#3054=LINE('',#3053,#3052); +#3055=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#3056=VECTOR('',#3055,4.330127018922E-1); +#3057=CARTESIAN_POINT('',(-5.95E0,-2.81E0,-5.E-1)); +#3058=LINE('',#3057,#3056); +#3059=DIRECTION('',(-5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#3060=VECTOR('',#3059,4.330127018922E-1); +#3061=CARTESIAN_POINT('',(-5.95E0,-1.545E0,-5.E-1)); +#3062=LINE('',#3061,#3060); +#3063=DIRECTION('',(0.E0,1.E0,0.E0)); +#3064=VECTOR('',#3063,1.265E0); +#3065=CARTESIAN_POINT('',(-5.95E0,-2.81E0,-5.E-1)); +#3066=LINE('',#3065,#3064); +#3067=DIRECTION('',(0.E0,0.E0,1.E0)); +#3068=VECTOR('',#3067,9.4E0); +#3069=CARTESIAN_POINT('',(-5.95E0,-1.545E0,-9.9E0)); +#3070=LINE('',#3069,#3068); +#3071=DIRECTION('',(0.E0,0.E0,1.E0)); +#3072=VECTOR('',#3071,1.5E0); +#3073=CARTESIAN_POINT('',(-5.95E0,-1.61E0,-9.9E0)); +#3074=LINE('',#3073,#3072); +#3075=DIRECTION('',(0.E0,1.E0,0.E0)); +#3076=VECTOR('',#3075,1.2E0); +#3077=CARTESIAN_POINT('',(-5.95E0,-2.81E0,-8.4E0)); +#3078=LINE('',#3077,#3076); +#3079=DIRECTION('',(0.E0,1.E0,0.E0)); +#3080=VECTOR('',#3079,2.765E0); +#3081=CARTESIAN_POINT('',(-5.95E0,1.255E0,-5.E-1)); +#3082=LINE('',#3081,#3080); +#3083=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3084=VECTOR('',#3083,9.4E0); +#3085=CARTESIAN_POINT('',(-5.95E0,4.02E0,-5.E-1)); +#3086=LINE('',#3085,#3084); +#3087=DIRECTION('',(0.E0,0.E0,1.E0)); +#3088=VECTOR('',#3087,9.4E0); +#3089=CARTESIAN_POINT('',(-5.95E0,1.255E0,-9.9E0)); +#3090=LINE('',#3089,#3088); +#3091=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3092=VECTOR('',#3091,6.E-1); +#3093=CARTESIAN_POINT('',(-5.95E0,-1.545E0,-5.E-1)); +#3094=LINE('',#3093,#3092); +#3095=DIRECTION('',(0.E0,0.E0,1.E0)); +#3096=VECTOR('',#3095,2.5E-1); +#3097=CARTESIAN_POINT('',(-6.55E0,-1.545E0,-5.E-1)); +#3098=LINE('',#3097,#3096); +#3099=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3100=VECTOR('',#3099,9.65E0); +#3101=CARTESIAN_POINT('',(-6.81E0,-1.545E0,-2.5E-1)); +#3102=LINE('',#3101,#3100); +#3103=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#3104=VECTOR('',#3103,3.535533905933E-1); +#3105=CARTESIAN_POINT('',(-6.55E0,-1.795E0,-2.5E-1)); +#3106=LINE('',#3105,#3104); +#3107=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3108=VECTOR('',#3107,2.6E-1); +#3109=CARTESIAN_POINT('',(-6.55E0,1.255E0,-2.5E-1)); +#3110=LINE('',#3109,#3108); +#3111=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3112=VECTOR('',#3111,2.8E0); +#3113=CARTESIAN_POINT('',(-6.81E0,1.255E0,-2.5E-1)); +#3114=LINE('',#3113,#3112); +#3115=DIRECTION('',(1.E0,0.E0,0.E0)); +#3116=VECTOR('',#3115,2.6E-1); +#3117=CARTESIAN_POINT('',(-6.81E0,-1.545E0,-2.5E-1)); +#3118=LINE('',#3117,#3116); +#3119=DIRECTION('',(1.E0,0.E0,0.E0)); +#3120=VECTOR('',#3119,4.6E-1); +#3121=CARTESIAN_POINT('',(6.35E0,-1.545E0,-2.5E-1)); +#3122=LINE('',#3121,#3120); +#3123=DIRECTION('',(0.E0,1.E0,0.E0)); +#3124=VECTOR('',#3123,2.8E0); +#3125=CARTESIAN_POINT('',(6.81E0,-1.545E0,-2.5E-1)); +#3126=LINE('',#3125,#3124); +#3127=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3128=VECTOR('',#3127,4.6E-1); +#3129=CARTESIAN_POINT('',(6.81E0,1.255E0,-2.5E-1)); +#3130=LINE('',#3129,#3128); +#3131=DIRECTION('',(1.E0,0.E0,0.E0)); +#3132=VECTOR('',#3131,6.E-1); +#3133=CARTESIAN_POINT('',(-6.55E0,1.255E0,-5.E-1)); +#3134=LINE('',#3133,#3132); +#3135=DIRECTION('',(0.E0,0.E0,1.E0)); +#3136=VECTOR('',#3135,2.5E-1); +#3137=CARTESIAN_POINT('',(-6.55E0,1.255E0,-5.E-1)); +#3138=LINE('',#3137,#3136); +#3139=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#3140=VECTOR('',#3139,4.330127018922E-1); +#3141=CARTESIAN_POINT('',(-5.95E0,1.255E0,-5.E-1)); +#3142=LINE('',#3141,#3140); +#3143=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#3144=VECTOR('',#3143,3.535533905933E-1); +#3145=CARTESIAN_POINT('',(-6.55E0,1.255E0,-5.E-1)); +#3146=LINE('',#3145,#3144); +#3147=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#3148=VECTOR('',#3147,4.330127018922E-1); +#3149=CARTESIAN_POINT('',(-5.95E0,4.02E0,-5.E-1)); +#3150=LINE('',#3149,#3148); +#3151=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#3152=VECTOR('',#3151,4.330127018922E-1); +#3153=CARTESIAN_POINT('',(5.95E0,4.02E0,-5.E-1)); +#3154=LINE('',#3153,#3152); +#3155=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#3156=VECTOR('',#3155,4.330127018922E-1); +#3157=CARTESIAN_POINT('',(5.95E0,1.255E0,-5.E-1)); +#3158=LINE('',#3157,#3156); +#3159=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3160=VECTOR('',#3159,1.265E0); +#3161=CARTESIAN_POINT('',(5.95E0,-1.545E0,-5.E-1)); +#3162=LINE('',#3161,#3160); +#3163=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3164=VECTOR('',#3163,7.9E0); +#3165=CARTESIAN_POINT('',(5.95E0,-2.81E0,-5.E-1)); +#3166=LINE('',#3165,#3164); +#3167=DIRECTION('',(0.E0,1.E0,0.E0)); +#3168=VECTOR('',#3167,1.2E0); +#3169=CARTESIAN_POINT('',(5.95E0,-2.81E0,-8.4E0)); +#3170=LINE('',#3169,#3168); +#3171=DIRECTION('',(0.E0,0.E0,1.E0)); +#3172=VECTOR('',#3171,1.5E0); +#3173=CARTESIAN_POINT('',(5.95E0,-1.61E0,-9.9E0)); +#3174=LINE('',#3173,#3172); +#3175=DIRECTION('',(0.E0,0.E0,1.E0)); +#3176=VECTOR('',#3175,9.4E0); +#3177=CARTESIAN_POINT('',(5.95E0,-1.545E0,-9.9E0)); +#3178=LINE('',#3177,#3176); +#3179=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3180=VECTOR('',#3179,2.765E0); +#3181=CARTESIAN_POINT('',(5.95E0,4.02E0,-5.E-1)); +#3182=LINE('',#3181,#3180); +#3183=DIRECTION('',(0.E0,0.E0,1.E0)); +#3184=VECTOR('',#3183,9.4E0); +#3185=CARTESIAN_POINT('',(5.95E0,1.255E0,-9.9E0)); +#3186=LINE('',#3185,#3184); +#3187=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#3188=VECTOR('',#3187,4.330127018922E-1); +#3189=CARTESIAN_POINT('',(5.95E0,-2.81E0,-5.E-1)); +#3190=LINE('',#3189,#3188); +#3191=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#3192=VECTOR('',#3191,4.330127018922E-1); +#3193=CARTESIAN_POINT('',(3.15E0,-2.81E0,-5.E-1)); +#3194=LINE('',#3193,#3192); +#3195=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3196=VECTOR('',#3195,2.8E0); +#3197=CARTESIAN_POINT('',(5.95E0,-2.81E0,-5.E-1)); +#3198=LINE('',#3197,#3196); +#3199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3200=VECTOR('',#3199,7.9E0); +#3201=CARTESIAN_POINT('',(3.15E0,-2.81E0,-5.E-1)); +#3202=LINE('',#3201,#3200); +#3203=DIRECTION('',(1.E0,0.E0,0.E0)); +#3204=VECTOR('',#3203,2.8E0); +#3205=CARTESIAN_POINT('',(3.15E0,-2.81E0,-8.4E0)); +#3206=LINE('',#3205,#3204); +#3207=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3208=VECTOR('',#3207,1.69E0); +#3209=CARTESIAN_POINT('',(3.15E0,-2.81E0,-5.E-1)); +#3210=LINE('',#3209,#3208); +#3211=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3212=VECTOR('',#3211,1.15E0); +#3213=CARTESIAN_POINT('',(3.15E0,-4.5E0,-5.E-1)); +#3214=LINE('',#3213,#3212); +#3215=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3216=VECTOR('',#3215,1.4E0); +#3217=CARTESIAN_POINT('',(3.15E0,-4.5E0,-1.65E0)); +#3218=LINE('',#3217,#3216); +#3219=DIRECTION('',(0.E0,0.E0,1.E0)); +#3220=VECTOR('',#3219,1.5E0); +#3221=CARTESIAN_POINT('',(3.15E0,-1.61E0,-9.9E0)); +#3222=LINE('',#3221,#3220); +#3223=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#3224=VECTOR('',#3223,4.330127018922E-1); +#3225=CARTESIAN_POINT('',(3.15E0,-4.5E0,-5.E-1)); +#3226=LINE('',#3225,#3224); +#3227=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#3228=VECTOR('',#3227,4.330127018922E-1); +#3229=CARTESIAN_POINT('',(2.03E0,-4.5E0,-5.E-1)); +#3230=LINE('',#3229,#3228); +#3231=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3232=VECTOR('',#3231,1.12E0); +#3233=CARTESIAN_POINT('',(-2.03E0,-4.5E0,-5.E-1)); +#3234=LINE('',#3233,#3232); +#3235=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3236=VECTOR('',#3235,1.15E0); +#3237=CARTESIAN_POINT('',(-3.15E0,-4.5E0,-5.E-1)); +#3238=LINE('',#3237,#3236); +#3239=DIRECTION('',(1.E0,0.E0,0.E0)); +#3240=VECTOR('',#3239,1.12E0); +#3241=CARTESIAN_POINT('',(-3.15E0,-4.5E0,-1.65E0)); +#3242=LINE('',#3241,#3240); +#3243=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3244=VECTOR('',#3243,1.15E0); +#3245=CARTESIAN_POINT('',(-2.03E0,-4.5E0,-5.E-1)); +#3246=LINE('',#3245,#3244); +#3247=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3248=VECTOR('',#3247,1.12E0); +#3249=CARTESIAN_POINT('',(3.15E0,-4.5E0,-5.E-1)); +#3250=LINE('',#3249,#3248); +#3251=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3252=VECTOR('',#3251,1.15E0); +#3253=CARTESIAN_POINT('',(2.03E0,-4.5E0,-5.E-1)); +#3254=LINE('',#3253,#3252); +#3255=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3256=VECTOR('',#3255,1.12E0); +#3257=CARTESIAN_POINT('',(3.15E0,-4.5E0,-1.65E0)); +#3258=LINE('',#3257,#3256); +#3259=DIRECTION('',(0.E0,1.E0,0.E0)); +#3260=VECTOR('',#3259,1.69E0); +#3261=CARTESIAN_POINT('',(-3.15E0,-4.5E0,-5.E-1)); +#3262=LINE('',#3261,#3260); +#3263=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3264=VECTOR('',#3263,7.9E0); +#3265=CARTESIAN_POINT('',(-3.15E0,-2.81E0,-5.E-1)); +#3266=LINE('',#3265,#3264); +#3267=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3268=VECTOR('',#3267,4.29E0); +#3269=CARTESIAN_POINT('',(-3.15E0,-1.61E0,-9.9E0)); +#3270=LINE('',#3269,#3268); +#3271=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3272=VECTOR('',#3271,2.8E0); +#3273=CARTESIAN_POINT('',(-3.15E0,-2.81E0,-5.E-1)); +#3274=LINE('',#3273,#3272); +#3275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3276=VECTOR('',#3275,7.9E0); +#3277=CARTESIAN_POINT('',(-5.95E0,-2.81E0,-5.E-1)); +#3278=LINE('',#3277,#3276); +#3279=DIRECTION('',(1.E0,0.E0,0.E0)); +#3280=VECTOR('',#3279,2.8E0); +#3281=CARTESIAN_POINT('',(-5.95E0,-2.81E0,-8.4E0)); +#3282=LINE('',#3281,#3280); +#3283=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3284=VECTOR('',#3283,2.8E0); +#3285=CARTESIAN_POINT('',(5.95E0,-1.61E0,-8.4E0)); +#3286=LINE('',#3285,#3284); +#3287=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3288=VECTOR('',#3287,1.2E0); +#3289=CARTESIAN_POINT('',(3.15E0,-1.61E0,-8.4E0)); +#3290=LINE('',#3289,#3288); +#3291=DIRECTION('',(0.E0,1.E0,0.E0)); +#3292=VECTOR('',#3291,1.2E0); +#3293=CARTESIAN_POINT('',(-3.15E0,-2.81E0,-8.4E0)); +#3294=LINE('',#3293,#3292); +#3295=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3296=VECTOR('',#3295,2.8E0); +#3297=CARTESIAN_POINT('',(-3.15E0,-1.61E0,-8.4E0)); +#3298=LINE('',#3297,#3296); +#3299=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3300=VECTOR('',#3299,4.29E0); +#3301=CARTESIAN_POINT('',(3.15E0,-1.61E0,-9.9E0)); +#3302=LINE('',#3301,#3300); +#3303=DIRECTION('',(1.E0,0.E0,0.E0)); +#3304=VECTOR('',#3303,2.8E0); +#3305=CARTESIAN_POINT('',(-5.95E0,-1.61E0,-9.9E0)); +#3306=LINE('',#3305,#3304); +#3307=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3308=VECTOR('',#3307,6.5E-2); +#3309=CARTESIAN_POINT('',(-5.95E0,-1.545E0,-9.9E0)); +#3310=LINE('',#3309,#3308); +#3311=DIRECTION('',(1.E0,0.E0,0.E0)); +#3312=VECTOR('',#3311,8.6E-1); +#3313=CARTESIAN_POINT('',(-6.81E0,-1.545E0,-9.9E0)); +#3314=LINE('',#3313,#3312); +#3315=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3316=VECTOR('',#3315,2.8E0); +#3317=CARTESIAN_POINT('',(-6.81E0,1.255E0,-9.9E0)); +#3318=LINE('',#3317,#3316); +#3319=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3320=VECTOR('',#3319,8.6E-1); +#3321=CARTESIAN_POINT('',(-5.95E0,1.255E0,-9.9E0)); +#3322=LINE('',#3321,#3320); +#3323=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3324=VECTOR('',#3323,2.765E0); +#3325=CARTESIAN_POINT('',(-5.95E0,4.02E0,-9.9E0)); +#3326=LINE('',#3325,#3324); +#3327=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3328=VECTOR('',#3327,1.19E1); +#3329=CARTESIAN_POINT('',(5.95E0,4.02E0,-9.9E0)); +#3330=LINE('',#3329,#3328); +#3331=DIRECTION('',(0.E0,1.E0,0.E0)); +#3332=VECTOR('',#3331,2.765E0); +#3333=CARTESIAN_POINT('',(5.95E0,1.255E0,-9.9E0)); +#3334=LINE('',#3333,#3332); +#3335=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3336=VECTOR('',#3335,8.6E-1); +#3337=CARTESIAN_POINT('',(6.81E0,1.255E0,-9.9E0)); +#3338=LINE('',#3337,#3336); +#3339=DIRECTION('',(0.E0,1.E0,0.E0)); +#3340=VECTOR('',#3339,2.8E0); +#3341=CARTESIAN_POINT('',(6.81E0,-1.545E0,-9.9E0)); +#3342=LINE('',#3341,#3340); +#3343=DIRECTION('',(1.E0,0.E0,0.E0)); +#3344=VECTOR('',#3343,8.6E-1); +#3345=CARTESIAN_POINT('',(5.95E0,-1.545E0,-9.9E0)); +#3346=LINE('',#3345,#3344); +#3347=DIRECTION('',(0.E0,1.E0,0.E0)); +#3348=VECTOR('',#3347,6.5E-2); +#3349=CARTESIAN_POINT('',(5.95E0,-1.61E0,-9.9E0)); +#3350=LINE('',#3349,#3348); +#3351=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3352=VECTOR('',#3351,2.8E0); +#3353=CARTESIAN_POINT('',(5.95E0,-1.61E0,-9.9E0)); +#3354=LINE('',#3353,#3352); +#3355=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3356=VECTOR('',#3355,3.831226974761E-1); +#3357=CARTESIAN_POINT('',(3.78E0,1.228977356156E0,-9.9E0)); +#3358=LINE('',#3357,#3356); +#3359=DIRECTION('',(1.E0,0.E0,0.E0)); +#3360=VECTOR('',#3359,4.2E-1); +#3361=CARTESIAN_POINT('',(3.36E0,1.228977356156E0,-9.9E0)); +#3362=LINE('',#3361,#3360); +#3363=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3364=VECTOR('',#3363,3.831226974761E-1); +#3365=CARTESIAN_POINT('',(3.36E0,1.228977356156E0,-9.9E0)); +#3366=LINE('',#3365,#3364); +#3367=DIRECTION('',(1.E0,0.E0,0.E0)); +#3368=VECTOR('',#3367,4.2E-1); +#3369=CARTESIAN_POINT('',(3.36E0,8.458546586800E-1,-9.9E0)); +#3370=LINE('',#3369,#3368); +#3371=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3372=VECTOR('',#3371,3.831226974761E-1); +#3373=CARTESIAN_POINT('',(2.76E0,1.228977356156E0,-9.9E0)); +#3374=LINE('',#3373,#3372); +#3375=DIRECTION('',(1.E0,0.E0,0.E0)); +#3376=VECTOR('',#3375,4.2E-1); +#3377=CARTESIAN_POINT('',(2.34E0,1.228977356156E0,-9.9E0)); +#3378=LINE('',#3377,#3376); +#3379=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3380=VECTOR('',#3379,3.831226974761E-1); +#3381=CARTESIAN_POINT('',(2.34E0,1.228977356156E0,-9.9E0)); +#3382=LINE('',#3381,#3380); +#3383=DIRECTION('',(1.E0,0.E0,0.E0)); +#3384=VECTOR('',#3383,4.2E-1); +#3385=CARTESIAN_POINT('',(2.34E0,8.458546586800E-1,-9.9E0)); +#3386=LINE('',#3385,#3384); +#3387=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3388=VECTOR('',#3387,3.831226974761E-1); +#3389=CARTESIAN_POINT('',(1.74E0,1.228977356156E0,-9.9E0)); +#3390=LINE('',#3389,#3388); +#3391=DIRECTION('',(1.E0,0.E0,0.E0)); +#3392=VECTOR('',#3391,4.2E-1); +#3393=CARTESIAN_POINT('',(1.32E0,1.228977356156E0,-9.9E0)); +#3394=LINE('',#3393,#3392); +#3395=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3396=VECTOR('',#3395,3.831226974761E-1); +#3397=CARTESIAN_POINT('',(1.32E0,1.228977356156E0,-9.9E0)); +#3398=LINE('',#3397,#3396); +#3399=DIRECTION('',(1.E0,0.E0,0.E0)); +#3400=VECTOR('',#3399,4.2E-1); +#3401=CARTESIAN_POINT('',(1.32E0,8.458546586800E-1,-9.9E0)); +#3402=LINE('',#3401,#3400); +#3403=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3404=VECTOR('',#3403,3.831226974761E-1); +#3405=CARTESIAN_POINT('',(7.2E-1,1.228977356156E0,-9.9E0)); +#3406=LINE('',#3405,#3404); +#3407=DIRECTION('',(1.E0,0.E0,0.E0)); +#3408=VECTOR('',#3407,4.2E-1); +#3409=CARTESIAN_POINT('',(3.E-1,1.228977356156E0,-9.9E0)); +#3410=LINE('',#3409,#3408); +#3411=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3412=VECTOR('',#3411,3.831226974761E-1); +#3413=CARTESIAN_POINT('',(3.E-1,1.228977356156E0,-9.9E0)); +#3414=LINE('',#3413,#3412); +#3415=DIRECTION('',(1.E0,0.E0,0.E0)); +#3416=VECTOR('',#3415,4.2E-1); +#3417=CARTESIAN_POINT('',(3.E-1,8.458546586800E-1,-9.9E0)); +#3418=LINE('',#3417,#3416); +#3419=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3420=VECTOR('',#3419,3.831226974761E-1); +#3421=CARTESIAN_POINT('',(-3.E-1,1.228977356156E0,-9.9E0)); +#3422=LINE('',#3421,#3420); +#3423=DIRECTION('',(1.E0,0.E0,0.E0)); +#3424=VECTOR('',#3423,4.2E-1); +#3425=CARTESIAN_POINT('',(-7.2E-1,1.228977356156E0,-9.9E0)); +#3426=LINE('',#3425,#3424); +#3427=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3428=VECTOR('',#3427,3.831226974761E-1); +#3429=CARTESIAN_POINT('',(-7.2E-1,1.228977356156E0,-9.9E0)); +#3430=LINE('',#3429,#3428); +#3431=DIRECTION('',(1.E0,0.E0,0.E0)); +#3432=VECTOR('',#3431,4.2E-1); +#3433=CARTESIAN_POINT('',(-7.2E-1,8.458546586800E-1,-9.9E0)); +#3434=LINE('',#3433,#3432); +#3435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3436=VECTOR('',#3435,3.831226974761E-1); +#3437=CARTESIAN_POINT('',(-1.32E0,1.228977356156E0,-9.9E0)); +#3438=LINE('',#3437,#3436); +#3439=DIRECTION('',(1.E0,0.E0,0.E0)); +#3440=VECTOR('',#3439,4.2E-1); +#3441=CARTESIAN_POINT('',(-1.74E0,1.228977356156E0,-9.9E0)); +#3442=LINE('',#3441,#3440); +#3443=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3444=VECTOR('',#3443,3.831226974761E-1); +#3445=CARTESIAN_POINT('',(-1.74E0,1.228977356156E0,-9.9E0)); +#3446=LINE('',#3445,#3444); +#3447=DIRECTION('',(1.E0,0.E0,0.E0)); +#3448=VECTOR('',#3447,4.2E-1); +#3449=CARTESIAN_POINT('',(-1.74E0,8.458546586800E-1,-9.9E0)); +#3450=LINE('',#3449,#3448); +#3451=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3452=VECTOR('',#3451,3.831226974761E-1); +#3453=CARTESIAN_POINT('',(-2.34E0,1.228977356156E0,-9.9E0)); +#3454=LINE('',#3453,#3452); +#3455=DIRECTION('',(1.E0,0.E0,0.E0)); +#3456=VECTOR('',#3455,4.2E-1); +#3457=CARTESIAN_POINT('',(-2.76E0,1.228977356156E0,-9.9E0)); +#3458=LINE('',#3457,#3456); +#3459=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3460=VECTOR('',#3459,3.831226974761E-1); +#3461=CARTESIAN_POINT('',(-2.76E0,1.228977356156E0,-9.9E0)); +#3462=LINE('',#3461,#3460); +#3463=DIRECTION('',(1.E0,0.E0,0.E0)); +#3464=VECTOR('',#3463,4.2E-1); +#3465=CARTESIAN_POINT('',(-2.76E0,8.458546586800E-1,-9.9E0)); +#3466=LINE('',#3465,#3464); +#3467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3468=VECTOR('',#3467,3.831226974761E-1); +#3469=CARTESIAN_POINT('',(-3.36E0,1.228977356156E0,-9.9E0)); +#3470=LINE('',#3469,#3468); +#3471=DIRECTION('',(1.E0,0.E0,0.E0)); +#3472=VECTOR('',#3471,4.2E-1); +#3473=CARTESIAN_POINT('',(-3.78E0,1.228977356156E0,-9.9E0)); +#3474=LINE('',#3473,#3472); +#3475=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3476=VECTOR('',#3475,3.831226974761E-1); +#3477=CARTESIAN_POINT('',(-3.78E0,1.228977356156E0,-9.9E0)); +#3478=LINE('',#3477,#3476); +#3479=DIRECTION('',(1.E0,0.E0,0.E0)); +#3480=VECTOR('',#3479,4.2E-1); +#3481=CARTESIAN_POINT('',(-3.78E0,8.458546586800E-1,-9.9E0)); +#3482=LINE('',#3481,#3480); +#3483=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3484=VECTOR('',#3483,1.15E0); +#3485=CARTESIAN_POINT('',(2.03E0,-5.9E0,-5.E-1)); +#3486=LINE('',#3485,#3484); +#3487=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3488=VECTOR('',#3487,4.56E0); +#3489=CARTESIAN_POINT('',(2.28E0,-5.9E0,-2.5E-1)); +#3490=LINE('',#3489,#3488); +#3491=DIRECTION('',(0.E0,0.E0,1.E0)); +#3492=VECTOR('',#3491,1.15E0); +#3493=CARTESIAN_POINT('',(-2.03E0,-5.9E0,-1.65E0)); +#3494=LINE('',#3493,#3492); +#3495=DIRECTION('',(1.E0,0.E0,0.E0)); +#3496=VECTOR('',#3495,1.12E0); +#3497=CARTESIAN_POINT('',(-3.15E0,-5.9E0,-1.65E0)); +#3498=LINE('',#3497,#3496); +#3499=DIRECTION('',(0.E0,0.E0,1.E0)); +#3500=VECTOR('',#3499,8.25E0); +#3501=CARTESIAN_POINT('',(-3.15E0,-5.9E0,-9.9E0)); +#3502=LINE('',#3501,#3500); +#3503=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3504=VECTOR('',#3503,6.3E0); +#3505=CARTESIAN_POINT('',(3.15E0,-5.9E0,-9.9E0)); +#3506=LINE('',#3505,#3504); +#3507=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3508=VECTOR('',#3507,8.25E0); +#3509=CARTESIAN_POINT('',(3.15E0,-5.9E0,-1.65E0)); +#3510=LINE('',#3509,#3508); +#3511=DIRECTION('',(1.E0,0.E0,0.E0)); +#3512=VECTOR('',#3511,1.12E0); +#3513=CARTESIAN_POINT('',(2.03E0,-5.9E0,-1.65E0)); +#3514=LINE('',#3513,#3512); +#3515=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3516=VECTOR('',#3515,1.4E0); +#3517=CARTESIAN_POINT('',(2.03E0,-4.5E0,-5.E-1)); +#3518=LINE('',#3517,#3516); +#3519=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#3520=VECTOR('',#3519,3.535533905933E-1); +#3521=CARTESIAN_POINT('',(2.28E0,-5.9E0,-2.5E-1)); +#3522=LINE('',#3521,#3520); +#3523=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3524=VECTOR('',#3523,1.4E0); +#3525=CARTESIAN_POINT('',(2.03E0,-4.5E0,-1.65E0)); +#3526=LINE('',#3525,#3524); +#3527=DIRECTION('',(0.E0,1.E0,0.E0)); +#3528=VECTOR('',#3527,1.4E0); +#3529=CARTESIAN_POINT('',(-2.03E0,-5.9E0,-5.E-1)); +#3530=LINE('',#3529,#3528); +#3531=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3532=VECTOR('',#3531,1.4E0); +#3533=CARTESIAN_POINT('',(-2.03E0,-4.5E0,-1.65E0)); +#3534=LINE('',#3533,#3532); +#3535=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3536=VECTOR('',#3535,1.4E0); +#3537=CARTESIAN_POINT('',(-3.15E0,-4.5E0,-1.65E0)); +#3538=LINE('',#3537,#3536); +#3539=DIRECTION('',(0.E0,0.E0,1.E0)); +#3540=VECTOR('',#3539,1.5E0); +#3541=CARTESIAN_POINT('',(-3.15E0,-1.61E0,-9.9E0)); +#3542=LINE('',#3541,#3540); +#3543=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3544=VECTOR('',#3543,9.65E0); +#3545=CARTESIAN_POINT('',(-6.81E0,1.255E0,-2.5E-1)); +#3546=LINE('',#3545,#3544); +#3547=DIRECTION('',(1.E0,0.E0,0.E0)); +#3548=VECTOR('',#3547,1.19E1); +#3549=CARTESIAN_POINT('',(-5.95E0,4.02E0,-5.E-1)); +#3550=LINE('',#3549,#3548); +#3551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3552=VECTOR('',#3551,9.4E0); +#3553=CARTESIAN_POINT('',(5.95E0,4.02E0,-5.E-1)); +#3554=LINE('',#3553,#3552); +#3555=DIRECTION('',(0.E0,0.E0,1.E0)); +#3556=VECTOR('',#3555,1.653860208395E0); +#3557=CARTESIAN_POINT('',(3.78E0,4.02E0,-3.631260505127E0)); +#3558=LINE('',#3557,#3556); +#3559=DIRECTION('',(1.E0,0.E0,0.E0)); +#3560=VECTOR('',#3559,4.2E-1); +#3561=CARTESIAN_POINT('',(3.36E0,4.02E0,-1.977400296732E0)); +#3562=LINE('',#3561,#3560); +#3563=DIRECTION('',(0.E0,0.E0,1.E0)); +#3564=VECTOR('',#3563,1.653860208395E0); +#3565=CARTESIAN_POINT('',(3.36E0,4.02E0,-3.631260505127E0)); +#3566=LINE('',#3565,#3564); +#3567=DIRECTION('',(1.E0,0.E0,0.E0)); +#3568=VECTOR('',#3567,4.2E-1); +#3569=CARTESIAN_POINT('',(3.36E0,4.02E0,-3.631260505127E0)); +#3570=LINE('',#3569,#3568); +#3571=DIRECTION('',(0.E0,0.E0,1.E0)); +#3572=VECTOR('',#3571,1.653860208395E0); +#3573=CARTESIAN_POINT('',(2.76E0,4.02E0,-3.631260505127E0)); +#3574=LINE('',#3573,#3572); +#3575=DIRECTION('',(1.E0,0.E0,0.E0)); +#3576=VECTOR('',#3575,4.2E-1); +#3577=CARTESIAN_POINT('',(2.34E0,4.02E0,-1.977400296732E0)); +#3578=LINE('',#3577,#3576); +#3579=DIRECTION('',(0.E0,0.E0,1.E0)); +#3580=VECTOR('',#3579,1.653860208395E0); +#3581=CARTESIAN_POINT('',(2.34E0,4.02E0,-3.631260505127E0)); +#3582=LINE('',#3581,#3580); +#3583=DIRECTION('',(1.E0,0.E0,0.E0)); +#3584=VECTOR('',#3583,4.2E-1); +#3585=CARTESIAN_POINT('',(2.34E0,4.02E0,-3.631260505127E0)); +#3586=LINE('',#3585,#3584); +#3587=DIRECTION('',(0.E0,0.E0,1.E0)); +#3588=VECTOR('',#3587,1.653860208395E0); +#3589=CARTESIAN_POINT('',(1.74E0,4.02E0,-3.631260505127E0)); +#3590=LINE('',#3589,#3588); +#3591=DIRECTION('',(1.E0,0.E0,0.E0)); +#3592=VECTOR('',#3591,4.2E-1); +#3593=CARTESIAN_POINT('',(1.32E0,4.02E0,-1.977400296732E0)); +#3594=LINE('',#3593,#3592); +#3595=DIRECTION('',(0.E0,0.E0,1.E0)); +#3596=VECTOR('',#3595,1.653860208395E0); +#3597=CARTESIAN_POINT('',(1.32E0,4.02E0,-3.631260505127E0)); +#3598=LINE('',#3597,#3596); +#3599=DIRECTION('',(1.E0,0.E0,0.E0)); +#3600=VECTOR('',#3599,4.2E-1); +#3601=CARTESIAN_POINT('',(1.32E0,4.02E0,-3.631260505127E0)); +#3602=LINE('',#3601,#3600); +#3603=DIRECTION('',(0.E0,0.E0,1.E0)); +#3604=VECTOR('',#3603,1.653860208395E0); +#3605=CARTESIAN_POINT('',(7.2E-1,4.02E0,-3.631260505127E0)); +#3606=LINE('',#3605,#3604); +#3607=DIRECTION('',(1.E0,0.E0,0.E0)); +#3608=VECTOR('',#3607,4.2E-1); +#3609=CARTESIAN_POINT('',(3.E-1,4.02E0,-1.977400296732E0)); +#3610=LINE('',#3609,#3608); +#3611=DIRECTION('',(0.E0,0.E0,1.E0)); +#3612=VECTOR('',#3611,1.653860208395E0); +#3613=CARTESIAN_POINT('',(3.E-1,4.02E0,-3.631260505127E0)); +#3614=LINE('',#3613,#3612); +#3615=DIRECTION('',(1.E0,0.E0,0.E0)); +#3616=VECTOR('',#3615,4.2E-1); +#3617=CARTESIAN_POINT('',(3.E-1,4.02E0,-3.631260505127E0)); +#3618=LINE('',#3617,#3616); +#3619=DIRECTION('',(0.E0,0.E0,1.E0)); +#3620=VECTOR('',#3619,1.653860208395E0); +#3621=CARTESIAN_POINT('',(-3.E-1,4.02E0,-3.631260505127E0)); +#3622=LINE('',#3621,#3620); +#3623=DIRECTION('',(1.E0,0.E0,0.E0)); +#3624=VECTOR('',#3623,4.2E-1); +#3625=CARTESIAN_POINT('',(-7.2E-1,4.02E0,-1.977400296732E0)); +#3626=LINE('',#3625,#3624); +#3627=DIRECTION('',(0.E0,0.E0,1.E0)); +#3628=VECTOR('',#3627,1.653860208395E0); +#3629=CARTESIAN_POINT('',(-7.2E-1,4.02E0,-3.631260505127E0)); +#3630=LINE('',#3629,#3628); +#3631=DIRECTION('',(1.E0,0.E0,0.E0)); +#3632=VECTOR('',#3631,4.2E-1); +#3633=CARTESIAN_POINT('',(-7.2E-1,4.02E0,-3.631260505127E0)); +#3634=LINE('',#3633,#3632); +#3635=DIRECTION('',(0.E0,0.E0,1.E0)); +#3636=VECTOR('',#3635,1.653860208395E0); +#3637=CARTESIAN_POINT('',(-1.32E0,4.02E0,-3.631260505127E0)); +#3638=LINE('',#3637,#3636); +#3639=DIRECTION('',(1.E0,0.E0,0.E0)); +#3640=VECTOR('',#3639,4.2E-1); +#3641=CARTESIAN_POINT('',(-1.74E0,4.02E0,-1.977400296732E0)); +#3642=LINE('',#3641,#3640); +#3643=DIRECTION('',(0.E0,0.E0,1.E0)); +#3644=VECTOR('',#3643,1.653860208395E0); +#3645=CARTESIAN_POINT('',(-1.74E0,4.02E0,-3.631260505127E0)); +#3646=LINE('',#3645,#3644); +#3647=DIRECTION('',(1.E0,0.E0,0.E0)); +#3648=VECTOR('',#3647,4.2E-1); +#3649=CARTESIAN_POINT('',(-1.74E0,4.02E0,-3.631260505127E0)); +#3650=LINE('',#3649,#3648); +#3651=DIRECTION('',(0.E0,0.E0,1.E0)); +#3652=VECTOR('',#3651,1.653860208395E0); +#3653=CARTESIAN_POINT('',(-2.34E0,4.02E0,-3.631260505127E0)); +#3654=LINE('',#3653,#3652); +#3655=DIRECTION('',(1.E0,0.E0,0.E0)); +#3656=VECTOR('',#3655,4.2E-1); +#3657=CARTESIAN_POINT('',(-2.76E0,4.02E0,-1.977400296732E0)); +#3658=LINE('',#3657,#3656); +#3659=DIRECTION('',(0.E0,0.E0,1.E0)); +#3660=VECTOR('',#3659,1.653860208395E0); +#3661=CARTESIAN_POINT('',(-2.76E0,4.02E0,-3.631260505127E0)); +#3662=LINE('',#3661,#3660); +#3663=DIRECTION('',(1.E0,0.E0,0.E0)); +#3664=VECTOR('',#3663,4.2E-1); +#3665=CARTESIAN_POINT('',(-2.76E0,4.02E0,-3.631260505127E0)); +#3666=LINE('',#3665,#3664); +#3667=DIRECTION('',(0.E0,0.E0,1.E0)); +#3668=VECTOR('',#3667,1.653860208395E0); +#3669=CARTESIAN_POINT('',(-3.36E0,4.02E0,-3.631260505127E0)); +#3670=LINE('',#3669,#3668); +#3671=DIRECTION('',(1.E0,0.E0,0.E0)); +#3672=VECTOR('',#3671,4.2E-1); +#3673=CARTESIAN_POINT('',(-3.78E0,4.02E0,-1.977400296732E0)); +#3674=LINE('',#3673,#3672); +#3675=DIRECTION('',(0.E0,0.E0,1.E0)); +#3676=VECTOR('',#3675,1.653860208395E0); +#3677=CARTESIAN_POINT('',(-3.78E0,4.02E0,-3.631260505127E0)); +#3678=LINE('',#3677,#3676); +#3679=DIRECTION('',(1.E0,0.E0,0.E0)); +#3680=VECTOR('',#3679,4.2E-1); +#3681=CARTESIAN_POINT('',(-3.78E0,4.02E0,-3.631260505127E0)); +#3682=LINE('',#3681,#3680); +#3683=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#3684=VECTOR('',#3683,7.121235330961E0); +#3685=CARTESIAN_POINT('',(3.78E0,3.742322011748E0,-3.394427810597E0)); +#3686=LINE('',#3685,#3684); +#3687=CARTESIAN_POINT('',(3.78E0,3.422581101573E0,-3.252069985520E0)); +#3688=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3689=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#3690=AXIS2_PLACEMENT_3D('',#3687,#3688,#3689); +#3692=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#3693=VECTOR('',#3692,1.205718139857E0); +#3694=CARTESIAN_POINT('',(3.78E0,3.976634572893E0,-2.125446275625E0)); +#3695=LINE('',#3694,#3693); +#3696=CARTESIAN_POINT('',(3.78E0,4.666000000002E0,-2.246999999992E0)); +#3697=DIRECTION('',(1.E0,0.E0,0.E0)); +#3698=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#3699=AXIS2_PLACEMENT_3D('',#3696,#3697,#3698); +#3701=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#3702=VECTOR('',#3701,6.861989671591E0); +#3703=CARTESIAN_POINT('',(3.78E0,1.228977356156E0,-9.9E0)); +#3704=LINE('',#3703,#3702); +#3705=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3706=VECTOR('',#3705,4.2E-1); +#3707=CARTESIAN_POINT('',(3.78E0,3.742322011748E0,-3.394427810597E0)); +#3708=LINE('',#3707,#3706); +#3709=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#3710=VECTOR('',#3709,6.861989671591E0); +#3711=CARTESIAN_POINT('',(3.36E0,1.228977356156E0,-9.9E0)); +#3712=LINE('',#3711,#3710); +#3713=CARTESIAN_POINT('',(3.36E0,4.666000000002E0,-2.246999999992E0)); +#3714=DIRECTION('',(1.E0,0.E0,0.E0)); +#3715=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#3716=AXIS2_PLACEMENT_3D('',#3713,#3714,#3715); +#3718=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#3719=VECTOR('',#3718,1.205718139857E0); +#3720=CARTESIAN_POINT('',(3.36E0,3.976634572893E0,-2.125446275625E0)); +#3721=LINE('',#3720,#3719); +#3722=CARTESIAN_POINT('',(3.36E0,3.422581101573E0,-3.252069985520E0)); +#3723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3724=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#3725=AXIS2_PLACEMENT_3D('',#3722,#3723,#3724); +#3727=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#3728=VECTOR('',#3727,7.121235330961E0); +#3729=CARTESIAN_POINT('',(3.36E0,3.742322011748E0,-3.394427810597E0)); +#3730=LINE('',#3729,#3728); +#3731=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3732=VECTOR('',#3731,4.2E-1); +#3733=CARTESIAN_POINT('',(3.78E0,3.976634572893E0,-2.125446275625E0)); +#3734=LINE('',#3733,#3732); +#3735=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3736=VECTOR('',#3735,4.2E-1); +#3737=CARTESIAN_POINT('',(3.78E0,3.767263815127E0,-3.312846847703E0)); +#3738=LINE('',#3737,#3736); +#3739=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#3740=VECTOR('',#3739,7.121235330961E0); +#3741=CARTESIAN_POINT('',(2.76E0,3.742322011748E0,-3.394427810597E0)); +#3742=LINE('',#3741,#3740); +#3743=CARTESIAN_POINT('',(2.76E0,3.422581101573E0,-3.252069985520E0)); +#3744=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3745=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#3746=AXIS2_PLACEMENT_3D('',#3743,#3744,#3745); +#3748=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#3749=VECTOR('',#3748,1.205718139857E0); +#3750=CARTESIAN_POINT('',(2.76E0,3.976634572893E0,-2.125446275625E0)); +#3751=LINE('',#3750,#3749); +#3752=CARTESIAN_POINT('',(2.76E0,4.666000000002E0,-2.246999999992E0)); +#3753=DIRECTION('',(1.E0,0.E0,0.E0)); +#3754=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#3755=AXIS2_PLACEMENT_3D('',#3752,#3753,#3754); +#3757=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#3758=VECTOR('',#3757,6.861989671591E0); +#3759=CARTESIAN_POINT('',(2.76E0,1.228977356156E0,-9.9E0)); +#3760=LINE('',#3759,#3758); +#3761=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3762=VECTOR('',#3761,4.2E-1); +#3763=CARTESIAN_POINT('',(2.76E0,3.742322011748E0,-3.394427810597E0)); +#3764=LINE('',#3763,#3762); +#3765=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#3766=VECTOR('',#3765,6.861989671591E0); +#3767=CARTESIAN_POINT('',(2.34E0,1.228977356156E0,-9.9E0)); +#3768=LINE('',#3767,#3766); +#3769=CARTESIAN_POINT('',(2.34E0,4.666000000002E0,-2.246999999992E0)); +#3770=DIRECTION('',(1.E0,0.E0,0.E0)); +#3771=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#3772=AXIS2_PLACEMENT_3D('',#3769,#3770,#3771); +#3774=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#3775=VECTOR('',#3774,1.205718139857E0); +#3776=CARTESIAN_POINT('',(2.34E0,3.976634572893E0,-2.125446275625E0)); +#3777=LINE('',#3776,#3775); +#3778=CARTESIAN_POINT('',(2.34E0,3.422581101573E0,-3.252069985520E0)); +#3779=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3780=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#3781=AXIS2_PLACEMENT_3D('',#3778,#3779,#3780); +#3783=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#3784=VECTOR('',#3783,7.121235330961E0); +#3785=CARTESIAN_POINT('',(2.34E0,3.742322011748E0,-3.394427810597E0)); +#3786=LINE('',#3785,#3784); +#3787=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3788=VECTOR('',#3787,4.2E-1); +#3789=CARTESIAN_POINT('',(2.76E0,3.976634572893E0,-2.125446275625E0)); +#3790=LINE('',#3789,#3788); +#3791=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3792=VECTOR('',#3791,4.2E-1); +#3793=CARTESIAN_POINT('',(2.76E0,3.767263815127E0,-3.312846847703E0)); +#3794=LINE('',#3793,#3792); +#3795=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#3796=VECTOR('',#3795,7.121235330961E0); +#3797=CARTESIAN_POINT('',(1.74E0,3.742322011748E0,-3.394427810597E0)); +#3798=LINE('',#3797,#3796); +#3799=CARTESIAN_POINT('',(1.74E0,3.422581101573E0,-3.252069985520E0)); +#3800=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3801=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#3802=AXIS2_PLACEMENT_3D('',#3799,#3800,#3801); +#3804=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#3805=VECTOR('',#3804,1.205718139857E0); +#3806=CARTESIAN_POINT('',(1.74E0,3.976634572893E0,-2.125446275625E0)); +#3807=LINE('',#3806,#3805); +#3808=CARTESIAN_POINT('',(1.74E0,4.666000000002E0,-2.246999999992E0)); +#3809=DIRECTION('',(1.E0,0.E0,0.E0)); +#3810=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#3811=AXIS2_PLACEMENT_3D('',#3808,#3809,#3810); +#3813=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#3814=VECTOR('',#3813,6.861989671591E0); +#3815=CARTESIAN_POINT('',(1.74E0,1.228977356156E0,-9.9E0)); +#3816=LINE('',#3815,#3814); +#3817=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3818=VECTOR('',#3817,4.2E-1); +#3819=CARTESIAN_POINT('',(1.74E0,3.742322011748E0,-3.394427810597E0)); +#3820=LINE('',#3819,#3818); +#3821=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#3822=VECTOR('',#3821,6.861989671591E0); +#3823=CARTESIAN_POINT('',(1.32E0,1.228977356156E0,-9.9E0)); +#3824=LINE('',#3823,#3822); +#3825=CARTESIAN_POINT('',(1.32E0,4.666000000002E0,-2.246999999992E0)); +#3826=DIRECTION('',(1.E0,0.E0,0.E0)); +#3827=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#3828=AXIS2_PLACEMENT_3D('',#3825,#3826,#3827); +#3830=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#3831=VECTOR('',#3830,1.205718139857E0); +#3832=CARTESIAN_POINT('',(1.32E0,3.976634572893E0,-2.125446275625E0)); +#3833=LINE('',#3832,#3831); +#3834=CARTESIAN_POINT('',(1.32E0,3.422581101573E0,-3.252069985520E0)); +#3835=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3836=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#3837=AXIS2_PLACEMENT_3D('',#3834,#3835,#3836); +#3839=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#3840=VECTOR('',#3839,7.121235330961E0); +#3841=CARTESIAN_POINT('',(1.32E0,3.742322011748E0,-3.394427810597E0)); +#3842=LINE('',#3841,#3840); +#3843=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3844=VECTOR('',#3843,4.2E-1); +#3845=CARTESIAN_POINT('',(1.74E0,3.976634572893E0,-2.125446275625E0)); +#3846=LINE('',#3845,#3844); +#3847=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3848=VECTOR('',#3847,4.2E-1); +#3849=CARTESIAN_POINT('',(1.74E0,3.767263815127E0,-3.312846847703E0)); +#3850=LINE('',#3849,#3848); +#3851=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#3852=VECTOR('',#3851,7.121235330961E0); +#3853=CARTESIAN_POINT('',(7.2E-1,3.742322011748E0,-3.394427810597E0)); +#3854=LINE('',#3853,#3852); +#3855=CARTESIAN_POINT('',(7.2E-1,3.422581101573E0,-3.252069985520E0)); +#3856=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3857=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#3858=AXIS2_PLACEMENT_3D('',#3855,#3856,#3857); +#3860=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#3861=VECTOR('',#3860,1.205718139857E0); +#3862=CARTESIAN_POINT('',(7.2E-1,3.976634572893E0,-2.125446275625E0)); +#3863=LINE('',#3862,#3861); +#3864=CARTESIAN_POINT('',(7.2E-1,4.666000000002E0,-2.246999999992E0)); +#3865=DIRECTION('',(1.E0,0.E0,0.E0)); +#3866=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#3867=AXIS2_PLACEMENT_3D('',#3864,#3865,#3866); +#3869=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#3870=VECTOR('',#3869,6.861989671591E0); +#3871=CARTESIAN_POINT('',(7.2E-1,1.228977356156E0,-9.9E0)); +#3872=LINE('',#3871,#3870); +#3873=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3874=VECTOR('',#3873,4.2E-1); +#3875=CARTESIAN_POINT('',(7.2E-1,3.742322011748E0,-3.394427810597E0)); +#3876=LINE('',#3875,#3874); +#3877=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#3878=VECTOR('',#3877,6.861989671591E0); +#3879=CARTESIAN_POINT('',(3.E-1,1.228977356156E0,-9.9E0)); +#3880=LINE('',#3879,#3878); +#3881=CARTESIAN_POINT('',(3.E-1,4.666000000002E0,-2.246999999992E0)); +#3882=DIRECTION('',(1.E0,0.E0,0.E0)); +#3883=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#3884=AXIS2_PLACEMENT_3D('',#3881,#3882,#3883); +#3886=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#3887=VECTOR('',#3886,1.205718139857E0); +#3888=CARTESIAN_POINT('',(3.E-1,3.976634572893E0,-2.125446275625E0)); +#3889=LINE('',#3888,#3887); +#3890=CARTESIAN_POINT('',(3.E-1,3.422581101573E0,-3.252069985520E0)); +#3891=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3892=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#3893=AXIS2_PLACEMENT_3D('',#3890,#3891,#3892); +#3895=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#3896=VECTOR('',#3895,7.121235330961E0); +#3897=CARTESIAN_POINT('',(3.E-1,3.742322011748E0,-3.394427810597E0)); +#3898=LINE('',#3897,#3896); +#3899=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3900=VECTOR('',#3899,4.2E-1); +#3901=CARTESIAN_POINT('',(7.2E-1,3.976634572893E0,-2.125446275625E0)); +#3902=LINE('',#3901,#3900); +#3903=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3904=VECTOR('',#3903,4.2E-1); +#3905=CARTESIAN_POINT('',(7.2E-1,3.767263815127E0,-3.312846847703E0)); +#3906=LINE('',#3905,#3904); +#3907=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#3908=VECTOR('',#3907,7.121235330961E0); +#3909=CARTESIAN_POINT('',(-3.E-1,3.742322011748E0,-3.394427810597E0)); +#3910=LINE('',#3909,#3908); +#3911=CARTESIAN_POINT('',(-3.E-1,3.422581101573E0,-3.252069985520E0)); +#3912=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3913=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#3914=AXIS2_PLACEMENT_3D('',#3911,#3912,#3913); +#3916=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#3917=VECTOR('',#3916,1.205718139857E0); +#3918=CARTESIAN_POINT('',(-3.E-1,3.976634572893E0,-2.125446275625E0)); +#3919=LINE('',#3918,#3917); +#3920=CARTESIAN_POINT('',(-3.E-1,4.666000000002E0,-2.246999999992E0)); +#3921=DIRECTION('',(1.E0,0.E0,0.E0)); +#3922=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#3923=AXIS2_PLACEMENT_3D('',#3920,#3921,#3922); +#3925=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#3926=VECTOR('',#3925,6.861989671591E0); +#3927=CARTESIAN_POINT('',(-3.E-1,1.228977356156E0,-9.9E0)); +#3928=LINE('',#3927,#3926); +#3929=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3930=VECTOR('',#3929,4.2E-1); +#3931=CARTESIAN_POINT('',(-3.E-1,3.742322011748E0,-3.394427810597E0)); +#3932=LINE('',#3931,#3930); +#3933=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#3934=VECTOR('',#3933,6.861989671591E0); +#3935=CARTESIAN_POINT('',(-7.2E-1,1.228977356156E0,-9.9E0)); +#3936=LINE('',#3935,#3934); +#3937=CARTESIAN_POINT('',(-7.2E-1,4.666000000002E0,-2.246999999992E0)); +#3938=DIRECTION('',(1.E0,0.E0,0.E0)); +#3939=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#3940=AXIS2_PLACEMENT_3D('',#3937,#3938,#3939); +#3942=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#3943=VECTOR('',#3942,1.205718139857E0); +#3944=CARTESIAN_POINT('',(-7.2E-1,3.976634572893E0,-2.125446275625E0)); +#3945=LINE('',#3944,#3943); +#3946=CARTESIAN_POINT('',(-7.2E-1,3.422581101573E0,-3.252069985520E0)); +#3947=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3948=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#3949=AXIS2_PLACEMENT_3D('',#3946,#3947,#3948); +#3951=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#3952=VECTOR('',#3951,7.121235330961E0); +#3953=CARTESIAN_POINT('',(-7.2E-1,3.742322011748E0,-3.394427810597E0)); +#3954=LINE('',#3953,#3952); +#3955=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3956=VECTOR('',#3955,4.2E-1); +#3957=CARTESIAN_POINT('',(-3.E-1,3.976634572893E0,-2.125446275625E0)); +#3958=LINE('',#3957,#3956); +#3959=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3960=VECTOR('',#3959,4.2E-1); +#3961=CARTESIAN_POINT('',(-3.E-1,3.767263815127E0,-3.312846847703E0)); +#3962=LINE('',#3961,#3960); +#3963=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#3964=VECTOR('',#3963,7.121235330961E0); +#3965=CARTESIAN_POINT('',(-1.32E0,3.742322011748E0,-3.394427810597E0)); +#3966=LINE('',#3965,#3964); +#3967=CARTESIAN_POINT('',(-1.32E0,3.422581101573E0,-3.252069985520E0)); +#3968=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3969=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#3970=AXIS2_PLACEMENT_3D('',#3967,#3968,#3969); +#3972=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#3973=VECTOR('',#3972,1.205718139857E0); +#3974=CARTESIAN_POINT('',(-1.32E0,3.976634572893E0,-2.125446275625E0)); +#3975=LINE('',#3974,#3973); +#3976=CARTESIAN_POINT('',(-1.32E0,4.666000000002E0,-2.246999999992E0)); +#3977=DIRECTION('',(1.E0,0.E0,0.E0)); +#3978=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#3979=AXIS2_PLACEMENT_3D('',#3976,#3977,#3978); +#3981=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#3982=VECTOR('',#3981,6.861989671591E0); +#3983=CARTESIAN_POINT('',(-1.32E0,1.228977356156E0,-9.9E0)); +#3984=LINE('',#3983,#3982); +#3985=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3986=VECTOR('',#3985,4.2E-1); +#3987=CARTESIAN_POINT('',(-1.32E0,3.742322011748E0,-3.394427810597E0)); +#3988=LINE('',#3987,#3986); +#3989=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#3990=VECTOR('',#3989,6.861989671591E0); +#3991=CARTESIAN_POINT('',(-1.74E0,1.228977356156E0,-9.9E0)); +#3992=LINE('',#3991,#3990); +#3993=CARTESIAN_POINT('',(-1.74E0,4.666000000002E0,-2.246999999992E0)); +#3994=DIRECTION('',(1.E0,0.E0,0.E0)); +#3995=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#3996=AXIS2_PLACEMENT_3D('',#3993,#3994,#3995); +#3998=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#3999=VECTOR('',#3998,1.205718139857E0); +#4000=CARTESIAN_POINT('',(-1.74E0,3.976634572893E0,-2.125446275625E0)); +#4001=LINE('',#4000,#3999); +#4002=CARTESIAN_POINT('',(-1.74E0,3.422581101573E0,-3.252069985520E0)); +#4003=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4004=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#4005=AXIS2_PLACEMENT_3D('',#4002,#4003,#4004); +#4007=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#4008=VECTOR('',#4007,7.121235330961E0); +#4009=CARTESIAN_POINT('',(-1.74E0,3.742322011748E0,-3.394427810597E0)); +#4010=LINE('',#4009,#4008); +#4011=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4012=VECTOR('',#4011,4.2E-1); +#4013=CARTESIAN_POINT('',(-1.32E0,3.976634572893E0,-2.125446275625E0)); +#4014=LINE('',#4013,#4012); +#4015=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4016=VECTOR('',#4015,4.2E-1); +#4017=CARTESIAN_POINT('',(-1.32E0,3.767263815127E0,-3.312846847703E0)); +#4018=LINE('',#4017,#4016); +#4019=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#4020=VECTOR('',#4019,7.121235330961E0); +#4021=CARTESIAN_POINT('',(-2.34E0,3.742322011748E0,-3.394427810597E0)); +#4022=LINE('',#4021,#4020); +#4023=CARTESIAN_POINT('',(-2.34E0,3.422581101573E0,-3.252069985520E0)); +#4024=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4025=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#4026=AXIS2_PLACEMENT_3D('',#4023,#4024,#4025); +#4028=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#4029=VECTOR('',#4028,1.205718139857E0); +#4030=CARTESIAN_POINT('',(-2.34E0,3.976634572893E0,-2.125446275625E0)); +#4031=LINE('',#4030,#4029); +#4032=CARTESIAN_POINT('',(-2.34E0,4.666000000002E0,-2.246999999992E0)); +#4033=DIRECTION('',(1.E0,0.E0,0.E0)); +#4034=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#4035=AXIS2_PLACEMENT_3D('',#4032,#4033,#4034); +#4037=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#4038=VECTOR('',#4037,6.861989671591E0); +#4039=CARTESIAN_POINT('',(-2.34E0,1.228977356156E0,-9.9E0)); +#4040=LINE('',#4039,#4038); +#4041=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4042=VECTOR('',#4041,4.2E-1); +#4043=CARTESIAN_POINT('',(-2.34E0,3.742322011748E0,-3.394427810597E0)); +#4044=LINE('',#4043,#4042); +#4045=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#4046=VECTOR('',#4045,6.861989671591E0); +#4047=CARTESIAN_POINT('',(-2.76E0,1.228977356156E0,-9.9E0)); +#4048=LINE('',#4047,#4046); +#4049=CARTESIAN_POINT('',(-2.76E0,4.666000000002E0,-2.246999999992E0)); +#4050=DIRECTION('',(1.E0,0.E0,0.E0)); +#4051=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#4052=AXIS2_PLACEMENT_3D('',#4049,#4050,#4051); +#4054=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#4055=VECTOR('',#4054,1.205718139857E0); +#4056=CARTESIAN_POINT('',(-2.76E0,3.976634572893E0,-2.125446275625E0)); +#4057=LINE('',#4056,#4055); +#4058=CARTESIAN_POINT('',(-2.76E0,3.422581101573E0,-3.252069985520E0)); +#4059=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4060=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#4061=AXIS2_PLACEMENT_3D('',#4058,#4059,#4060); +#4063=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#4064=VECTOR('',#4063,7.121235330961E0); +#4065=CARTESIAN_POINT('',(-2.76E0,3.742322011748E0,-3.394427810597E0)); +#4066=LINE('',#4065,#4064); +#4067=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4068=VECTOR('',#4067,4.2E-1); +#4069=CARTESIAN_POINT('',(-2.34E0,3.976634572893E0,-2.125446275625E0)); +#4070=LINE('',#4069,#4068); +#4071=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4072=VECTOR('',#4071,4.2E-1); +#4073=CARTESIAN_POINT('',(-2.34E0,3.767263815127E0,-3.312846847703E0)); +#4074=LINE('',#4073,#4072); +#4075=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#4076=VECTOR('',#4075,7.121235330961E0); +#4077=CARTESIAN_POINT('',(-3.36E0,3.742322011748E0,-3.394427810597E0)); +#4078=LINE('',#4077,#4076); +#4079=CARTESIAN_POINT('',(-3.36E0,3.422581101573E0,-3.252069985520E0)); +#4080=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4081=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#4082=AXIS2_PLACEMENT_3D('',#4079,#4080,#4081); +#4084=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#4085=VECTOR('',#4084,1.205718139857E0); +#4086=CARTESIAN_POINT('',(-3.36E0,3.976634572893E0,-2.125446275625E0)); +#4087=LINE('',#4086,#4085); +#4088=CARTESIAN_POINT('',(-3.36E0,4.666000000002E0,-2.246999999992E0)); +#4089=DIRECTION('',(1.E0,0.E0,0.E0)); +#4090=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#4091=AXIS2_PLACEMENT_3D('',#4088,#4089,#4090); +#4093=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#4094=VECTOR('',#4093,6.861989671591E0); +#4095=CARTESIAN_POINT('',(-3.36E0,1.228977356156E0,-9.9E0)); +#4096=LINE('',#4095,#4094); +#4097=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4098=VECTOR('',#4097,4.2E-1); +#4099=CARTESIAN_POINT('',(-3.36E0,3.742322011748E0,-3.394427810597E0)); +#4100=LINE('',#4099,#4098); +#4101=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#4102=VECTOR('',#4101,6.861989671591E0); +#4103=CARTESIAN_POINT('',(-3.78E0,1.228977356156E0,-9.9E0)); +#4104=LINE('',#4103,#4102); +#4105=CARTESIAN_POINT('',(-3.78E0,4.666000000002E0,-2.246999999992E0)); +#4106=DIRECTION('',(1.E0,0.E0,0.E0)); +#4107=DIRECTION('',(0.E0,-9.228571428600E-1,3.851424332274E-1)); +#4108=AXIS2_PLACEMENT_3D('',#4105,#4106,#4107); +#4110=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#4111=VECTOR('',#4110,1.205718139857E0); +#4112=CARTESIAN_POINT('',(-3.78E0,3.976634572893E0,-2.125446275625E0)); +#4113=LINE('',#4112,#4111); +#4114=CARTESIAN_POINT('',(-3.78E0,3.422581101573E0,-3.252069985520E0)); +#4115=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4116=DIRECTION('',(0.E0,9.848077530122E-1,-1.736481776668E-1)); +#4117=AXIS2_PLACEMENT_3D('',#4114,#4115,#4116); +#4119=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#4120=VECTOR('',#4119,7.121235330961E0); +#4121=CARTESIAN_POINT('',(-3.78E0,3.742322011748E0,-3.394427810597E0)); +#4122=LINE('',#4121,#4120); +#4123=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4124=VECTOR('',#4123,4.2E-1); +#4125=CARTESIAN_POINT('',(-3.36E0,3.976634572893E0,-2.125446275625E0)); +#4126=LINE('',#4125,#4124); +#4127=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4128=VECTOR('',#4127,4.2E-1); +#4129=CARTESIAN_POINT('',(-3.36E0,3.767263815127E0,-3.312846847703E0)); +#4130=LINE('',#4129,#4128); +#4131=DIRECTION('',(1.E0,0.E0,0.E0)); +#4132=VECTOR('',#4131,4.E-1); +#4133=CARTESIAN_POINT('',(5.95E0,1.255E0,-5.E-1)); +#4134=LINE('',#4133,#4132); +#4135=DIRECTION('',(0.E0,0.E0,1.E0)); +#4136=VECTOR('',#4135,2.5E-1); +#4137=CARTESIAN_POINT('',(6.35E0,1.255E0,-5.E-1)); +#4138=LINE('',#4137,#4136); +#4139=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4140=VECTOR('',#4139,9.65E0); +#4141=CARTESIAN_POINT('',(6.81E0,1.255E0,-2.5E-1)); +#4142=LINE('',#4141,#4140); +#4143=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#4144=VECTOR('',#4143,3.535533905933E-1); +#4145=CARTESIAN_POINT('',(6.35E0,1.505E0,-2.5E-1)); +#4146=LINE('',#4145,#4144); +#4147=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4148=VECTOR('',#4147,2.8E0); +#4149=CARTESIAN_POINT('',(6.35E0,1.255E0,-2.5E-1)); +#4150=LINE('',#4149,#4148); +#4151=DIRECTION('',(0.E0,0.E0,1.E0)); +#4152=VECTOR('',#4151,2.E-1); +#4153=CARTESIAN_POINT('',(6.35E0,7.9E-1,-2.E-1)); +#4154=LINE('',#4153,#4152); +#4155=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4156=VECTOR('',#4155,2.E0); +#4157=CARTESIAN_POINT('',(6.35E0,7.9E-1,-2.E-1)); +#4158=LINE('',#4157,#4156); +#4159=DIRECTION('',(0.E0,0.E0,1.E0)); +#4160=VECTOR('',#4159,2.E-1); +#4161=CARTESIAN_POINT('',(6.35E0,-1.21E0,-2.E-1)); +#4162=LINE('',#4161,#4160); +#4163=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4164=VECTOR('',#4163,2.5E-1); +#4165=CARTESIAN_POINT('',(6.35E0,-3.59E0,0.E0)); +#4166=LINE('',#4165,#4164); +#4167=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4168=VECTOR('',#4167,2.5E-1); +#4169=CARTESIAN_POINT('',(6.35E0,4.17E0,0.E0)); +#4170=LINE('',#4169,#4168); +#4171=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4172=VECTOR('',#4171,2.5E-1); +#4173=CARTESIAN_POINT('',(6.1E0,4.42E0,0.E0)); +#4174=LINE('',#4173,#4172); +#4175=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4176=VECTOR('',#4175,2.5E-1); +#4177=CARTESIAN_POINT('',(-6.3E0,4.42E0,0.E0)); +#4178=LINE('',#4177,#4176); +#4179=DIRECTION('',(5.178213645260E-1,0.E0,8.554887693245E-1)); +#4180=VECTOR('',#4179,2.854890540779E0); +#4181=CARTESIAN_POINT('',(4.871676684601E0,7.9E-1,-2.642326795287E0)); +#4182=LINE('',#4181,#4180); +#4183=CARTESIAN_POINT('',(6.35E0,7.9E-1,-2.E-1)); +#4184=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4185=DIRECTION('',(0.E0,0.E0,1.E0)); +#4186=AXIS2_PLACEMENT_3D('',#4183,#4184,#4185); +#4188=DIRECTION('',(-5.178213645260E-1,0.E0,-8.554887693245E-1)); +#4189=VECTOR('',#4188,2.854890540779E0); +#4190=CARTESIAN_POINT('',(6.178902246135E0,7.9E-1,-9.643572709480E-2)); +#4191=LINE('',#4190,#4189); +#4192=CARTESIAN_POINT('',(5.E0,7.9E-1,-2.719999999966E0)); +#4193=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4194=DIRECTION('',(-8.554887693245E-1,0.E0,5.178213645260E-1)); +#4195=AXIS2_PLACEMENT_3D('',#4192,#4193,#4194); +#4197=DIRECTION('',(1.532234023243E-1,0.E0,-9.881915750401E-1)); +#4198=VECTOR('',#4197,1.019049863521E0); +#4199=CARTESIAN_POINT('',(4.654132948736E0,7.9E-1,-2.773628190780E0)); +#4200=LINE('',#4199,#4198); +#4201=DIRECTION('',(9.881915750401E-1,0.E0,1.532234023243E-1)); +#4202=VECTOR('',#4201,2.E-1); +#4203=CARTESIAN_POINT('',(4.810275235963E0,7.9E-1,-3.780644680457E0)); +#4204=LINE('',#4203,#4202); +#4205=DIRECTION('',(-1.532234023243E-1,0.E0,9.881915750401E-1)); +#4206=VECTOR('',#4205,1.019049863521E0); +#4207=CARTESIAN_POINT('',(5.007913550971E0,7.9E-1,-3.749999999992E0)); +#4208=LINE('',#4207,#4206); +#4209=CARTESIAN_POINT('',(5.E0,7.9E-1,-2.719999999966E0)); +#4210=DIRECTION('',(0.E0,1.E0,0.E0)); +#4211=DIRECTION('',(-9.881915750401E-1,0.E0,-1.532234023243E-1)); +#4212=AXIS2_PLACEMENT_3D('',#4209,#4210,#4211); +#4214=CARTESIAN_POINT('',(-6.55E0,7.9E-1,-2.E-1)); +#4215=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4216=DIRECTION('',(8.398724792459E-1,0.E0,5.427837678167E-1)); +#4217=AXIS2_PLACEMENT_3D('',#4214,#4215,#4216); +#4219=DIRECTION('',(5.427837678167E-1,0.E0,-8.398724792459E-1)); +#4220=VECTOR('',#4219,2.903515111028E0); +#4221=CARTESIAN_POINT('',(-6.55E0,7.9E-1,-2.E-1)); +#4222=LINE('',#4221,#4220); +#4223=CARTESIAN_POINT('',(-5.100000000010E0,7.9E-1,-2.72E0)); +#4224=DIRECTION('',(0.E0,1.E0,0.E0)); +#4225=DIRECTION('',(8.398724792457E-1,0.E0,5.427837678171E-1)); +#4226=AXIS2_PLACEMENT_3D('',#4223,#4224,#4225); +#4228=DIRECTION('',(-1.240631342218E-1,0.E0,-9.922743263468E-1)); +#4229=VECTOR('',#4228,1.019265038924E0); +#4230=CARTESIAN_POINT('',(-4.951158851058E0,7.9E-1,-2.738609470133E0)); +#4231=LINE('',#4230,#4229); +#4232=DIRECTION('',(9.922743263468E-1,0.E0,-1.240631342218E-1)); +#4233=VECTOR('',#4232,2.E-1); +#4234=CARTESIAN_POINT('',(-5.077612066390E0,7.9E-1,-3.75E0)); +#4235=LINE('',#4234,#4233); +#4236=DIRECTION('',(1.240631342218E-1,0.E0,9.922743263468E-1)); +#4237=VECTOR('',#4236,1.019265038924E0); +#4238=CARTESIAN_POINT('',(-4.879157201120E0,7.9E-1,-3.774812626844E0)); +#4239=LINE('',#4238,#4237); +#4240=CARTESIAN_POINT('',(-5.100000000010E0,7.9E-1,-2.72E0)); +#4241=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4242=DIRECTION('',(9.922743263468E-1,0.E0,-1.240631342220E-1)); +#4243=AXIS2_PLACEMENT_3D('',#4240,#4241,#4242); +#4245=DIRECTION('',(-5.427837678167E-1,0.E0,8.398724792459E-1)); +#4246=VECTOR('',#4245,2.903515111028E0); +#4247=CARTESIAN_POINT('',(-4.806044632274E0,7.9E-1,-2.530025681264E0)); +#4248=LINE('',#4247,#4246); +#4249=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4250=VECTOR('',#4249,2.E0); +#4251=CARTESIAN_POINT('',(4.871676684601E0,7.9E-1,-2.642326795287E0)); +#4252=LINE('',#4251,#4250); +#4253=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4254=VECTOR('',#4253,2.E0); +#4255=CARTESIAN_POINT('',(4.851771263744E0,7.9E-1,-2.742983510315E0)); +#4256=LINE('',#4255,#4254); +#4257=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4258=VECTOR('',#4257,2.E0); +#4259=CARTESIAN_POINT('',(5.007913550971E0,7.9E-1,-3.749999999992E0)); +#4260=LINE('',#4259,#4258); +#4261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4262=VECTOR('',#4261,2.E0); +#4263=CARTESIAN_POINT('',(4.810275235963E0,7.9E-1,-3.780644680457E0)); +#4264=LINE('',#4263,#4262); +#4265=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4266=VECTOR('',#4265,2.E0); +#4267=CARTESIAN_POINT('',(4.654132948736E0,7.9E-1,-2.773628190780E0)); +#4268=LINE('',#4267,#4266); +#4269=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4270=VECTOR('',#4269,2.E0); +#4271=CARTESIAN_POINT('',(4.700578930736E0,7.9E-1,-2.538762522382E0)); +#4272=LINE('',#4271,#4270); +#4273=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4274=VECTOR('',#4273,2.E0); +#4275=CARTESIAN_POINT('',(6.178902246135E0,7.9E-1,-9.643572709480E-2)); +#4276=LINE('',#4275,#4274); +#4277=DIRECTION('',(5.178213645260E-1,0.E0,8.554887693245E-1)); +#4278=VECTOR('',#4277,2.854890540779E0); +#4279=CARTESIAN_POINT('',(4.871676684601E0,-1.21E0,-2.642326795287E0)); +#4280=LINE('',#4279,#4278); +#4281=CARTESIAN_POINT('',(5.E0,-1.21E0,-2.719999999966E0)); +#4282=DIRECTION('',(0.E0,1.E0,0.E0)); +#4283=DIRECTION('',(-9.881915750401E-1,0.E0,-1.532234023243E-1)); +#4284=AXIS2_PLACEMENT_3D('',#4281,#4282,#4283); +#4286=DIRECTION('',(-1.532234023243E-1,0.E0,9.881915750401E-1)); +#4287=VECTOR('',#4286,1.019049863521E0); +#4288=CARTESIAN_POINT('',(5.007913550971E0,-1.21E0,-3.749999999992E0)); +#4289=LINE('',#4288,#4287); +#4290=DIRECTION('',(9.881915750401E-1,0.E0,1.532234023243E-1)); +#4291=VECTOR('',#4290,2.E-1); +#4292=CARTESIAN_POINT('',(4.810275235963E0,-1.21E0,-3.780644680457E0)); +#4293=LINE('',#4292,#4291); +#4294=DIRECTION('',(1.532234023243E-1,0.E0,-9.881915750401E-1)); +#4295=VECTOR('',#4294,1.019049863521E0); +#4296=CARTESIAN_POINT('',(4.654132948736E0,-1.21E0,-2.773628190780E0)); +#4297=LINE('',#4296,#4295); +#4298=CARTESIAN_POINT('',(5.E0,-1.21E0,-2.719999999966E0)); +#4299=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4300=DIRECTION('',(-8.554887693245E-1,0.E0,5.178213645260E-1)); +#4301=AXIS2_PLACEMENT_3D('',#4298,#4299,#4300); +#4303=DIRECTION('',(-5.178213645260E-1,0.E0,-8.554887693245E-1)); +#4304=VECTOR('',#4303,2.854890540779E0); +#4305=CARTESIAN_POINT('',(6.178902246135E0,-1.21E0,-9.643572709480E-2)); +#4306=LINE('',#4305,#4304); +#4307=CARTESIAN_POINT('',(6.35E0,-1.21E0,-2.E-1)); +#4308=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4309=DIRECTION('',(0.E0,0.E0,1.E0)); +#4310=AXIS2_PLACEMENT_3D('',#4307,#4308,#4309); +#4312=CARTESIAN_POINT('',(-6.55E0,-1.21E0,-2.E-1)); +#4313=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4314=DIRECTION('',(8.398724792459E-1,0.E0,5.427837678167E-1)); +#4315=AXIS2_PLACEMENT_3D('',#4312,#4313,#4314); +#4317=DIRECTION('',(-5.427837678167E-1,0.E0,8.398724792459E-1)); +#4318=VECTOR('',#4317,2.903515111028E0); +#4319=CARTESIAN_POINT('',(-4.806044632274E0,-1.21E0,-2.530025681264E0)); +#4320=LINE('',#4319,#4318); +#4321=CARTESIAN_POINT('',(-5.100000000010E0,-1.21E0,-2.72E0)); +#4322=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4323=DIRECTION('',(9.922743263468E-1,0.E0,-1.240631342220E-1)); +#4324=AXIS2_PLACEMENT_3D('',#4321,#4322,#4323); +#4326=DIRECTION('',(1.240631342218E-1,0.E0,9.922743263468E-1)); +#4327=VECTOR('',#4326,1.019265038924E0); +#4328=CARTESIAN_POINT('',(-4.879157201120E0,-1.21E0,-3.774812626844E0)); +#4329=LINE('',#4328,#4327); +#4330=DIRECTION('',(9.922743263468E-1,0.E0,-1.240631342218E-1)); +#4331=VECTOR('',#4330,2.E-1); +#4332=CARTESIAN_POINT('',(-5.077612066390E0,-1.21E0,-3.75E0)); +#4333=LINE('',#4332,#4331); +#4334=DIRECTION('',(-1.240631342218E-1,0.E0,-9.922743263468E-1)); +#4335=VECTOR('',#4334,1.019265038924E0); +#4336=CARTESIAN_POINT('',(-4.951158851058E0,-1.21E0,-2.738609470133E0)); +#4337=LINE('',#4336,#4335); +#4338=CARTESIAN_POINT('',(-5.100000000010E0,-1.21E0,-2.72E0)); +#4339=DIRECTION('',(0.E0,1.E0,0.E0)); +#4340=DIRECTION('',(8.398724792457E-1,0.E0,5.427837678171E-1)); +#4341=AXIS2_PLACEMENT_3D('',#4338,#4339,#4340); +#4343=DIRECTION('',(5.427837678167E-1,0.E0,-8.398724792459E-1)); +#4344=VECTOR('',#4343,2.903515111028E0); +#4345=CARTESIAN_POINT('',(-6.55E0,-1.21E0,-2.E-1)); +#4346=LINE('',#4345,#4344); +#4347=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4348=VECTOR('',#4347,2.E0); +#4349=CARTESIAN_POINT('',(-6.382025504151E0,7.9E-1,-9.144324643666E-2)); +#4350=LINE('',#4349,#4348); +#4351=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4352=VECTOR('',#4351,2.E0); +#4353=CARTESIAN_POINT('',(-4.806044632274E0,7.9E-1,-2.530025681264E0)); +#4354=LINE('',#4353,#4352); +#4355=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4356=VECTOR('',#4355,2.E0); +#4357=CARTESIAN_POINT('',(-4.752703985789E0,7.9E-1,-2.763422096978E0)); +#4358=LINE('',#4357,#4356); +#4359=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4360=VECTOR('',#4359,2.E0); +#4361=CARTESIAN_POINT('',(-4.879157201120E0,7.9E-1,-3.774812626844E0)); +#4362=LINE('',#4361,#4360); +#4363=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4364=VECTOR('',#4363,2.E0); +#4365=CARTESIAN_POINT('',(-5.077612066390E0,7.9E-1,-3.75E0)); +#4366=LINE('',#4365,#4364); +#4367=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4368=VECTOR('',#4367,2.E0); +#4369=CARTESIAN_POINT('',(-4.951158851058E0,7.9E-1,-2.738609470133E0)); +#4370=LINE('',#4369,#4368); +#4371=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4372=VECTOR('',#4371,2.E0); +#4373=CARTESIAN_POINT('',(-4.974019128123E0,7.9E-1,-2.638582434827E0)); +#4374=LINE('',#4373,#4372); +#4375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4376=VECTOR('',#4375,2.5E-1); +#4377=CARTESIAN_POINT('',(7.05E0,-3.59E0,0.E0)); +#4378=LINE('',#4377,#4376); +#4379=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4380=VECTOR('',#4379,2.5E-1); +#4381=CARTESIAN_POINT('',(7.05E0,-5.94E0,0.E0)); +#4382=LINE('',#4381,#4380); +#4383=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4384=VECTOR('',#4383,2.5E-1); +#4385=CARTESIAN_POINT('',(2.43E0,-5.94E0,0.E0)); +#4386=LINE('',#4385,#4384); +#4387=CARTESIAN_POINT('',(2.43E0,-6.55E0,-2.E-1)); +#4388=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4389=DIRECTION('',(0.E0,-1.E0,1.720845688169E-14)); +#4390=AXIS2_PLACEMENT_3D('',#4387,#4388,#4389); +#4392=DIRECTION('',(5.773502691896E-1,-5.773502691896E-1,5.773502691896E-1)); +#4393=VECTOR('',#4392,4.330127018922E-1); +#4394=CARTESIAN_POINT('',(5.95E0,-1.545E0,-5.E-1)); +#4395=LINE('',#4394,#4393); +#4396=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#4397=VECTOR('',#4396,3.535533905933E-1); +#4398=CARTESIAN_POINT('',(6.35E0,-1.545E0,-5.E-1)); +#4399=LINE('',#4398,#4397); +#4400=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4401=VECTOR('',#4400,4.E-1); +#4402=CARTESIAN_POINT('',(6.35E0,-1.545E0,-5.E-1)); +#4403=LINE('',#4402,#4401); +#4404=DIRECTION('',(0.E0,0.E0,1.E0)); +#4405=VECTOR('',#4404,2.5E-1); +#4406=CARTESIAN_POINT('',(6.35E0,-1.545E0,-5.E-1)); +#4407=LINE('',#4406,#4405); +#4408=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4409=VECTOR('',#4408,9.65E0); +#4410=CARTESIAN_POINT('',(6.81E0,-1.545E0,-2.5E-1)); +#4411=LINE('',#4410,#4409); +#4412=CARTESIAN_POINT('',(-5.37E0,-3.75E0,-1.75E0)); +#4413=DIRECTION('',(0.E0,1.E0,0.E0)); +#4414=DIRECTION('',(-6.614378277661E-1,0.E0,7.5E-1)); +#4415=AXIS2_PLACEMENT_3D('',#4412,#4413,#4414); +#4417=CARTESIAN_POINT('',(5.37E0,-3.75E0,-1.75E0)); +#4418=DIRECTION('',(0.E0,1.E0,0.E0)); +#4419=DIRECTION('',(-6.614378277661E-1,0.E0,7.5E-1)); +#4420=AXIS2_PLACEMENT_3D('',#4417,#4418,#4419); +#4422=CARTESIAN_POINT('',(-5.37E0,-5.65E0,-1.75E0)); +#4423=DIRECTION('',(0.E0,1.E0,0.E0)); +#4424=DIRECTION('',(-6.614378277661E-1,0.E0,7.5E-1)); +#4425=AXIS2_PLACEMENT_3D('',#4422,#4423,#4424); +#4427=CARTESIAN_POINT('',(5.37E0,-5.65E0,-1.75E0)); +#4428=DIRECTION('',(0.E0,1.E0,0.E0)); +#4429=DIRECTION('',(-6.614378277661E-1,0.E0,7.5E-1)); +#4430=AXIS2_PLACEMENT_3D('',#4427,#4428,#4429); +#4432=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4433=VECTOR('',#4432,1.5E-1); +#4434=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-2.E-1)); +#4435=LINE('',#4434,#4433); +#4436=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4437=VECTOR('',#4436,7.970972607895E-1); +#4438=CARTESIAN_POINT('',(6.85E0,-6.55E0,-1.195290273921E1)); +#4439=LINE('',#4438,#4437); +#4440=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4441=VECTOR('',#4440,2.E-1); +#4442=CARTESIAN_POINT('',(6.85E0,-6.55E0,-1.195290273921E1)); +#4443=LINE('',#4442,#4441); +#4444=DIRECTION('',(2.136968788054E-1,9.769000173963E-1,0.E0)); +#4445=VECTOR('',#4444,2.339762764880E-1); +#4446=CARTESIAN_POINT('',(7.265E0,-9.878571428571E0,-1.05E1)); +#4447=LINE('',#4446,#4445); +#4448=DIRECTION('',(1.583036690554E-14,1.E0,0.E0)); +#4449=VECTOR('',#4448,3.478571428571E0); +#4450=CARTESIAN_POINT('',(7.265E0,-9.878571428571E0,-1.05E1)); +#4451=LINE('',#4450,#4449); +#4452=DIRECTION('',(0.E0,9.769000173963E-1,-2.136968788054E-1)); +#4453=VECTOR('',#4452,2.339762764880E-1); +#4454=CARTESIAN_POINT('',(5.965E0,-9.878571428571E0,-1.23E1)); +#4455=LINE('',#4454,#4453); +#4456=DIRECTION('',(0.E0,1.E0,0.E0)); +#4457=VECTOR('',#4456,3.059878251450E0); +#4458=CARTESIAN_POINT('',(5.965E0,-9.878571428571E0,-1.23E1)); +#4459=LINE('',#4458,#4457); +#4460=DIRECTION('',(0.E0,0.E0,1.E0)); +#4461=VECTOR('',#4460,5.E-2); +#4462=CARTESIAN_POINT('',(5.965E0,-6.818693177122E0,-1.235E1)); +#4463=LINE('',#4462,#4461); +#4464=DIRECTION('',(1.E0,0.E0,0.E0)); +#4465=VECTOR('',#4464,5.E-1); +#4466=CARTESIAN_POINT('',(5.465E0,-9.65E0,-1.235E1)); +#4467=LINE('',#4466,#4465); +#4468=DIRECTION('',(0.E0,1.E0,0.E0)); +#4469=VECTOR('',#4468,2.831306822878E0); +#4470=CARTESIAN_POINT('',(5.965E0,-9.65E0,-1.235E1)); +#4471=LINE('',#4470,#4469); +#4472=CARTESIAN_POINT('',(5.715E0,-6.475E0,-1.235E1)); +#4473=DIRECTION('',(0.E0,0.E0,1.E0)); +#4474=DIRECTION('',(-5.882352941176E-1,-8.086898285216E-1,0.E0)); +#4475=AXIS2_PLACEMENT_3D('',#4472,#4473,#4474); +#4477=DIRECTION('',(-1.898009561123E-14,-9.769000173963E-1,2.136968788054E-1)); +#4478=VECTOR('',#4477,2.339762764880E-1); +#4479=CARTESIAN_POINT('',(5.465E0,-9.65E0,-1.235E1)); +#4480=LINE('',#4479,#4478); +#4481=DIRECTION('',(0.E0,1.E0,0.E0)); +#4482=VECTOR('',#4481,2.831306822878E0); +#4483=CARTESIAN_POINT('',(5.465E0,-9.65E0,-1.235E1)); +#4484=LINE('',#4483,#4482); +#4485=DIRECTION('',(0.E0,0.E0,1.E0)); +#4486=VECTOR('',#4485,5.E-2); +#4487=CARTESIAN_POINT('',(5.465E0,-6.818693177122E0,-1.235E1)); +#4488=LINE('',#4487,#4486); +#4489=DIRECTION('',(0.E0,1.E0,0.E0)); +#4490=VECTOR('',#4489,3.059878251450E0); +#4491=CARTESIAN_POINT('',(5.465E0,-9.878571428571E0,-1.23E1)); +#4492=LINE('',#4491,#4490); +#4493=CARTESIAN_POINT('',(4.29E0,-1.045E1,-1.100602357054E1)); +#4494=CARTESIAN_POINT('',(4.248337781294E0,-1.025954414306E1, +-1.100199893978E1)); +#4495=CARTESIAN_POINT('',(4.206671094961E0,-1.006906786268E1,-1.1E1)); +#4496=CARTESIAN_POINT('',(4.165E0,-9.878571428571E0,-1.1E1)); +#4498=CARTESIAN_POINT('',(4.165E0,-1.045E1,-1.23E1)); +#4499=DIRECTION('',(0.E0,1.E0,0.E0)); +#4500=DIRECTION('',(9.615384615385E-2,0.E0,9.953664842006E-1)); +#4501=AXIS2_PLACEMENT_3D('',#4498,#4499,#4500); +#4503=CARTESIAN_POINT('',(5.465E0,-9.878571428571E0,-1.23E1)); +#4504=CARTESIAN_POINT('',(5.465E0,-1.006906786268E1,-1.225832890504E1)); +#4505=CARTESIAN_POINT('',(5.463001060219E0,-1.025954414306E1, +-1.221666221871E1)); +#4506=CARTESIAN_POINT('',(5.458976429461E0,-1.045E1,-1.2175E1)); +#4508=DIRECTION('',(-2.136968788054E-1,9.769000173963E-1,-1.518407648898E-14)); +#4509=VECTOR('',#4508,2.339762764880E-1); +#4510=CARTESIAN_POINT('',(4.165E0,-9.878571428571E0,-1.1E1)); +#4511=LINE('',#4510,#4509); +#4512=DIRECTION('',(0.E0,1.E0,0.E0)); +#4513=VECTOR('',#4512,3.478571428571E0); +#4514=CARTESIAN_POINT('',(4.165E0,-9.878571428571E0,-1.1E1)); +#4515=LINE('',#4514,#4513); +#4516=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4517=VECTOR('',#4516,5.E-1); +#4518=CARTESIAN_POINT('',(4.115E0,-9.65E0,-1.05E1)); +#4519=LINE('',#4518,#4517); +#4520=DIRECTION('',(0.E0,1.E0,0.E0)); +#4521=VECTOR('',#4520,3.25E0); +#4522=CARTESIAN_POINT('',(4.115E0,-9.65E0,-1.1E1)); +#4523=LINE('',#4522,#4521); +#4524=DIRECTION('',(2.136968788054E-1,-9.769000173963E-1,0.E0)); +#4525=VECTOR('',#4524,2.339762764880E-1); +#4526=CARTESIAN_POINT('',(4.115E0,-9.65E0,-1.05E1)); +#4527=LINE('',#4526,#4525); +#4528=DIRECTION('',(0.E0,1.E0,0.E0)); +#4529=VECTOR('',#4528,3.25E0); +#4530=CARTESIAN_POINT('',(4.115E0,-9.65E0,-1.05E1)); +#4531=LINE('',#4530,#4529); +#4532=DIRECTION('',(-1.608569540401E-14,1.E0,0.E0)); +#4533=VECTOR('',#4532,3.478571428571E0); +#4534=CARTESIAN_POINT('',(4.165E0,-9.878571428571E0,-1.05E1)); +#4535=LINE('',#4534,#4533); +#4536=DIRECTION('',(2.136968788054E-1,9.769000173963E-1,0.E0)); +#4537=VECTOR('',#4536,2.339762764880E-1); +#4538=CARTESIAN_POINT('',(-4.165E0,-9.878571428571E0,-1.05E1)); +#4539=LINE('',#4538,#4537); +#4540=DIRECTION('',(1.634102390249E-14,1.E0,0.E0)); +#4541=VECTOR('',#4540,3.478571428571E0); +#4542=CARTESIAN_POINT('',(-4.165E0,-9.878571428571E0,-1.05E1)); +#4543=LINE('',#4542,#4541); +#4544=DIRECTION('',(0.E0,0.E0,1.E0)); +#4545=VECTOR('',#4544,5.E-1); +#4546=CARTESIAN_POINT('',(-4.115E0,-9.65E0,-1.1E1)); +#4547=LINE('',#4546,#4545); +#4548=DIRECTION('',(0.E0,1.E0,0.E0)); +#4549=VECTOR('',#4548,3.25E0); +#4550=CARTESIAN_POINT('',(-4.115E0,-9.65E0,-1.05E1)); +#4551=LINE('',#4550,#4549); +#4552=DIRECTION('',(-2.136968788054E-1,-9.769000173963E-1,0.E0)); +#4553=VECTOR('',#4552,2.339762764880E-1); +#4554=CARTESIAN_POINT('',(-4.115E0,-9.65E0,-1.1E1)); +#4555=LINE('',#4554,#4553); +#4556=DIRECTION('',(0.E0,1.E0,0.E0)); +#4557=VECTOR('',#4556,3.25E0); +#4558=CARTESIAN_POINT('',(-4.115E0,-9.65E0,-1.1E1)); +#4559=LINE('',#4558,#4557); +#4560=DIRECTION('',(0.E0,1.E0,0.E0)); +#4561=VECTOR('',#4560,3.478571428571E0); +#4562=CARTESIAN_POINT('',(-4.165E0,-9.878571428571E0,-1.1E1)); +#4563=LINE('',#4562,#4561); +#4564=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4565=VECTOR('',#4564,2.399E0); +#4566=CARTESIAN_POINT('',(5.946840728931E0,-6.4E0,-1.7285E1)); +#4567=LINE('',#4566,#4565); +#4568=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4569=VECTOR('',#4568,2.399E0); +#4570=CARTESIAN_POINT('',(5.486840728931E0,-6.4E0,-1.7285E1)); +#4571=LINE('',#4570,#4569); +#4572=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4573=VECTOR('',#4572,2.399E0); +#4574=CARTESIAN_POINT('',(5.486840728931E0,-6.4E0,-1.6935E1)); +#4575=LINE('',#4574,#4573); +#4576=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4577=VECTOR('',#4576,2.399E0); +#4578=CARTESIAN_POINT('',(5.946840728931E0,-6.4E0,-1.6935E1)); +#4579=LINE('',#4578,#4577); +#4580=DIRECTION('',(0.E0,0.E0,1.E0)); +#4581=VECTOR('',#4580,3.5E-1); +#4582=CARTESIAN_POINT('',(5.946840728931E0,-8.799E0,-1.7285E1)); +#4583=LINE('',#4582,#4581); +#4584=DIRECTION('',(1.E0,0.E0,0.E0)); +#4585=VECTOR('',#4584,4.6E-1); +#4586=CARTESIAN_POINT('',(5.486840728931E0,-8.799E0,-1.7285E1)); +#4587=LINE('',#4586,#4585); +#4588=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4589=VECTOR('',#4588,3.5E-1); +#4590=CARTESIAN_POINT('',(5.486840728931E0,-8.799E0,-1.6935E1)); +#4591=LINE('',#4590,#4589); +#4592=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4593=VECTOR('',#4592,4.6E-1); +#4594=CARTESIAN_POINT('',(5.946840728931E0,-8.799E0,-1.6935E1)); +#4595=LINE('',#4594,#4593); +#4596=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4597=VECTOR('',#4596,3.5E-1); +#4598=CARTESIAN_POINT('',(5.891527302656E0,-8.799E0,-1.435E1)); +#4599=LINE('',#4598,#4597); +#4600=DIRECTION('',(0.E0,0.E0,1.E0)); +#4601=VECTOR('',#4600,4.6E-1); +#4602=CARTESIAN_POINT('',(5.891527302656E0,-8.799E0,-1.481E1)); +#4603=LINE('',#4602,#4601); +#4604=DIRECTION('',(1.E0,0.E0,0.E0)); +#4605=VECTOR('',#4604,3.5E-1); +#4606=CARTESIAN_POINT('',(5.541527302656E0,-8.799E0,-1.481E1)); +#4607=LINE('',#4606,#4605); +#4608=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4609=VECTOR('',#4608,4.6E-1); +#4610=CARTESIAN_POINT('',(5.541527302656E0,-8.799E0,-1.435E1)); +#4611=LINE('',#4610,#4609); +#4612=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4613=VECTOR('',#4612,3.5E-1); +#4614=CARTESIAN_POINT('',(3.351527302656E0,-8.799E0,-1.308E1)); +#4615=LINE('',#4614,#4613); +#4616=DIRECTION('',(0.E0,0.E0,1.E0)); +#4617=VECTOR('',#4616,4.6E-1); +#4618=CARTESIAN_POINT('',(3.351527302656E0,-8.799E0,-1.354E1)); +#4619=LINE('',#4618,#4617); +#4620=DIRECTION('',(1.E0,0.E0,0.E0)); +#4621=VECTOR('',#4620,3.5E-1); +#4622=CARTESIAN_POINT('',(3.001527302656E0,-8.799E0,-1.354E1)); +#4623=LINE('',#4622,#4621); +#4624=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4625=VECTOR('',#4624,4.6E-1); +#4626=CARTESIAN_POINT('',(3.001527302656E0,-8.799E0,-1.308E1)); +#4627=LINE('',#4626,#4625); +#4628=DIRECTION('',(0.E0,0.E0,1.E0)); +#4629=VECTOR('',#4628,3.5E-1); +#4630=CARTESIAN_POINT('',(3.406528581622E0,-8.799E0,-1.7285E1)); +#4631=LINE('',#4630,#4629); +#4632=DIRECTION('',(1.E0,0.E0,0.E0)); +#4633=VECTOR('',#4632,4.6E-1); +#4634=CARTESIAN_POINT('',(2.946528581622E0,-8.799E0,-1.7285E1)); +#4635=LINE('',#4634,#4633); +#4636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4637=VECTOR('',#4636,3.5E-1); +#4638=CARTESIAN_POINT('',(2.946528581622E0,-8.799E0,-1.6935E1)); +#4639=LINE('',#4638,#4637); +#4640=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4641=VECTOR('',#4640,4.6E-1); +#4642=CARTESIAN_POINT('',(3.406528581622E0,-8.799E0,-1.6935E1)); +#4643=LINE('',#4642,#4641); +#4644=DIRECTION('',(0.E0,0.E0,1.E0)); +#4645=VECTOR('',#4644,3.5E-1); +#4646=CARTESIAN_POINT('',(4.676528581620E0,-8.799E0,-1.9825E1)); +#4647=LINE('',#4646,#4645); +#4648=DIRECTION('',(1.E0,0.E0,0.E0)); +#4649=VECTOR('',#4648,4.6E-1); +#4650=CARTESIAN_POINT('',(4.216528581620E0,-8.799E0,-1.9825E1)); +#4651=LINE('',#4650,#4649); +#4652=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4653=VECTOR('',#4652,3.5E-1); +#4654=CARTESIAN_POINT('',(4.216528581620E0,-8.799E0,-1.9475E1)); +#4655=LINE('',#4654,#4653); +#4656=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4657=VECTOR('',#4656,4.6E-1); +#4658=CARTESIAN_POINT('',(4.676528581620E0,-8.799E0,-1.9475E1)); +#4659=LINE('',#4658,#4657); +#4660=DIRECTION('',(0.E0,0.E0,1.E0)); +#4661=VECTOR('',#4660,3.5E-1); +#4662=CARTESIAN_POINT('',(2.136528581620E0,-8.799E0,-1.9825E1)); +#4663=LINE('',#4662,#4661); +#4664=DIRECTION('',(1.E0,0.E0,0.E0)); +#4665=VECTOR('',#4664,4.6E-1); +#4666=CARTESIAN_POINT('',(1.676528581620E0,-8.799E0,-1.9825E1)); +#4667=LINE('',#4666,#4665); +#4668=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4669=VECTOR('',#4668,3.5E-1); +#4670=CARTESIAN_POINT('',(1.676528581620E0,-8.799E0,-1.9475E1)); +#4671=LINE('',#4670,#4669); +#4672=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4673=VECTOR('',#4672,4.6E-1); +#4674=CARTESIAN_POINT('',(2.136528581620E0,-8.799E0,-1.9475E1)); +#4675=LINE('',#4674,#4673); +#4676=DIRECTION('',(0.E0,0.E0,1.E0)); +#4677=VECTOR('',#4676,3.5E-1); +#4678=CARTESIAN_POINT('',(8.665285816220E-1,-8.799E0,-1.7285E1)); +#4679=LINE('',#4678,#4677); +#4680=DIRECTION('',(1.E0,0.E0,0.E0)); +#4681=VECTOR('',#4680,4.6E-1); +#4682=CARTESIAN_POINT('',(4.065285816220E-1,-8.799E0,-1.7285E1)); +#4683=LINE('',#4682,#4681); +#4684=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4685=VECTOR('',#4684,3.5E-1); +#4686=CARTESIAN_POINT('',(4.065285816220E-1,-8.799E0,-1.6935E1)); +#4687=LINE('',#4686,#4685); +#4688=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4689=VECTOR('',#4688,4.6E-1); +#4690=CARTESIAN_POINT('',(8.665285816220E-1,-8.799E0,-1.6935E1)); +#4691=LINE('',#4690,#4689); +#4692=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4693=VECTOR('',#4692,5.E-1); +#4694=CARTESIAN_POINT('',(6.89E0,-8.799E0,-6.435E0)); +#4695=LINE('',#4694,#4693); +#4696=DIRECTION('',(0.E0,0.E0,1.E0)); +#4697=VECTOR('',#4696,5.E-1); +#4698=CARTESIAN_POINT('',(6.89E0,-8.799E0,-6.935E0)); +#4699=LINE('',#4698,#4697); +#4700=DIRECTION('',(1.E0,0.E0,0.E0)); +#4701=VECTOR('',#4700,5.E-1); +#4702=CARTESIAN_POINT('',(6.39E0,-8.799E0,-6.935E0)); +#4703=LINE('',#4702,#4701); +#4704=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4705=VECTOR('',#4704,5.E-1); +#4706=CARTESIAN_POINT('',(6.39E0,-8.799E0,-6.435E0)); +#4707=LINE('',#4706,#4705); +#4708=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4709=VECTOR('',#4708,5.E-1); +#4710=CARTESIAN_POINT('',(4.35E0,-8.799E0,-6.435E0)); +#4711=LINE('',#4710,#4709); +#4712=DIRECTION('',(0.E0,0.E0,1.E0)); +#4713=VECTOR('',#4712,5.E-1); +#4714=CARTESIAN_POINT('',(4.35E0,-8.799E0,-6.935E0)); +#4715=LINE('',#4714,#4713); +#4716=DIRECTION('',(1.E0,0.E0,0.E0)); +#4717=VECTOR('',#4716,5.E-1); +#4718=CARTESIAN_POINT('',(3.85E0,-8.799E0,-6.935E0)); +#4719=LINE('',#4718,#4717); +#4720=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4721=VECTOR('',#4720,5.E-1); +#4722=CARTESIAN_POINT('',(3.85E0,-8.799E0,-6.435E0)); +#4723=LINE('',#4722,#4721); +#4724=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4725=VECTOR('',#4724,5.E-1); +#4726=CARTESIAN_POINT('',(-6.89E0,-8.799E0,-6.435E0)); +#4727=LINE('',#4726,#4725); +#4728=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4729=VECTOR('',#4728,5.E-1); +#4730=CARTESIAN_POINT('',(-6.39E0,-8.799E0,-6.435E0)); +#4731=LINE('',#4730,#4729); +#4732=DIRECTION('',(0.E0,0.E0,1.E0)); +#4733=VECTOR('',#4732,5.E-1); +#4734=CARTESIAN_POINT('',(-6.39E0,-8.799E0,-6.935E0)); +#4735=LINE('',#4734,#4733); +#4736=DIRECTION('',(1.E0,0.E0,0.E0)); +#4737=VECTOR('',#4736,5.E-1); +#4738=CARTESIAN_POINT('',(-6.89E0,-8.799E0,-6.935E0)); +#4739=LINE('',#4738,#4737); +#4740=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4741=VECTOR('',#4740,5.E-1); +#4742=CARTESIAN_POINT('',(-4.35E0,-8.799E0,-6.435E0)); +#4743=LINE('',#4742,#4741); +#4744=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4745=VECTOR('',#4744,5.E-1); +#4746=CARTESIAN_POINT('',(-3.85E0,-8.799E0,-6.435E0)); +#4747=LINE('',#4746,#4745); +#4748=DIRECTION('',(0.E0,0.E0,1.E0)); +#4749=VECTOR('',#4748,5.E-1); +#4750=CARTESIAN_POINT('',(-3.85E0,-8.799E0,-6.935E0)); +#4751=LINE('',#4750,#4749); +#4752=DIRECTION('',(1.E0,0.E0,0.E0)); +#4753=VECTOR('',#4752,5.E-1); +#4754=CARTESIAN_POINT('',(-4.35E0,-8.799E0,-6.935E0)); +#4755=LINE('',#4754,#4753); +#4756=DIRECTION('',(0.E0,0.E0,1.E0)); +#4757=VECTOR('',#4756,3.5E-1); +#4758=CARTESIAN_POINT('',(-4.034714183780E-1,-8.799E0,-1.9825E1)); +#4759=LINE('',#4758,#4757); +#4760=DIRECTION('',(1.E0,0.E0,0.E0)); +#4761=VECTOR('',#4760,4.6E-1); +#4762=CARTESIAN_POINT('',(-8.634714183780E-1,-8.799E0,-1.9825E1)); +#4763=LINE('',#4762,#4761); +#4764=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4765=VECTOR('',#4764,3.5E-1); +#4766=CARTESIAN_POINT('',(-8.634714183780E-1,-8.799E0,-1.9475E1)); +#4767=LINE('',#4766,#4765); +#4768=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4769=VECTOR('',#4768,4.6E-1); +#4770=CARTESIAN_POINT('',(-4.034714183780E-1,-8.799E0,-1.9475E1)); +#4771=LINE('',#4770,#4769); +#4772=DIRECTION('',(1.E0,0.E0,0.E0)); +#4773=VECTOR('',#4772,4.6E-1); +#4774=CARTESIAN_POINT('',(-4.673471418378E0,-8.799E0,-1.7285E1)); +#4775=LINE('',#4774,#4773); +#4776=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4777=VECTOR('',#4776,3.5E-1); +#4778=CARTESIAN_POINT('',(-4.673471418378E0,-8.799E0,-1.6935E1)); +#4779=LINE('',#4778,#4777); +#4780=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4781=VECTOR('',#4780,4.6E-1); +#4782=CARTESIAN_POINT('',(-4.213471418378E0,-8.799E0,-1.6935E1)); +#4783=LINE('',#4782,#4781); +#4784=DIRECTION('',(0.E0,0.E0,1.E0)); +#4785=VECTOR('',#4784,3.5E-1); +#4786=CARTESIAN_POINT('',(-4.213471418378E0,-8.799E0,-1.7285E1)); +#4787=LINE('',#4786,#4785); +#4788=DIRECTION('',(1.E0,0.E0,0.E0)); +#4789=VECTOR('',#4788,4.6E-1); +#4790=CARTESIAN_POINT('',(-5.943471418376E0,-8.799E0,-1.9825E1)); +#4791=LINE('',#4790,#4789); +#4792=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4793=VECTOR('',#4792,3.5E-1); +#4794=CARTESIAN_POINT('',(-5.943471418376E0,-8.799E0,-1.9475E1)); +#4795=LINE('',#4794,#4793); +#4796=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4797=VECTOR('',#4796,4.6E-1); +#4798=CARTESIAN_POINT('',(-5.483471418376E0,-8.799E0,-1.9475E1)); +#4799=LINE('',#4798,#4797); +#4800=DIRECTION('',(0.E0,0.E0,1.E0)); +#4801=VECTOR('',#4800,3.5E-1); +#4802=CARTESIAN_POINT('',(-5.483471418376E0,-8.799E0,-1.9825E1)); +#4803=LINE('',#4802,#4801); +#4804=DIRECTION('',(1.E0,0.E0,0.E0)); +#4805=VECTOR('',#4804,4.6E-1); +#4806=CARTESIAN_POINT('',(-3.403471418376E0,-8.799E0,-1.9825E1)); +#4807=LINE('',#4806,#4805); +#4808=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4809=VECTOR('',#4808,3.5E-1); +#4810=CARTESIAN_POINT('',(-3.403471418376E0,-8.799E0,-1.9475E1)); +#4811=LINE('',#4810,#4809); +#4812=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4813=VECTOR('',#4812,4.6E-1); +#4814=CARTESIAN_POINT('',(-2.943471418376E0,-8.799E0,-1.9475E1)); +#4815=LINE('',#4814,#4813); +#4816=DIRECTION('',(0.E0,0.E0,1.E0)); +#4817=VECTOR('',#4816,3.5E-1); +#4818=CARTESIAN_POINT('',(-2.943471418376E0,-8.799E0,-1.9825E1)); +#4819=LINE('',#4818,#4817); +#4820=DIRECTION('',(1.E0,0.E0,0.E0)); +#4821=VECTOR('',#4820,4.6E-1); +#4822=CARTESIAN_POINT('',(-2.133471418378E0,-8.799E0,-1.7285E1)); +#4823=LINE('',#4822,#4821); +#4824=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4825=VECTOR('',#4824,3.5E-1); +#4826=CARTESIAN_POINT('',(-2.133471418378E0,-8.799E0,-1.6935E1)); +#4827=LINE('',#4826,#4825); +#4828=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4829=VECTOR('',#4828,4.6E-1); +#4830=CARTESIAN_POINT('',(-1.673471418378E0,-8.799E0,-1.6935E1)); +#4831=LINE('',#4830,#4829); +#4832=DIRECTION('',(0.E0,0.E0,1.E0)); +#4833=VECTOR('',#4832,3.5E-1); +#4834=CARTESIAN_POINT('',(-1.673471418378E0,-8.799E0,-1.7285E1)); +#4835=LINE('',#4834,#4833); +#4836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4837=VECTOR('',#4836,4.6E-1); +#4838=CARTESIAN_POINT('',(-5.891527302656E0,-8.799E0,-1.435E1)); +#4839=LINE('',#4838,#4837); +#4840=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4841=VECTOR('',#4840,3.5E-1); +#4842=CARTESIAN_POINT('',(-5.541527302656E0,-8.799E0,-1.435E1)); +#4843=LINE('',#4842,#4841); +#4844=DIRECTION('',(0.E0,0.E0,1.E0)); +#4845=VECTOR('',#4844,4.6E-1); +#4846=CARTESIAN_POINT('',(-5.541527302656E0,-8.799E0,-1.481E1)); +#4847=LINE('',#4846,#4845); +#4848=DIRECTION('',(1.E0,0.E0,0.E0)); +#4849=VECTOR('',#4848,3.5E-1); +#4850=CARTESIAN_POINT('',(-5.891527302656E0,-8.799E0,-1.481E1)); +#4851=LINE('',#4850,#4849); +#4852=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4853=VECTOR('',#4852,4.6E-1); +#4854=CARTESIAN_POINT('',(-3.351527302656E0,-8.799E0,-1.308E1)); +#4855=LINE('',#4854,#4853); +#4856=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4857=VECTOR('',#4856,3.5E-1); +#4858=CARTESIAN_POINT('',(-3.001527302656E0,-8.799E0,-1.308E1)); +#4859=LINE('',#4858,#4857); +#4860=DIRECTION('',(0.E0,0.E0,1.E0)); +#4861=VECTOR('',#4860,4.6E-1); +#4862=CARTESIAN_POINT('',(-3.001527302656E0,-8.799E0,-1.354E1)); +#4863=LINE('',#4862,#4861); +#4864=DIRECTION('',(1.E0,0.E0,0.E0)); +#4865=VECTOR('',#4864,3.5E-1); +#4866=CARTESIAN_POINT('',(-3.351527302656E0,-8.799E0,-1.354E1)); +#4867=LINE('',#4866,#4865); +#4868=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4869=VECTOR('',#4868,2.399E0); +#4870=CARTESIAN_POINT('',(5.891527302656E0,-6.4E0,-1.435E1)); +#4871=LINE('',#4870,#4869); +#4872=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4873=VECTOR('',#4872,2.399E0); +#4874=CARTESIAN_POINT('',(5.891527302656E0,-6.4E0,-1.481E1)); +#4875=LINE('',#4874,#4873); +#4876=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4877=VECTOR('',#4876,2.399E0); +#4878=CARTESIAN_POINT('',(5.541527302656E0,-6.4E0,-1.481E1)); +#4879=LINE('',#4878,#4877); +#4880=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4881=VECTOR('',#4880,2.399E0); +#4882=CARTESIAN_POINT('',(5.541527302656E0,-6.4E0,-1.435E1)); +#4883=LINE('',#4882,#4881); +#4884=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4885=VECTOR('',#4884,2.399E0); +#4886=CARTESIAN_POINT('',(3.351527302656E0,-6.4E0,-1.308E1)); +#4887=LINE('',#4886,#4885); +#4888=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4889=VECTOR('',#4888,2.399E0); +#4890=CARTESIAN_POINT('',(3.351527302656E0,-6.4E0,-1.354E1)); +#4891=LINE('',#4890,#4889); +#4892=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4893=VECTOR('',#4892,2.399E0); +#4894=CARTESIAN_POINT('',(3.001527302656E0,-6.4E0,-1.354E1)); +#4895=LINE('',#4894,#4893); +#4896=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4897=VECTOR('',#4896,2.399E0); +#4898=CARTESIAN_POINT('',(3.001527302656E0,-6.4E0,-1.308E1)); +#4899=LINE('',#4898,#4897); +#4900=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4901=VECTOR('',#4900,2.399E0); +#4902=CARTESIAN_POINT('',(3.406528581622E0,-6.4E0,-1.7285E1)); +#4903=LINE('',#4902,#4901); +#4904=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4905=VECTOR('',#4904,2.399E0); +#4906=CARTESIAN_POINT('',(2.946528581622E0,-6.4E0,-1.7285E1)); +#4907=LINE('',#4906,#4905); +#4908=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4909=VECTOR('',#4908,2.399E0); +#4910=CARTESIAN_POINT('',(2.946528581622E0,-6.4E0,-1.6935E1)); +#4911=LINE('',#4910,#4909); +#4912=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4913=VECTOR('',#4912,2.399E0); +#4914=CARTESIAN_POINT('',(3.406528581622E0,-6.4E0,-1.6935E1)); +#4915=LINE('',#4914,#4913); +#4916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4917=VECTOR('',#4916,2.399E0); +#4918=CARTESIAN_POINT('',(4.676528581620E0,-6.4E0,-1.9825E1)); +#4919=LINE('',#4918,#4917); +#4920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4921=VECTOR('',#4920,2.399E0); +#4922=CARTESIAN_POINT('',(4.216528581620E0,-6.4E0,-1.9825E1)); +#4923=LINE('',#4922,#4921); +#4924=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4925=VECTOR('',#4924,2.399E0); +#4926=CARTESIAN_POINT('',(4.216528581620E0,-6.4E0,-1.9475E1)); +#4927=LINE('',#4926,#4925); +#4928=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4929=VECTOR('',#4928,2.399E0); +#4930=CARTESIAN_POINT('',(4.676528581620E0,-6.4E0,-1.9475E1)); +#4931=LINE('',#4930,#4929); +#4932=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4933=VECTOR('',#4932,2.399E0); +#4934=CARTESIAN_POINT('',(2.136528581620E0,-6.4E0,-1.9825E1)); +#4935=LINE('',#4934,#4933); +#4936=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4937=VECTOR('',#4936,2.399E0); +#4938=CARTESIAN_POINT('',(1.676528581620E0,-6.4E0,-1.9825E1)); +#4939=LINE('',#4938,#4937); +#4940=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4941=VECTOR('',#4940,2.399E0); +#4942=CARTESIAN_POINT('',(1.676528581620E0,-6.4E0,-1.9475E1)); +#4943=LINE('',#4942,#4941); +#4944=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4945=VECTOR('',#4944,2.399E0); +#4946=CARTESIAN_POINT('',(2.136528581620E0,-6.4E0,-1.9475E1)); +#4947=LINE('',#4946,#4945); +#4948=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4949=VECTOR('',#4948,2.399E0); +#4950=CARTESIAN_POINT('',(8.665285816220E-1,-6.4E0,-1.7285E1)); +#4951=LINE('',#4950,#4949); +#4952=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4953=VECTOR('',#4952,2.399E0); +#4954=CARTESIAN_POINT('',(4.065285816220E-1,-6.4E0,-1.7285E1)); +#4955=LINE('',#4954,#4953); +#4956=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4957=VECTOR('',#4956,2.399E0); +#4958=CARTESIAN_POINT('',(4.065285816220E-1,-6.4E0,-1.6935E1)); +#4959=LINE('',#4958,#4957); +#4960=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4961=VECTOR('',#4960,2.399E0); +#4962=CARTESIAN_POINT('',(8.665285816220E-1,-6.4E0,-1.6935E1)); +#4963=LINE('',#4962,#4961); +#4964=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4965=VECTOR('',#4964,2.399E0); +#4966=CARTESIAN_POINT('',(6.89E0,-6.4E0,-6.435E0)); +#4967=LINE('',#4966,#4965); +#4968=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4969=VECTOR('',#4968,2.399E0); +#4970=CARTESIAN_POINT('',(6.89E0,-6.4E0,-6.935E0)); +#4971=LINE('',#4970,#4969); +#4972=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4973=VECTOR('',#4972,2.399E0); +#4974=CARTESIAN_POINT('',(6.39E0,-6.4E0,-6.935E0)); +#4975=LINE('',#4974,#4973); +#4976=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4977=VECTOR('',#4976,2.399E0); +#4978=CARTESIAN_POINT('',(6.39E0,-6.4E0,-6.435E0)); +#4979=LINE('',#4978,#4977); +#4980=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4981=VECTOR('',#4980,2.399E0); +#4982=CARTESIAN_POINT('',(4.35E0,-6.4E0,-6.435E0)); +#4983=LINE('',#4982,#4981); +#4984=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4985=VECTOR('',#4984,2.399E0); +#4986=CARTESIAN_POINT('',(4.35E0,-6.4E0,-6.935E0)); +#4987=LINE('',#4986,#4985); +#4988=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4989=VECTOR('',#4988,2.399E0); +#4990=CARTESIAN_POINT('',(3.85E0,-6.4E0,-6.935E0)); +#4991=LINE('',#4990,#4989); +#4992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4993=VECTOR('',#4992,2.399E0); +#4994=CARTESIAN_POINT('',(3.85E0,-6.4E0,-6.435E0)); +#4995=LINE('',#4994,#4993); +#4996=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4997=VECTOR('',#4996,2.399E0); +#4998=CARTESIAN_POINT('',(-6.89E0,-6.4E0,-6.435E0)); +#4999=LINE('',#4998,#4997); +#5000=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5001=VECTOR('',#5000,2.399E0); +#5002=CARTESIAN_POINT('',(-6.39E0,-6.4E0,-6.435E0)); +#5003=LINE('',#5002,#5001); +#5004=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5005=VECTOR('',#5004,2.399E0); +#5006=CARTESIAN_POINT('',(-6.39E0,-6.4E0,-6.935E0)); +#5007=LINE('',#5006,#5005); +#5008=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5009=VECTOR('',#5008,2.399E0); +#5010=CARTESIAN_POINT('',(-6.89E0,-6.4E0,-6.935E0)); +#5011=LINE('',#5010,#5009); +#5012=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5013=VECTOR('',#5012,2.399E0); +#5014=CARTESIAN_POINT('',(-4.35E0,-6.4E0,-6.435E0)); +#5015=LINE('',#5014,#5013); +#5016=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5017=VECTOR('',#5016,2.399E0); +#5018=CARTESIAN_POINT('',(-3.85E0,-6.4E0,-6.435E0)); +#5019=LINE('',#5018,#5017); +#5020=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5021=VECTOR('',#5020,2.399E0); +#5022=CARTESIAN_POINT('',(-3.85E0,-6.4E0,-6.935E0)); +#5023=LINE('',#5022,#5021); +#5024=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5025=VECTOR('',#5024,2.399E0); +#5026=CARTESIAN_POINT('',(-4.35E0,-6.4E0,-6.935E0)); +#5027=LINE('',#5026,#5025); +#5028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5029=VECTOR('',#5028,2.399E0); +#5030=CARTESIAN_POINT('',(-4.034714183780E-1,-6.4E0,-1.9825E1)); +#5031=LINE('',#5030,#5029); +#5032=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5033=VECTOR('',#5032,2.399E0); +#5034=CARTESIAN_POINT('',(-8.634714183780E-1,-6.4E0,-1.9825E1)); +#5035=LINE('',#5034,#5033); +#5036=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5037=VECTOR('',#5036,2.399E0); +#5038=CARTESIAN_POINT('',(-8.634714183780E-1,-6.4E0,-1.9475E1)); +#5039=LINE('',#5038,#5037); +#5040=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5041=VECTOR('',#5040,2.399E0); +#5042=CARTESIAN_POINT('',(-4.034714183780E-1,-6.4E0,-1.9475E1)); +#5043=LINE('',#5042,#5041); +#5044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5045=VECTOR('',#5044,2.399E0); +#5046=CARTESIAN_POINT('',(-4.673471418378E0,-6.4E0,-1.7285E1)); +#5047=LINE('',#5046,#5045); +#5048=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5049=VECTOR('',#5048,2.399E0); +#5050=CARTESIAN_POINT('',(-4.673471418378E0,-6.4E0,-1.6935E1)); +#5051=LINE('',#5050,#5049); +#5052=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5053=VECTOR('',#5052,2.399E0); +#5054=CARTESIAN_POINT('',(-4.213471418378E0,-6.4E0,-1.6935E1)); +#5055=LINE('',#5054,#5053); +#5056=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5057=VECTOR('',#5056,2.399E0); +#5058=CARTESIAN_POINT('',(-4.213471418378E0,-6.4E0,-1.7285E1)); +#5059=LINE('',#5058,#5057); +#5060=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5061=VECTOR('',#5060,2.399E0); +#5062=CARTESIAN_POINT('',(-5.943471418376E0,-6.4E0,-1.9825E1)); +#5063=LINE('',#5062,#5061); +#5064=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5065=VECTOR('',#5064,2.399E0); +#5066=CARTESIAN_POINT('',(-5.943471418376E0,-6.4E0,-1.9475E1)); +#5067=LINE('',#5066,#5065); +#5068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5069=VECTOR('',#5068,2.399E0); +#5070=CARTESIAN_POINT('',(-5.483471418376E0,-6.4E0,-1.9475E1)); +#5071=LINE('',#5070,#5069); +#5072=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5073=VECTOR('',#5072,2.399E0); +#5074=CARTESIAN_POINT('',(-5.483471418376E0,-6.4E0,-1.9825E1)); +#5075=LINE('',#5074,#5073); +#5076=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5077=VECTOR('',#5076,2.399E0); +#5078=CARTESIAN_POINT('',(-3.403471418376E0,-6.4E0,-1.9825E1)); +#5079=LINE('',#5078,#5077); +#5080=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5081=VECTOR('',#5080,2.399E0); +#5082=CARTESIAN_POINT('',(-3.403471418376E0,-6.4E0,-1.9475E1)); +#5083=LINE('',#5082,#5081); +#5084=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5085=VECTOR('',#5084,2.399E0); +#5086=CARTESIAN_POINT('',(-2.943471418376E0,-6.4E0,-1.9475E1)); +#5087=LINE('',#5086,#5085); +#5088=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5089=VECTOR('',#5088,2.399E0); +#5090=CARTESIAN_POINT('',(-2.943471418376E0,-6.4E0,-1.9825E1)); +#5091=LINE('',#5090,#5089); +#5092=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5093=VECTOR('',#5092,2.399E0); +#5094=CARTESIAN_POINT('',(-2.133471418378E0,-6.4E0,-1.7285E1)); +#5095=LINE('',#5094,#5093); +#5096=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5097=VECTOR('',#5096,2.399E0); +#5098=CARTESIAN_POINT('',(-2.133471418378E0,-6.4E0,-1.6935E1)); +#5099=LINE('',#5098,#5097); +#5100=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5101=VECTOR('',#5100,2.399E0); +#5102=CARTESIAN_POINT('',(-1.673471418378E0,-6.4E0,-1.6935E1)); +#5103=LINE('',#5102,#5101); +#5104=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5105=VECTOR('',#5104,2.399E0); +#5106=CARTESIAN_POINT('',(-1.673471418378E0,-6.4E0,-1.7285E1)); +#5107=LINE('',#5106,#5105); +#5108=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5109=VECTOR('',#5108,2.399E0); +#5110=CARTESIAN_POINT('',(-5.891527302656E0,-6.4E0,-1.435E1)); +#5111=LINE('',#5110,#5109); +#5112=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5113=VECTOR('',#5112,2.399E0); +#5114=CARTESIAN_POINT('',(-5.541527302656E0,-6.4E0,-1.435E1)); +#5115=LINE('',#5114,#5113); +#5116=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5117=VECTOR('',#5116,2.399E0); +#5118=CARTESIAN_POINT('',(-5.541527302656E0,-6.4E0,-1.481E1)); +#5119=LINE('',#5118,#5117); +#5120=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5121=VECTOR('',#5120,2.399E0); +#5122=CARTESIAN_POINT('',(-5.891527302656E0,-6.4E0,-1.481E1)); +#5123=LINE('',#5122,#5121); +#5124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5125=VECTOR('',#5124,2.399E0); +#5126=CARTESIAN_POINT('',(-3.351527302656E0,-6.4E0,-1.308E1)); +#5127=LINE('',#5126,#5125); +#5128=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5129=VECTOR('',#5128,2.399E0); +#5130=CARTESIAN_POINT('',(-3.001527302656E0,-6.4E0,-1.308E1)); +#5131=LINE('',#5130,#5129); +#5132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5133=VECTOR('',#5132,2.399E0); +#5134=CARTESIAN_POINT('',(-3.001527302656E0,-6.4E0,-1.354E1)); +#5135=LINE('',#5134,#5133); +#5136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5137=VECTOR('',#5136,2.399E0); +#5138=CARTESIAN_POINT('',(-3.351527302656E0,-6.4E0,-1.354E1)); +#5139=LINE('',#5138,#5137); +#5140=CARTESIAN_POINT('',(6.35E0,4.17E0,-2.5E-1)); +#5141=CARTESIAN_POINT('',(6.1E0,4.42E0,-2.5E-1)); +#5142=VERTEX_POINT('',#5140); +#5143=VERTEX_POINT('',#5141); +#5144=CARTESIAN_POINT('',(-6.3E0,4.42E0,-2.5E-1)); +#5145=VERTEX_POINT('',#5144); +#5146=CARTESIAN_POINT('',(-6.55E0,4.17E0,-2.5E-1)); +#5147=VERTEX_POINT('',#5146); +#5148=CARTESIAN_POINT('',(6.35E0,4.17E0,0.E0)); +#5149=VERTEX_POINT('',#5148); +#5150=CARTESIAN_POINT('',(6.1E0,4.42E0,0.E0)); +#5151=VERTEX_POINT('',#5150); +#5152=CARTESIAN_POINT('',(-6.3E0,4.42E0,0.E0)); +#5153=VERTEX_POINT('',#5152); +#5154=CARTESIAN_POINT('',(-6.55E0,4.17E0,0.E0)); +#5155=VERTEX_POINT('',#5154); +#5156=CARTESIAN_POINT('',(-6.55E0,-3.59E0,-2.5E-1)); +#5157=CARTESIAN_POINT('',(-7.15E0,-3.59E0,-2.5E-1)); +#5158=VERTEX_POINT('',#5156); +#5159=VERTEX_POINT('',#5157); +#5160=CARTESIAN_POINT('',(-7.15E0,-5.94E0,-2.5E-1)); +#5161=VERTEX_POINT('',#5160); +#5162=CARTESIAN_POINT('',(-2.63E0,-5.94E0,-2.5E-1)); +#5163=VERTEX_POINT('',#5162); +#5164=CARTESIAN_POINT('',(2.43E0,-5.94E0,-2.5E-1)); +#5165=CARTESIAN_POINT('',(7.05E0,-5.94E0,-2.5E-1)); +#5166=VERTEX_POINT('',#5164); +#5167=VERTEX_POINT('',#5165); +#5168=CARTESIAN_POINT('',(7.05E0,-3.59E0,-2.5E-1)); +#5169=VERTEX_POINT('',#5168); +#5170=CARTESIAN_POINT('',(6.35E0,-3.59E0,-2.5E-1)); +#5171=VERTEX_POINT('',#5170); +#5172=CARTESIAN_POINT('',(-2.63E0,-6.75E0,-2.5E-1)); +#5173=VERTEX_POINT('',#5172); +#5174=CARTESIAN_POINT('',(2.43E0,-6.75E0,-2.5E-1)); +#5175=VERTEX_POINT('',#5174); +#5176=CARTESIAN_POINT('',(-6.55E0,-3.59E0,0.E0)); +#5177=VERTEX_POINT('',#5176); +#5178=CARTESIAN_POINT('',(-7.15E0,-3.59E0,0.E0)); +#5179=VERTEX_POINT('',#5178); +#5180=CARTESIAN_POINT('',(-7.15E0,-5.94E0,0.E0)); +#5181=VERTEX_POINT('',#5180); +#5182=CARTESIAN_POINT('',(-2.63E0,-5.94E0,0.E0)); +#5183=VERTEX_POINT('',#5182); +#5184=CARTESIAN_POINT('',(2.43E0,-5.94E0,0.E0)); +#5185=VERTEX_POINT('',#5184); +#5186=CARTESIAN_POINT('',(7.05E0,-5.94E0,0.E0)); +#5187=VERTEX_POINT('',#5186); +#5188=CARTESIAN_POINT('',(7.05E0,-3.59E0,0.E0)); +#5189=VERTEX_POINT('',#5188); +#5190=CARTESIAN_POINT('',(6.35E0,-3.59E0,0.E0)); +#5191=VERTEX_POINT('',#5190); +#5192=CARTESIAN_POINT('',(5.95E0,4.02E0,-9.9E0)); +#5193=CARTESIAN_POINT('',(-5.95E0,4.02E0,-9.9E0)); +#5194=VERTEX_POINT('',#5192); +#5195=VERTEX_POINT('',#5193); +#5196=CARTESIAN_POINT('',(5.95E0,-1.61E0,-8.4E0)); +#5197=CARTESIAN_POINT('',(3.15E0,-1.61E0,-8.4E0)); +#5198=VERTEX_POINT('',#5196); +#5199=VERTEX_POINT('',#5197); +#5200=CARTESIAN_POINT('',(3.15E0,-2.81E0,-8.4E0)); +#5201=VERTEX_POINT('',#5200); +#5202=CARTESIAN_POINT('',(-3.15E0,-2.81E0,-8.4E0)); +#5203=CARTESIAN_POINT('',(-3.15E0,-1.61E0,-8.4E0)); +#5204=VERTEX_POINT('',#5202); +#5205=VERTEX_POINT('',#5203); +#5206=CARTESIAN_POINT('',(-5.95E0,-1.61E0,-8.4E0)); +#5207=VERTEX_POINT('',#5206); +#5208=CARTESIAN_POINT('',(3.15E0,-1.61E0,-9.9E0)); +#5209=VERTEX_POINT('',#5208); +#5210=CARTESIAN_POINT('',(-3.15E0,-1.61E0,-9.9E0)); +#5211=VERTEX_POINT('',#5210); +#5212=CARTESIAN_POINT('',(-6.81E0,1.255E0,-2.5E-1)); +#5213=CARTESIAN_POINT('',(-6.81E0,-1.545E0,-2.5E-1)); +#5214=VERTEX_POINT('',#5212); +#5215=VERTEX_POINT('',#5213); +#5216=CARTESIAN_POINT('',(-6.81E0,1.255E0,-9.9E0)); +#5217=CARTESIAN_POINT('',(-6.81E0,-1.545E0,-9.9E0)); +#5218=VERTEX_POINT('',#5216); +#5219=VERTEX_POINT('',#5217); +#5220=CARTESIAN_POINT('',(-5.95E0,1.255E0,-9.9E0)); +#5221=VERTEX_POINT('',#5220); +#5222=CARTESIAN_POINT('',(-5.95E0,-1.545E0,-9.9E0)); +#5223=VERTEX_POINT('',#5222); +#5224=CARTESIAN_POINT('',(6.81E0,-1.545E0,-2.5E-1)); +#5225=CARTESIAN_POINT('',(6.81E0,1.255E0,-2.5E-1)); +#5226=VERTEX_POINT('',#5224); +#5227=VERTEX_POINT('',#5225); +#5228=CARTESIAN_POINT('',(6.81E0,-1.545E0,-9.9E0)); +#5229=CARTESIAN_POINT('',(6.81E0,1.255E0,-9.9E0)); +#5230=VERTEX_POINT('',#5228); +#5231=VERTEX_POINT('',#5229); +#5232=CARTESIAN_POINT('',(5.95E0,-1.545E0,-9.9E0)); +#5233=VERTEX_POINT('',#5232); +#5234=CARTESIAN_POINT('',(5.95E0,1.255E0,-9.9E0)); +#5235=VERTEX_POINT('',#5234); +#5236=CARTESIAN_POINT('',(3.15E0,-5.9E0,-1.65E0)); +#5237=CARTESIAN_POINT('',(3.15E0,-5.9E0,-9.9E0)); +#5238=VERTEX_POINT('',#5236); +#5239=VERTEX_POINT('',#5237); +#5240=CARTESIAN_POINT('',(-3.15E0,-5.9E0,-9.9E0)); +#5241=VERTEX_POINT('',#5240); +#5242=CARTESIAN_POINT('',(-3.15E0,-5.9E0,-1.65E0)); +#5243=VERTEX_POINT('',#5242); +#5244=CARTESIAN_POINT('',(2.03E0,-5.9E0,-1.65E0)); +#5245=VERTEX_POINT('',#5244); +#5246=CARTESIAN_POINT('',(3.15E0,-4.5E0,-1.65E0)); +#5247=VERTEX_POINT('',#5246); +#5248=CARTESIAN_POINT('',(-2.03E0,-5.9E0,-1.65E0)); +#5249=VERTEX_POINT('',#5248); +#5250=CARTESIAN_POINT('',(-3.15E0,-4.5E0,-1.65E0)); +#5251=VERTEX_POINT('',#5250); +#5252=CARTESIAN_POINT('',(-2.03E0,-4.5E0,-1.65E0)); +#5253=VERTEX_POINT('',#5252); +#5254=CARTESIAN_POINT('',(2.03E0,-4.5E0,-1.65E0)); +#5255=VERTEX_POINT('',#5254); +#5256=CARTESIAN_POINT('',(5.007913550971E0,7.9E-1,-3.749999999992E0)); +#5257=CARTESIAN_POINT('',(4.851771263744E0,7.9E-1,-2.742983510315E0)); +#5258=VERTEX_POINT('',#5256); +#5259=VERTEX_POINT('',#5257); +#5260=CARTESIAN_POINT('',(4.871676684601E0,7.9E-1,-2.642326795287E0)); +#5261=VERTEX_POINT('',#5260); +#5262=CARTESIAN_POINT('',(6.178902246135E0,7.9E-1,-9.643572709480E-2)); +#5263=CARTESIAN_POINT('',(4.700578930736E0,7.9E-1,-2.538762522382E0)); +#5264=VERTEX_POINT('',#5262); +#5265=VERTEX_POINT('',#5263); +#5266=CARTESIAN_POINT('',(4.654132948736E0,7.9E-1,-2.773628190780E0)); +#5267=VERTEX_POINT('',#5266); +#5268=CARTESIAN_POINT('',(4.810275235963E0,7.9E-1,-3.780644680457E0)); +#5269=VERTEX_POINT('',#5268); +#5270=CARTESIAN_POINT('',(-4.951158851058E0,7.9E-1,-2.738609470133E0)); +#5271=CARTESIAN_POINT('',(-5.077612066390E0,7.9E-1,-3.75E0)); +#5272=VERTEX_POINT('',#5270); +#5273=VERTEX_POINT('',#5271); +#5274=CARTESIAN_POINT('',(-4.879157201120E0,7.9E-1,-3.774812626844E0)); +#5275=VERTEX_POINT('',#5274); +#5276=CARTESIAN_POINT('',(-4.752703985789E0,7.9E-1,-2.763422096978E0)); +#5277=VERTEX_POINT('',#5276); +#5278=CARTESIAN_POINT('',(-4.806044632274E0,7.9E-1,-2.530025681264E0)); +#5279=VERTEX_POINT('',#5278); +#5280=CARTESIAN_POINT('',(-6.382025504151E0,7.9E-1,-9.144324643666E-2)); +#5281=VERTEX_POINT('',#5280); +#5282=CARTESIAN_POINT('',(-4.974019128123E0,7.9E-1,-2.638582434827E0)); +#5283=VERTEX_POINT('',#5282); +#5284=CARTESIAN_POINT('',(5.007913550971E0,-1.21E0,-3.749999999992E0)); +#5285=CARTESIAN_POINT('',(4.851771263744E0,-1.21E0,-2.742983510315E0)); +#5286=VERTEX_POINT('',#5284); +#5287=VERTEX_POINT('',#5285); +#5288=CARTESIAN_POINT('',(4.871676684601E0,-1.21E0,-2.642326795287E0)); +#5289=VERTEX_POINT('',#5288); +#5290=CARTESIAN_POINT('',(6.178902246135E0,-1.21E0,-9.643572709480E-2)); +#5291=CARTESIAN_POINT('',(4.700578930736E0,-1.21E0,-2.538762522382E0)); +#5292=VERTEX_POINT('',#5290); +#5293=VERTEX_POINT('',#5291); +#5294=CARTESIAN_POINT('',(4.654132948736E0,-1.21E0,-2.773628190780E0)); +#5295=VERTEX_POINT('',#5294); +#5296=CARTESIAN_POINT('',(4.810275235963E0,-1.21E0,-3.780644680457E0)); +#5297=VERTEX_POINT('',#5296); +#5298=CARTESIAN_POINT('',(-4.951158851058E0,-1.21E0,-2.738609470133E0)); +#5299=CARTESIAN_POINT('',(-5.077612066390E0,-1.21E0,-3.75E0)); +#5300=VERTEX_POINT('',#5298); +#5301=VERTEX_POINT('',#5299); +#5302=CARTESIAN_POINT('',(-4.879157201120E0,-1.21E0,-3.774812626844E0)); +#5303=VERTEX_POINT('',#5302); +#5304=CARTESIAN_POINT('',(-4.752703985789E0,-1.21E0,-2.763422096978E0)); +#5305=VERTEX_POINT('',#5304); +#5306=CARTESIAN_POINT('',(-4.806044632274E0,-1.21E0,-2.530025681264E0)); +#5307=VERTEX_POINT('',#5306); +#5308=CARTESIAN_POINT('',(-6.382025504151E0,-1.21E0,-9.144324643666E-2)); +#5309=VERTEX_POINT('',#5308); +#5310=CARTESIAN_POINT('',(-4.974019128123E0,-1.21E0,-2.638582434827E0)); +#5311=VERTEX_POINT('',#5310); +#5312=CARTESIAN_POINT('',(6.35E0,7.9E-1,-2.E-1)); +#5313=VERTEX_POINT('',#5312); +#5314=CARTESIAN_POINT('',(6.35E0,7.9E-1,0.E0)); +#5315=VERTEX_POINT('',#5314); +#5316=CARTESIAN_POINT('',(-6.55E0,7.9E-1,0.E0)); +#5317=VERTEX_POINT('',#5316); +#5318=CARTESIAN_POINT('',(-6.55E0,7.9E-1,-2.E-1)); +#5319=VERTEX_POINT('',#5318); +#5320=CARTESIAN_POINT('',(6.35E0,-1.21E0,-2.E-1)); +#5321=VERTEX_POINT('',#5320); +#5322=CARTESIAN_POINT('',(6.35E0,-1.21E0,0.E0)); +#5323=VERTEX_POINT('',#5322); +#5324=CARTESIAN_POINT('',(-6.55E0,-1.21E0,0.E0)); +#5325=VERTEX_POINT('',#5324); +#5326=CARTESIAN_POINT('',(-6.55E0,-1.21E0,-2.E-1)); +#5327=VERTEX_POINT('',#5326); +#5328=CARTESIAN_POINT('',(5.95E0,-1.61E0,-9.9E0)); +#5329=VERTEX_POINT('',#5328); +#5330=CARTESIAN_POINT('',(-5.95E0,-1.61E0,-9.9E0)); +#5331=VERTEX_POINT('',#5330); +#5332=CARTESIAN_POINT('',(5.95E0,-2.81E0,-8.4E0)); +#5333=VERTEX_POINT('',#5332); +#5334=CARTESIAN_POINT('',(-5.95E0,-2.81E0,-8.4E0)); +#5335=VERTEX_POINT('',#5334); +#5336=VERTEX_POINT('',#1235); +#5337=VERTEX_POINT('',#1238); +#5338=CARTESIAN_POINT('',(-7.315E0,-9.65E0,-1.1E1)); +#5339=VERTEX_POINT('',#5338); +#5340=CARTESIAN_POINT('',(-7.315E0,-9.65E0,-1.05E1)); +#5341=VERTEX_POINT('',#5340); +#5342=CARTESIAN_POINT('',(-7.265E0,-9.878571428571E0,-1.05E1)); +#5343=VERTEX_POINT('',#5342); +#5344=VERTEX_POINT('',#1285); +#5345=VERTEX_POINT('',#1287); +#5346=VERTEX_POINT('',#1290); +#5347=CARTESIAN_POINT('',(-5.965E0,-9.65E0,-9.15E0)); +#5348=VERTEX_POINT('',#5347); +#5349=CARTESIAN_POINT('',(-5.465E0,-9.65E0,-9.15E0)); +#5350=VERTEX_POINT('',#5349); +#5351=CARTESIAN_POINT('',(-5.465E0,-9.878571428571E0,-9.2E0)); +#5352=VERTEX_POINT('',#5351); +#5353=VERTEX_POINT('',#1377); +#5354=VERTEX_POINT('',#1379); +#5355=VERTEX_POINT('',#1382); +#5356=CARTESIAN_POINT('',(-4.115E0,-9.65E0,-1.05E1)); +#5357=VERTEX_POINT('',#5356); +#5358=CARTESIAN_POINT('',(-4.115E0,-9.65E0,-1.1E1)); +#5359=VERTEX_POINT('',#5358); +#5360=CARTESIAN_POINT('',(-4.165E0,-9.878571428571E0,-1.1E1)); +#5361=VERTEX_POINT('',#5360); +#5362=VERTEX_POINT('',#1362); +#5363=VERTEX_POINT('',#1364); +#5364=VERTEX_POINT('',#1367); +#5365=CARTESIAN_POINT('',(-5.465E0,-9.65E0,-1.235E1)); +#5366=VERTEX_POINT('',#5365); +#5367=CARTESIAN_POINT('',(-5.965E0,-9.65E0,-1.235E1)); +#5368=VERTEX_POINT('',#5367); +#5369=CARTESIAN_POINT('',(-5.965E0,-9.878571428571E0,-1.23E1)); +#5370=VERTEX_POINT('',#5369); +#5371=VERTEX_POINT('',#1248); +#5372=VERTEX_POINT('',#1773); +#5373=VERTEX_POINT('',#1776); +#5374=CARTESIAN_POINT('',(5.465E0,-9.65E0,-9.15E0)); +#5375=VERTEX_POINT('',#5374); +#5376=CARTESIAN_POINT('',(5.965E0,-9.65E0,-9.15E0)); +#5377=VERTEX_POINT('',#5376); +#5378=CARTESIAN_POINT('',(5.965E0,-9.878571428571E0,-9.2E0)); +#5379=VERTEX_POINT('',#5378); +#5380=VERTEX_POINT('',#2071); +#5381=VERTEX_POINT('',#2073); +#5382=VERTEX_POINT('',#2076); +#5383=CARTESIAN_POINT('',(7.315E0,-9.65E0,-1.05E1)); +#5384=VERTEX_POINT('',#5383); +#5385=CARTESIAN_POINT('',(7.315E0,-9.65E0,-1.1E1)); +#5386=VERTEX_POINT('',#5385); +#5387=CARTESIAN_POINT('',(7.265E0,-9.878571428571E0,-1.1E1)); +#5388=VERTEX_POINT('',#5387); +#5389=VERTEX_POINT('',#2145); +#5390=VERTEX_POINT('',#4493); +#5391=VERTEX_POINT('',#4496); +#5392=CARTESIAN_POINT('',(4.115E0,-9.65E0,-1.1E1)); +#5393=VERTEX_POINT('',#5392); +#5394=CARTESIAN_POINT('',(4.115E0,-9.65E0,-1.05E1)); +#5395=VERTEX_POINT('',#5394); +#5396=CARTESIAN_POINT('',(4.165E0,-9.878571428571E0,-1.05E1)); +#5397=VERTEX_POINT('',#5396); +#5398=VERTEX_POINT('',#1786); +#5399=VERTEX_POINT('',#2132); +#5400=VERTEX_POINT('',#2135); +#5401=CARTESIAN_POINT('',(5.965E0,-9.65E0,-1.235E1)); +#5402=VERTEX_POINT('',#5401); +#5403=CARTESIAN_POINT('',(5.465E0,-9.65E0,-1.235E1)); +#5404=VERTEX_POINT('',#5403); +#5405=CARTESIAN_POINT('',(5.465E0,-9.878571428571E0,-1.23E1)); +#5406=VERTEX_POINT('',#5405); +#5407=VERTEX_POINT('',#4506); +#5408=CARTESIAN_POINT('',(-7.315E0,-6.4E0,-1.05E1)); +#5409=VERTEX_POINT('',#5408); +#5410=CARTESIAN_POINT('',(-4.115E0,-6.4E0,-1.05E1)); +#5411=VERTEX_POINT('',#5410); +#5412=CARTESIAN_POINT('',(-4.115E0,-6.4E0,-1.1E1)); +#5413=VERTEX_POINT('',#5412); +#5414=CARTESIAN_POINT('',(4.115E0,-6.4E0,-1.1E1)); +#5415=VERTEX_POINT('',#5414); +#5416=CARTESIAN_POINT('',(4.115E0,-6.4E0,-1.05E1)); +#5417=VERTEX_POINT('',#5416); +#5418=CARTESIAN_POINT('',(7.315E0,-6.4E0,-1.05E1)); +#5419=VERTEX_POINT('',#5418); +#5420=CARTESIAN_POINT('',(-5.965E0,-6.818693177122E0,-9.2E0)); +#5421=VERTEX_POINT('',#5420); +#5422=CARTESIAN_POINT('',(-7.265E0,-6.4E0,-1.05E1)); +#5423=VERTEX_POINT('',#5422); +#5424=CARTESIAN_POINT('',(-5.965E0,-6.818693177122E0,-1.23E1)); +#5425=VERTEX_POINT('',#5424); +#5426=CARTESIAN_POINT('',(-5.465E0,-6.818693177122E0,-1.23E1)); +#5427=VERTEX_POINT('',#5426); +#5428=CARTESIAN_POINT('',(-4.165E0,-6.4E0,-1.1E1)); +#5429=VERTEX_POINT('',#5428); +#5430=CARTESIAN_POINT('',(-4.165E0,-6.4E0,-1.05E1)); +#5431=VERTEX_POINT('',#5430); +#5432=CARTESIAN_POINT('',(-5.465E0,-6.818693177122E0,-9.2E0)); +#5433=VERTEX_POINT('',#5432); +#5434=CARTESIAN_POINT('',(7.265E0,-6.4E0,-1.05E1)); +#5435=VERTEX_POINT('',#5434); +#5436=CARTESIAN_POINT('',(5.965E0,-6.818693177122E0,-9.2E0)); +#5437=VERTEX_POINT('',#5436); +#5438=CARTESIAN_POINT('',(5.465E0,-6.818693177122E0,-9.2E0)); +#5439=VERTEX_POINT('',#5438); +#5440=CARTESIAN_POINT('',(4.165E0,-6.4E0,-1.05E1)); +#5441=VERTEX_POINT('',#5440); +#5442=CARTESIAN_POINT('',(4.165E0,-6.4E0,-1.1E1)); +#5443=VERTEX_POINT('',#5442); +#5444=CARTESIAN_POINT('',(5.465E0,-6.818693177122E0,-1.23E1)); +#5445=VERTEX_POINT('',#5444); +#5446=CARTESIAN_POINT('',(5.965E0,-6.818693177122E0,-1.23E1)); +#5447=VERTEX_POINT('',#5446); +#5448=CARTESIAN_POINT('',(5.29E0,-6.475E0,-5.35E0)); +#5449=CARTESIAN_POINT('',(6.14E0,-6.475E0,-5.35E0)); +#5450=VERTEX_POINT('',#5448); +#5451=VERTEX_POINT('',#5449); +#5452=CARTESIAN_POINT('',(5.29E0,-6.475E0,-1.43E1)); +#5453=CARTESIAN_POINT('',(6.14E0,-6.475E0,-1.43E1)); +#5454=VERTEX_POINT('',#5452); +#5455=VERTEX_POINT('',#5453); +#5456=CARTESIAN_POINT('',(5.465E0,-6.818693177122E0,-9.15E0)); +#5457=CARTESIAN_POINT('',(5.965E0,-6.818693177122E0,-9.15E0)); +#5458=VERTEX_POINT('',#5456); +#5459=VERTEX_POINT('',#5457); +#5460=CARTESIAN_POINT('',(5.465E0,-6.818693177122E0,-1.235E1)); +#5461=CARTESIAN_POINT('',(5.965E0,-6.818693177122E0,-1.235E1)); +#5462=VERTEX_POINT('',#5460); +#5463=VERTEX_POINT('',#5461); +#5464=CARTESIAN_POINT('',(7.7E0,-5.5E0,-1.545E1)); +#5465=CARTESIAN_POINT('',(7.7E0,-5.5E0,-1.66E1)); +#5466=VERTEX_POINT('',#5464); +#5467=VERTEX_POINT('',#5465); +#5468=CARTESIAN_POINT('',(7.375E0,-5.5E0,-1.66E1)); +#5469=VERTEX_POINT('',#5468); +#5470=CARTESIAN_POINT('',(7.375E0,-5.5E0,-2.1E1)); +#5471=VERTEX_POINT('',#5470); +#5472=CARTESIAN_POINT('',(-7.375E0,-5.5E0,-2.1E1)); +#5473=VERTEX_POINT('',#5472); +#5474=CARTESIAN_POINT('',(-7.375E0,-5.5E0,-1.66E1)); +#5475=VERTEX_POINT('',#5474); +#5476=CARTESIAN_POINT('',(-7.7E0,-5.5E0,-1.66E1)); +#5477=VERTEX_POINT('',#5476); +#5478=CARTESIAN_POINT('',(-7.7E0,-5.5E0,-1.545E1)); +#5479=VERTEX_POINT('',#5478); +#5480=CARTESIAN_POINT('',(7.7E0,-6.4E0,-1.545E1)); +#5481=VERTEX_POINT('',#5480); +#5482=CARTESIAN_POINT('',(7.7E0,-6.4E0,-1.66E1)); +#5483=VERTEX_POINT('',#5482); +#5484=CARTESIAN_POINT('',(7.375E0,-6.4E0,-1.66E1)); +#5485=VERTEX_POINT('',#5484); +#5486=CARTESIAN_POINT('',(7.375E0,-6.4E0,-2.1E1)); +#5487=VERTEX_POINT('',#5486); +#5488=CARTESIAN_POINT('',(-7.375E0,-6.4E0,-2.1E1)); +#5489=VERTEX_POINT('',#5488); +#5490=CARTESIAN_POINT('',(-7.375E0,-6.4E0,-1.66E1)); +#5491=VERTEX_POINT('',#5490); +#5492=CARTESIAN_POINT('',(-7.7E0,-6.4E0,-1.66E1)); +#5493=VERTEX_POINT('',#5492); +#5494=CARTESIAN_POINT('',(-7.7E0,-6.4E0,-1.545E1)); +#5495=VERTEX_POINT('',#5494); +#5496=CARTESIAN_POINT('',(7.E0,-6.4E0,-2.7E0)); +#5497=CARTESIAN_POINT('',(-7.E0,-6.4E0,-2.7E0)); +#5498=VERTEX_POINT('',#5496); +#5499=VERTEX_POINT('',#5497); +#5500=CARTESIAN_POINT('',(-7.E0,-6.75E0,-2.7E0)); +#5501=CARTESIAN_POINT('',(7.E0,-6.75E0,-2.7E0)); +#5502=VERTEX_POINT('',#5500); +#5503=VERTEX_POINT('',#5501); +#5504=CARTESIAN_POINT('',(-7.75E0,-6.55E0,0.E0)); +#5505=CARTESIAN_POINT('',(-7.95E0,-6.55E0,-2.E-1)); +#5506=VERTEX_POINT('',#5504); +#5507=VERTEX_POINT('',#5505); +#5508=CARTESIAN_POINT('',(7.95E0,-6.55E0,-2.E-1)); +#5509=CARTESIAN_POINT('',(7.75E0,-6.55E0,0.E0)); +#5510=VERTEX_POINT('',#5508); +#5511=VERTEX_POINT('',#5509); +#5512=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-2.E-1)); +#5513=CARTESIAN_POINT('',(-7.E0,-6.4E0,-2.E-1)); +#5514=VERTEX_POINT('',#5512); +#5515=VERTEX_POINT('',#5513); +#5516=CARTESIAN_POINT('',(7.E0,-6.4E0,-2.E-1)); +#5517=CARTESIAN_POINT('',(7.75E0,-6.4E0,-2.E-1)); +#5518=VERTEX_POINT('',#5516); +#5519=VERTEX_POINT('',#5517); +#5520=CARTESIAN_POINT('',(7.E0,-6.55E0,-2.E-1)); +#5521=VERTEX_POINT('',#5520); +#5522=CARTESIAN_POINT('',(-7.E0,-6.55E0,-2.E-1)); +#5523=VERTEX_POINT('',#5522); +#5524=CARTESIAN_POINT('',(-7.75E0,-6.55E0,-2.E-1)); +#5525=VERTEX_POINT('',#5524); +#5526=CARTESIAN_POINT('',(7.75E0,-6.55E0,-2.E-1)); +#5527=VERTEX_POINT('',#5526); +#5528=CARTESIAN_POINT('',(-6.14E0,-6.475E0,-5.35E0)); +#5529=CARTESIAN_POINT('',(-5.29E0,-6.475E0,-5.35E0)); +#5530=VERTEX_POINT('',#5528); +#5531=VERTEX_POINT('',#5529); +#5532=CARTESIAN_POINT('',(-6.14E0,-6.475E0,-1.43E1)); +#5533=CARTESIAN_POINT('',(-5.29E0,-6.475E0,-1.43E1)); +#5534=VERTEX_POINT('',#5532); +#5535=VERTEX_POINT('',#5533); +#5536=CARTESIAN_POINT('',(-5.965E0,-6.818693177122E0,-1.235E1)); +#5537=CARTESIAN_POINT('',(-5.465E0,-6.818693177122E0,-1.235E1)); +#5538=VERTEX_POINT('',#5536); +#5539=VERTEX_POINT('',#5537); +#5540=CARTESIAN_POINT('',(-5.965E0,-6.818693177122E0,-9.15E0)); +#5541=CARTESIAN_POINT('',(-5.465E0,-6.818693177122E0,-9.15E0)); +#5542=VERTEX_POINT('',#5540); +#5543=VERTEX_POINT('',#5541); +#5544=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-5.35E0)); +#5545=CARTESIAN_POINT('',(-5.29E0,-6.4E0,-5.35E0)); +#5546=VERTEX_POINT('',#5544); +#5547=VERTEX_POINT('',#5545); +#5548=CARTESIAN_POINT('',(5.29E0,-6.4E0,-5.35E0)); +#5549=CARTESIAN_POINT('',(6.14E0,-6.4E0,-5.35E0)); +#5550=VERTEX_POINT('',#5548); +#5551=VERTEX_POINT('',#5549); +#5552=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-1.43E1)); +#5553=CARTESIAN_POINT('',(-5.29E0,-6.4E0,-1.43E1)); +#5554=VERTEX_POINT('',#5552); +#5555=VERTEX_POINT('',#5553); +#5556=CARTESIAN_POINT('',(5.29E0,-6.4E0,-1.43E1)); +#5557=CARTESIAN_POINT('',(6.14E0,-6.4E0,-1.43E1)); +#5558=VERTEX_POINT('',#5556); +#5559=VERTEX_POINT('',#5557); +#5560=CARTESIAN_POINT('',(7.95E0,-6.55E0,-1.545E1)); +#5561=CARTESIAN_POINT('',(7.95E0,-5.5E0,-1.545E1)); +#5562=VERTEX_POINT('',#5560); +#5563=VERTEX_POINT('',#5561); +#5564=CARTESIAN_POINT('',(-7.95E0,-6.55E0,-1.545E1)); +#5565=CARTESIAN_POINT('',(-7.95E0,-5.5E0,-1.545E1)); +#5566=VERTEX_POINT('',#5564); +#5567=VERTEX_POINT('',#5565); +#5568=CARTESIAN_POINT('',(7.95E0,-8.15E0,-1.445E1)); +#5569=CARTESIAN_POINT('',(7.95E0,-6.55E0,-1.445E1)); +#5570=VERTEX_POINT('',#5568); +#5571=VERTEX_POINT('',#5569); +#5572=CARTESIAN_POINT('',(7.95E0,-8.15E0,-1.315E1)); +#5573=VERTEX_POINT('',#5572); +#5574=CARTESIAN_POINT('',(7.75E0,-8.15E0,-1.445E1)); +#5575=CARTESIAN_POINT('',(7.75E0,-6.55E0,-1.445E1)); +#5576=VERTEX_POINT('',#5574); +#5577=VERTEX_POINT('',#5575); +#5578=CARTESIAN_POINT('',(7.75E0,-8.15E0,-1.315E1)); +#5579=VERTEX_POINT('',#5578); +#5580=CARTESIAN_POINT('',(7.95E0,-5.55E0,-1.418729833462E1)); +#5581=CARTESIAN_POINT('',(7.95E0,-5.55E0,-1.341270166539E1)); +#5582=VERTEX_POINT('',#5580); +#5583=VERTEX_POINT('',#5581); +#5584=CARTESIAN_POINT('',(7.95E0,-7.35E0,-1.341270166538E1)); +#5585=VERTEX_POINT('',#5584); +#5586=CARTESIAN_POINT('',(7.95E0,-7.35E0,-1.418729833461E1)); +#5587=VERTEX_POINT('',#5586); +#5588=CARTESIAN_POINT('',(7.75E0,-4.45E0,-1.915E1)); +#5589=CARTESIAN_POINT('',(7.75E0,-4.45E0,-2.035E1)); +#5590=VERTEX_POINT('',#5588); +#5591=VERTEX_POINT('',#5589); +#5592=CARTESIAN_POINT('',(7.75E0,-3.25E0,-2.035E1)); +#5593=VERTEX_POINT('',#5592); +#5594=CARTESIAN_POINT('',(7.75E0,-3.25E0,-1.915E1)); +#5595=VERTEX_POINT('',#5594); +#5596=CARTESIAN_POINT('',(7.95E0,-4.45E0,-1.915E1)); +#5597=CARTESIAN_POINT('',(7.95E0,-4.45E0,-2.035E1)); +#5598=VERTEX_POINT('',#5596); +#5599=VERTEX_POINT('',#5597); +#5600=CARTESIAN_POINT('',(7.95E0,-3.25E0,-2.035E1)); +#5601=VERTEX_POINT('',#5600); +#5602=CARTESIAN_POINT('',(7.95E0,-3.25E0,-1.915E1)); +#5603=VERTEX_POINT('',#5602); +#5604=CARTESIAN_POINT('',(7.75E0,-3.35E0,-1.915E1)); +#5605=CARTESIAN_POINT('',(7.75E0,-3.35E0,-2.011E1)); +#5606=VERTEX_POINT('',#5604); +#5607=VERTEX_POINT('',#5605); +#5608=CARTESIAN_POINT('',(7.95E0,-3.35E0,-1.915E1)); +#5609=VERTEX_POINT('',#5608); +#5610=CARTESIAN_POINT('',(7.95E0,-4.35E0,-1.915E1)); +#5611=VERTEX_POINT('',#5610); +#5612=CARTESIAN_POINT('',(7.75E0,-4.35E0,-2.011E1)); +#5613=VERTEX_POINT('',#5612); +#5614=CARTESIAN_POINT('',(7.75E0,-4.35E0,-1.915E1)); +#5615=VERTEX_POINT('',#5614); +#5616=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.545E1)); +#5617=VERTEX_POINT('',#5616); +#5618=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.545E1)); +#5619=VERTEX_POINT('',#5618); +#5620=CARTESIAN_POINT('',(7.75E0,-6.55E0,-1.545E1)); +#5621=VERTEX_POINT('',#5620); +#5622=CARTESIAN_POINT('',(-7.75E0,-6.55E0,-1.545E1)); +#5623=VERTEX_POINT('',#5622); +#5624=CARTESIAN_POINT('',(-7.315E0,-6.4E0,-1.1E1)); +#5625=VERTEX_POINT('',#5624); +#5626=CARTESIAN_POINT('',(7.315E0,-6.4E0,-1.1E1)); +#5627=VERTEX_POINT('',#5626); +#5628=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-9.851440711040E0)); +#5629=VERTEX_POINT('',#5628); +#5630=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-1.164855928896E1)); +#5631=CARTESIAN_POINT('',(-7.265E0,-6.4E0,-1.1E1)); +#5632=VERTEX_POINT('',#5630); +#5633=VERTEX_POINT('',#5631); +#5634=CARTESIAN_POINT('',(-5.29E0,-6.4E0,-1.164855928896E1)); +#5635=VERTEX_POINT('',#5634); +#5636=CARTESIAN_POINT('',(-5.29E0,-6.4E0,-9.851440711040E0)); +#5637=VERTEX_POINT('',#5636); +#5638=CARTESIAN_POINT('',(6.14E0,-6.4E0,-9.851440711040E0)); +#5639=VERTEX_POINT('',#5638); +#5640=CARTESIAN_POINT('',(5.29E0,-6.4E0,-9.851440711040E0)); +#5641=VERTEX_POINT('',#5640); +#5642=CARTESIAN_POINT('',(5.29E0,-6.4E0,-1.164855928896E1)); +#5643=VERTEX_POINT('',#5642); +#5644=CARTESIAN_POINT('',(7.265E0,-6.4E0,-1.1E1)); +#5645=CARTESIAN_POINT('',(6.14E0,-6.4E0,-1.164855928896E1)); +#5646=VERTEX_POINT('',#5644); +#5647=VERTEX_POINT('',#5645); +#5648=CARTESIAN_POINT('',(-6.14E0,-6.475E0,-9.851440711040E0)); +#5649=VERTEX_POINT('',#5648); +#5650=VERTEX_POINT('',#1598); +#5651=CARTESIAN_POINT('',(-5.29E0,-6.475E0,-1.164855928896E1)); +#5652=VERTEX_POINT('',#5651); +#5653=VERTEX_POINT('',#1533); +#5654=VERTEX_POINT('',#1863); +#5655=CARTESIAN_POINT('',(5.29E0,-6.475E0,-9.851440711040E0)); +#5656=VERTEX_POINT('',#5655); +#5657=VERTEX_POINT('',#1997); +#5658=CARTESIAN_POINT('',(6.14E0,-6.475E0,-1.164855928896E1)); +#5659=VERTEX_POINT('',#5658); +#5660=CARTESIAN_POINT('',(7.95E0,-6.35E0,-1.075E1)); +#5661=CARTESIAN_POINT('',(7.75E0,-6.35E0,-1.075E1)); +#5662=VERTEX_POINT('',#5660); +#5663=VERTEX_POINT('',#5661); +#5664=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.075E1)); +#5665=VERTEX_POINT('',#5664); +#5666=CARTESIAN_POINT('',(7.55E0,-6.55E0,-1.275E1)); +#5667=CARTESIAN_POINT('',(6.85E0,-6.55E0,-1.275E1)); +#5668=VERTEX_POINT('',#5666); +#5669=VERTEX_POINT('',#5667); +#5670=CARTESIAN_POINT('',(6.85E0,-6.75E0,-1.275E1)); +#5671=VERTEX_POINT('',#5670); +#5672=CARTESIAN_POINT('',(7.55E0,-6.75E0,-1.275E1)); +#5673=VERTEX_POINT('',#5672); +#5674=CARTESIAN_POINT('',(7.55E0,-6.75E0,-1.075E1)); +#5675=VERTEX_POINT('',#5674); +#5676=CARTESIAN_POINT('',(7.55E0,-6.55E0,-1.075E1)); +#5677=VERTEX_POINT('',#5676); +#5678=CARTESIAN_POINT('',(7.743649167310E0,-6.4E0,-1.075E1)); +#5679=VERTEX_POINT('',#5678); +#5680=CARTESIAN_POINT('',(7.743649167310E0,-6.4E0,-1.275E1)); +#5681=VERTEX_POINT('',#5680); +#5682=CARTESIAN_POINT('',(7.395E0,-6.75E0,-1.075E1)); +#5683=VERTEX_POINT('',#5682); +#5684=CARTESIAN_POINT('',(6.85E0,-6.75E0,-1.195290273921E1)); +#5685=VERTEX_POINT('',#5684); +#5686=CARTESIAN_POINT('',(7.395E0,-6.55E0,-1.075E1)); +#5687=VERTEX_POINT('',#5686); +#5688=CARTESIAN_POINT('',(6.85E0,-6.55E0,-1.195290273921E1)); +#5689=VERTEX_POINT('',#5688); +#5690=CARTESIAN_POINT('',(7.315E0,-6.75E0,-1.1E1)); +#5691=VERTEX_POINT('',#5690); +#5692=CARTESIAN_POINT('',(7.315E0,-6.55E0,-1.1E1)); +#5693=VERTEX_POINT('',#5692); +#5694=CARTESIAN_POINT('',(7.265E0,-6.75E0,-1.1E1)); +#5695=VERTEX_POINT('',#5694); +#5696=CARTESIAN_POINT('',(7.265E0,-6.55E0,-1.1E1)); +#5697=VERTEX_POINT('',#5696); +#5698=CARTESIAN_POINT('',(7.315E0,-6.75E0,-1.100096189432E1)); +#5699=CARTESIAN_POINT('',(7.315E0,-6.55E0,-1.100096189432E1)); +#5700=VERTEX_POINT('',#5698); +#5701=VERTEX_POINT('',#5699); +#5702=CARTESIAN_POINT('',(-7.95E0,-8.15E0,-1.445E1)); +#5703=CARTESIAN_POINT('',(-7.95E0,-6.55E0,-1.445E1)); +#5704=VERTEX_POINT('',#5702); +#5705=VERTEX_POINT('',#5703); +#5706=CARTESIAN_POINT('',(-7.95E0,-8.15E0,-1.315E1)); +#5707=VERTEX_POINT('',#5706); +#5708=CARTESIAN_POINT('',(-7.75E0,-8.15E0,-1.445E1)); +#5709=CARTESIAN_POINT('',(-7.75E0,-6.55E0,-1.445E1)); +#5710=VERTEX_POINT('',#5708); +#5711=VERTEX_POINT('',#5709); +#5712=CARTESIAN_POINT('',(-7.75E0,-8.15E0,-1.315E1)); +#5713=VERTEX_POINT('',#5712); +#5714=CARTESIAN_POINT('',(-7.75E0,-4.45E0,-1.915E1)); +#5715=CARTESIAN_POINT('',(-7.75E0,-4.45E0,-2.035E1)); +#5716=VERTEX_POINT('',#5714); +#5717=VERTEX_POINT('',#5715); +#5718=CARTESIAN_POINT('',(-7.75E0,-3.25E0,-2.035E1)); +#5719=VERTEX_POINT('',#5718); +#5720=CARTESIAN_POINT('',(-7.75E0,-3.25E0,-1.915E1)); +#5721=VERTEX_POINT('',#5720); +#5722=CARTESIAN_POINT('',(-7.95E0,-3.25E0,-1.915E1)); +#5723=VERTEX_POINT('',#5722); +#5724=CARTESIAN_POINT('',(-7.95E0,-4.45E0,-1.915E1)); +#5725=VERTEX_POINT('',#5724); +#5726=CARTESIAN_POINT('',(-7.95E0,-4.45E0,-2.035E1)); +#5727=VERTEX_POINT('',#5726); +#5728=CARTESIAN_POINT('',(-7.95E0,-3.25E0,-2.035E1)); +#5729=VERTEX_POINT('',#5728); +#5730=CARTESIAN_POINT('',(-7.95E0,-3.35E0,-1.915E1)); +#5731=CARTESIAN_POINT('',(-7.75E0,-3.35E0,-2.011E1)); +#5732=VERTEX_POINT('',#5730); +#5733=VERTEX_POINT('',#5731); +#5734=CARTESIAN_POINT('',(-7.95E0,-4.35E0,-1.915E1)); +#5735=CARTESIAN_POINT('',(-7.75E0,-4.35E0,-2.011E1)); +#5736=VERTEX_POINT('',#5734); +#5737=VERTEX_POINT('',#5735); +#5738=CARTESIAN_POINT('',(-7.55E0,-6.55E0,-1.275E1)); +#5739=CARTESIAN_POINT('',(-6.85E0,-6.55E0,-1.275E1)); +#5740=VERTEX_POINT('',#5738); +#5741=VERTEX_POINT('',#5739); +#5742=CARTESIAN_POINT('',(-6.85E0,-6.75E0,-1.275E1)); +#5743=VERTEX_POINT('',#5742); +#5744=CARTESIAN_POINT('',(-7.55E0,-6.75E0,-1.275E1)); +#5745=VERTEX_POINT('',#5744); +#5746=CARTESIAN_POINT('',(-7.55E0,-6.75E0,-1.075E1)); +#5747=VERTEX_POINT('',#5746); +#5748=CARTESIAN_POINT('',(-7.55E0,-6.55E0,-1.075E1)); +#5749=VERTEX_POINT('',#5748); +#5750=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-1.075E1)); +#5751=VERTEX_POINT('',#5750); +#5752=CARTESIAN_POINT('',(-7.743649167310E0,-6.4E0,-1.075E1)); +#5753=VERTEX_POINT('',#5752); +#5754=CARTESIAN_POINT('',(-7.743649167310E0,-6.4E0,-1.275E1)); +#5755=VERTEX_POINT('',#5754); +#5756=CARTESIAN_POINT('',(-7.315E0,-6.75E0,-1.100096189432E1)); +#5757=CARTESIAN_POINT('',(-7.315E0,-6.55E0,-1.100096189432E1)); +#5758=VERTEX_POINT('',#5756); +#5759=VERTEX_POINT('',#5757); +#5760=CARTESIAN_POINT('',(-7.75E0,-3.35E0,-1.915E1)); +#5761=VERTEX_POINT('',#5760); +#5762=CARTESIAN_POINT('',(-7.75E0,-4.35E0,-1.915E1)); +#5763=VERTEX_POINT('',#5762); +#5764=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.075E1)); +#5765=VERTEX_POINT('',#5764); +#5766=CARTESIAN_POINT('',(-7.75E0,-6.35E0,-1.075E1)); +#5767=VERTEX_POINT('',#5766); +#5768=CARTESIAN_POINT('',(-7.395E0,-6.75E0,-1.075E1)); +#5769=CARTESIAN_POINT('',(-7.395E0,-6.55E0,-1.075E1)); +#5770=VERTEX_POINT('',#5768); +#5771=VERTEX_POINT('',#5769); +#5772=CARTESIAN_POINT('',(-6.85E0,-6.55E0,-1.195290273921E1)); +#5773=VERTEX_POINT('',#5772); +#5774=CARTESIAN_POINT('',(-6.85E0,-6.75E0,-1.195290273921E1)); +#5775=VERTEX_POINT('',#5774); +#5776=CARTESIAN_POINT('',(-7.315E0,-6.75E0,-1.1E1)); +#5777=VERTEX_POINT('',#5776); +#5778=CARTESIAN_POINT('',(-7.315E0,-6.55E0,-1.1E1)); +#5779=VERTEX_POINT('',#5778); +#5780=CARTESIAN_POINT('',(-7.265E0,-6.75E0,-1.1E1)); +#5781=VERTEX_POINT('',#5780); +#5782=CARTESIAN_POINT('',(-7.265E0,-6.55E0,-1.1E1)); +#5783=VERTEX_POINT('',#5782); +#5784=CARTESIAN_POINT('',(-7.949999999998E0,-5.55E0,-1.418729833462E1)); +#5785=CARTESIAN_POINT('',(-7.95E0,-7.35E0,-1.418729833462E1)); +#5786=VERTEX_POINT('',#5784); +#5787=VERTEX_POINT('',#5785); +#5788=CARTESIAN_POINT('',(-7.95E0,-7.35E0,-1.341270166539E1)); +#5789=VERTEX_POINT('',#5788); +#5790=CARTESIAN_POINT('',(-7.95E0,-5.55E0,-1.341270166538E1)); +#5791=VERTEX_POINT('',#5790); +#5792=CARTESIAN_POINT('',(-2.28E0,-5.9E0,-2.5E-1)); +#5793=CARTESIAN_POINT('',(-2.28E0,-4.75E0,-2.5E-1)); +#5794=VERTEX_POINT('',#5792); +#5795=VERTEX_POINT('',#5793); +#5796=CARTESIAN_POINT('',(-2.03E0,-5.9E0,-5.E-1)); +#5797=CARTESIAN_POINT('',(-2.03E0,-4.5E0,-5.E-1)); +#5798=VERTEX_POINT('',#5796); +#5799=VERTEX_POINT('',#5797); +#5800=CARTESIAN_POINT('',(-3.4E0,-4.75E0,-2.5E-1)); +#5801=VERTEX_POINT('',#5800); +#5802=CARTESIAN_POINT('',(-3.15E0,-4.5E0,-5.E-1)); +#5803=VERTEX_POINT('',#5802); +#5804=CARTESIAN_POINT('',(-3.4E0,-3.06E0,-2.5E-1)); +#5805=VERTEX_POINT('',#5804); +#5806=CARTESIAN_POINT('',(-3.15E0,-2.81E0,-5.E-1)); +#5807=VERTEX_POINT('',#5806); +#5808=CARTESIAN_POINT('',(-6.2E0,-3.06E0,-2.5E-1)); +#5809=VERTEX_POINT('',#5808); +#5810=CARTESIAN_POINT('',(-5.95E0,-2.81E0,-5.E-1)); +#5811=VERTEX_POINT('',#5810); +#5812=CARTESIAN_POINT('',(-5.95E0,-1.545E0,-5.E-1)); +#5813=VERTEX_POINT('',#5812); +#5814=CARTESIAN_POINT('',(-6.2E0,-1.795E0,-2.5E-1)); +#5815=VERTEX_POINT('',#5814); +#5816=CARTESIAN_POINT('',(-6.55E0,-1.545E0,-5.E-1)); +#5817=VERTEX_POINT('',#5816); +#5818=CARTESIAN_POINT('',(-6.55E0,-1.795E0,-2.5E-1)); +#5819=VERTEX_POINT('',#5818); +#5820=CARTESIAN_POINT('',(-6.55E0,-1.545E0,-2.5E-1)); +#5821=VERTEX_POINT('',#5820); +#5822=CARTESIAN_POINT('',(6.35E0,-1.795E0,-2.5E-1)); +#5823=CARTESIAN_POINT('',(6.2E0,-1.795E0,-2.5E-1)); +#5824=VERTEX_POINT('',#5822); +#5825=VERTEX_POINT('',#5823); +#5826=CARTESIAN_POINT('',(6.35E0,-1.545E0,-5.E-1)); +#5827=CARTESIAN_POINT('',(5.95E0,-1.545E0,-5.E-1)); +#5828=VERTEX_POINT('',#5826); +#5829=VERTEX_POINT('',#5827); +#5830=CARTESIAN_POINT('',(6.2E0,-3.06E0,-2.5E-1)); +#5831=VERTEX_POINT('',#5830); +#5832=CARTESIAN_POINT('',(5.95E0,-2.81E0,-5.E-1)); +#5833=VERTEX_POINT('',#5832); +#5834=CARTESIAN_POINT('',(3.15E0,-2.81E0,-5.E-1)); +#5835=VERTEX_POINT('',#5834); +#5836=CARTESIAN_POINT('',(3.4E0,-3.06E0,-2.5E-1)); +#5837=VERTEX_POINT('',#5836); +#5838=CARTESIAN_POINT('',(3.15E0,-4.5E0,-5.E-1)); +#5839=VERTEX_POINT('',#5838); +#5840=CARTESIAN_POINT('',(3.4E0,-4.75E0,-2.5E-1)); +#5841=VERTEX_POINT('',#5840); +#5842=CARTESIAN_POINT('',(2.03E0,-4.5E0,-5.E-1)); +#5843=VERTEX_POINT('',#5842); +#5844=CARTESIAN_POINT('',(2.28E0,-4.75E0,-2.5E-1)); +#5845=VERTEX_POINT('',#5844); +#5846=CARTESIAN_POINT('',(2.03E0,-5.9E0,-5.E-1)); +#5847=VERTEX_POINT('',#5846); +#5848=CARTESIAN_POINT('',(2.28E0,-5.9E0,-2.5E-1)); +#5849=VERTEX_POINT('',#5848); +#5850=CARTESIAN_POINT('',(6.35E0,-1.545E0,-2.5E-1)); +#5851=VERTEX_POINT('',#5850); +#5852=CARTESIAN_POINT('',(-6.55E0,1.505E0,-2.5E-1)); +#5853=CARTESIAN_POINT('',(-6.2E0,1.505E0,-2.5E-1)); +#5854=VERTEX_POINT('',#5852); +#5855=VERTEX_POINT('',#5853); +#5856=CARTESIAN_POINT('',(-6.55E0,1.255E0,-5.E-1)); +#5857=CARTESIAN_POINT('',(-5.95E0,1.255E0,-5.E-1)); +#5858=VERTEX_POINT('',#5856); +#5859=VERTEX_POINT('',#5857); +#5860=CARTESIAN_POINT('',(-6.2E0,4.27E0,-2.5E-1)); +#5861=VERTEX_POINT('',#5860); +#5862=CARTESIAN_POINT('',(-5.95E0,4.02E0,-5.E-1)); +#5863=VERTEX_POINT('',#5862); +#5864=CARTESIAN_POINT('',(6.2E0,4.27E0,-2.5E-1)); +#5865=VERTEX_POINT('',#5864); +#5866=CARTESIAN_POINT('',(5.95E0,4.02E0,-5.E-1)); +#5867=VERTEX_POINT('',#5866); +#5868=CARTESIAN_POINT('',(5.95E0,1.255E0,-5.E-1)); +#5869=VERTEX_POINT('',#5868); +#5870=CARTESIAN_POINT('',(6.2E0,1.505E0,-2.5E-1)); +#5871=VERTEX_POINT('',#5870); +#5872=CARTESIAN_POINT('',(6.35E0,1.255E0,-5.E-1)); +#5873=VERTEX_POINT('',#5872); +#5874=CARTESIAN_POINT('',(6.35E0,1.505E0,-2.5E-1)); +#5875=VERTEX_POINT('',#5874); +#5876=CARTESIAN_POINT('',(6.35E0,1.255E0,-2.5E-1)); +#5877=VERTEX_POINT('',#5876); +#5878=CARTESIAN_POINT('',(-6.55E0,1.255E0,-2.5E-1)); +#5879=VERTEX_POINT('',#5878); +#5880=CARTESIAN_POINT('',(7.75E0,-5.5E0,-2.135E1)); +#5881=CARTESIAN_POINT('',(7.95E0,-5.5E0,-2.115E1)); +#5882=VERTEX_POINT('',#5880); +#5883=VERTEX_POINT('',#5881); +#5884=CARTESIAN_POINT('',(7.95E0,6.55E0,-2.115E1)); +#5885=VERTEX_POINT('',#5884); +#5886=CARTESIAN_POINT('',(7.75E0,6.55E0,-2.135E1)); +#5887=VERTEX_POINT('',#5886); +#5888=CARTESIAN_POINT('',(7.75E0,6.75E0,-2.E-1)); +#5889=CARTESIAN_POINT('',(-7.75E0,6.75E0,-2.E-1)); +#5890=VERTEX_POINT('',#5888); +#5891=VERTEX_POINT('',#5889); +#5892=CARTESIAN_POINT('',(7.75E0,6.55E0,0.E0)); +#5893=CARTESIAN_POINT('',(-7.75E0,6.55E0,0.E0)); +#5894=VERTEX_POINT('',#5892); +#5895=VERTEX_POINT('',#5893); +#5896=CARTESIAN_POINT('',(-7.75E0,6.75E0,-2.115E1)); +#5897=VERTEX_POINT('',#5896); +#5898=CARTESIAN_POINT('',(-7.95E0,6.55E0,-2.E-1)); +#5899=CARTESIAN_POINT('',(-7.95E0,6.55E0,-2.115E1)); +#5900=VERTEX_POINT('',#5898); +#5901=VERTEX_POINT('',#5899); +#5902=CARTESIAN_POINT('',(-7.95E0,-5.5E0,-2.115E1)); +#5903=CARTESIAN_POINT('',(-7.75E0,-5.5E0,-2.135E1)); +#5904=VERTEX_POINT('',#5902); +#5905=VERTEX_POINT('',#5903); +#5906=CARTESIAN_POINT('',(-7.75E0,6.55E0,-2.135E1)); +#5907=VERTEX_POINT('',#5906); +#5908=CARTESIAN_POINT('',(7.75E0,6.75E0,-2.115E1)); +#5909=VERTEX_POINT('',#5908); +#5910=CARTESIAN_POINT('',(7.95E0,6.55E0,-2.E-1)); +#5911=VERTEX_POINT('',#5910); +#5912=CARTESIAN_POINT('',(5.946840728931E0,-8.799E0,-1.7285E1)); +#5913=CARTESIAN_POINT('',(5.946840728931E0,-8.799E0,-1.6935E1)); +#5914=VERTEX_POINT('',#5912); +#5915=VERTEX_POINT('',#5913); +#5916=CARTESIAN_POINT('',(5.486840728931E0,-8.799E0,-1.6935E1)); +#5917=VERTEX_POINT('',#5916); +#5918=CARTESIAN_POINT('',(5.486840728931E0,-8.799E0,-1.7285E1)); +#5919=VERTEX_POINT('',#5918); +#5920=CARTESIAN_POINT('',(5.891527302656E0,-8.799E0,-1.435E1)); +#5921=CARTESIAN_POINT('',(5.541527302656E0,-8.799E0,-1.435E1)); +#5922=VERTEX_POINT('',#5920); +#5923=VERTEX_POINT('',#5921); +#5924=CARTESIAN_POINT('',(5.541527302656E0,-8.799E0,-1.481E1)); +#5925=VERTEX_POINT('',#5924); +#5926=CARTESIAN_POINT('',(5.891527302656E0,-8.799E0,-1.481E1)); +#5927=VERTEX_POINT('',#5926); +#5928=CARTESIAN_POINT('',(3.351527302656E0,-8.799E0,-1.308E1)); +#5929=CARTESIAN_POINT('',(3.001527302656E0,-8.799E0,-1.308E1)); +#5930=VERTEX_POINT('',#5928); +#5931=VERTEX_POINT('',#5929); +#5932=CARTESIAN_POINT('',(3.001527302656E0,-8.799E0,-1.354E1)); +#5933=VERTEX_POINT('',#5932); +#5934=CARTESIAN_POINT('',(3.351527302656E0,-8.799E0,-1.354E1)); +#5935=VERTEX_POINT('',#5934); +#5936=CARTESIAN_POINT('',(3.406528581622E0,-8.799E0,-1.7285E1)); +#5937=CARTESIAN_POINT('',(3.406528581622E0,-8.799E0,-1.6935E1)); +#5938=VERTEX_POINT('',#5936); +#5939=VERTEX_POINT('',#5937); +#5940=CARTESIAN_POINT('',(2.946528581622E0,-8.799E0,-1.6935E1)); +#5941=VERTEX_POINT('',#5940); +#5942=CARTESIAN_POINT('',(2.946528581622E0,-8.799E0,-1.7285E1)); +#5943=VERTEX_POINT('',#5942); +#5944=CARTESIAN_POINT('',(4.676528581620E0,-8.799E0,-1.9825E1)); +#5945=CARTESIAN_POINT('',(4.676528581620E0,-8.799E0,-1.9475E1)); +#5946=VERTEX_POINT('',#5944); +#5947=VERTEX_POINT('',#5945); +#5948=CARTESIAN_POINT('',(4.216528581620E0,-8.799E0,-1.9475E1)); +#5949=VERTEX_POINT('',#5948); +#5950=CARTESIAN_POINT('',(4.216528581620E0,-8.799E0,-1.9825E1)); +#5951=VERTEX_POINT('',#5950); +#5952=CARTESIAN_POINT('',(2.136528581620E0,-8.799E0,-1.9825E1)); +#5953=CARTESIAN_POINT('',(2.136528581620E0,-8.799E0,-1.9475E1)); +#5954=VERTEX_POINT('',#5952); +#5955=VERTEX_POINT('',#5953); +#5956=CARTESIAN_POINT('',(1.676528581620E0,-8.799E0,-1.9475E1)); +#5957=VERTEX_POINT('',#5956); +#5958=CARTESIAN_POINT('',(1.676528581620E0,-8.799E0,-1.9825E1)); +#5959=VERTEX_POINT('',#5958); +#5960=CARTESIAN_POINT('',(8.665285816220E-1,-8.799E0,-1.7285E1)); +#5961=CARTESIAN_POINT('',(8.665285816220E-1,-8.799E0,-1.6935E1)); +#5962=VERTEX_POINT('',#5960); +#5963=VERTEX_POINT('',#5961); +#5964=CARTESIAN_POINT('',(4.065285816220E-1,-8.799E0,-1.6935E1)); +#5965=VERTEX_POINT('',#5964); +#5966=CARTESIAN_POINT('',(4.065285816220E-1,-8.799E0,-1.7285E1)); +#5967=VERTEX_POINT('',#5966); +#5968=CARTESIAN_POINT('',(6.89E0,-8.799E0,-6.435E0)); +#5969=CARTESIAN_POINT('',(6.39E0,-8.799E0,-6.435E0)); +#5970=VERTEX_POINT('',#5968); +#5971=VERTEX_POINT('',#5969); +#5972=CARTESIAN_POINT('',(6.39E0,-8.799E0,-6.935E0)); +#5973=VERTEX_POINT('',#5972); +#5974=CARTESIAN_POINT('',(6.89E0,-8.799E0,-6.935E0)); +#5975=VERTEX_POINT('',#5974); +#5976=CARTESIAN_POINT('',(4.35E0,-8.799E0,-6.435E0)); +#5977=CARTESIAN_POINT('',(3.85E0,-8.799E0,-6.435E0)); +#5978=VERTEX_POINT('',#5976); +#5979=VERTEX_POINT('',#5977); +#5980=CARTESIAN_POINT('',(3.85E0,-8.799E0,-6.935E0)); +#5981=VERTEX_POINT('',#5980); +#5982=CARTESIAN_POINT('',(4.35E0,-8.799E0,-6.935E0)); +#5983=VERTEX_POINT('',#5982); +#5984=CARTESIAN_POINT('',(-6.89E0,-8.799E0,-6.435E0)); +#5985=CARTESIAN_POINT('',(-6.89E0,-8.799E0,-6.935E0)); +#5986=VERTEX_POINT('',#5984); +#5987=VERTEX_POINT('',#5985); +#5988=CARTESIAN_POINT('',(-6.39E0,-8.799E0,-6.935E0)); +#5989=VERTEX_POINT('',#5988); +#5990=CARTESIAN_POINT('',(-6.39E0,-8.799E0,-6.435E0)); +#5991=VERTEX_POINT('',#5990); +#5992=CARTESIAN_POINT('',(-4.35E0,-8.799E0,-6.435E0)); +#5993=CARTESIAN_POINT('',(-4.35E0,-8.799E0,-6.935E0)); +#5994=VERTEX_POINT('',#5992); +#5995=VERTEX_POINT('',#5993); +#5996=CARTESIAN_POINT('',(-3.85E0,-8.799E0,-6.935E0)); +#5997=VERTEX_POINT('',#5996); +#5998=CARTESIAN_POINT('',(-3.85E0,-8.799E0,-6.435E0)); +#5999=VERTEX_POINT('',#5998); +#6000=CARTESIAN_POINT('',(-4.034714183780E-1,-8.799E0,-1.9825E1)); +#6001=CARTESIAN_POINT('',(-4.034714183780E-1,-8.799E0,-1.9475E1)); +#6002=VERTEX_POINT('',#6000); +#6003=VERTEX_POINT('',#6001); +#6004=CARTESIAN_POINT('',(-8.634714183780E-1,-8.799E0,-1.9475E1)); +#6005=VERTEX_POINT('',#6004); +#6006=CARTESIAN_POINT('',(-8.634714183780E-1,-8.799E0,-1.9825E1)); +#6007=VERTEX_POINT('',#6006); +#6008=CARTESIAN_POINT('',(5.946840728931E0,-6.4E0,-1.7285E1)); +#6009=CARTESIAN_POINT('',(5.946840728931E0,-6.4E0,-1.6935E1)); +#6010=VERTEX_POINT('',#6008); +#6011=VERTEX_POINT('',#6009); +#6012=CARTESIAN_POINT('',(5.486840728931E0,-6.4E0,-1.6935E1)); +#6013=VERTEX_POINT('',#6012); +#6014=CARTESIAN_POINT('',(5.486840728931E0,-6.4E0,-1.7285E1)); +#6015=VERTEX_POINT('',#6014); +#6016=CARTESIAN_POINT('',(5.891527302656E0,-6.4E0,-1.435E1)); +#6017=CARTESIAN_POINT('',(5.541527302656E0,-6.4E0,-1.435E1)); +#6018=VERTEX_POINT('',#6016); +#6019=VERTEX_POINT('',#6017); +#6020=CARTESIAN_POINT('',(5.541527302656E0,-6.4E0,-1.481E1)); +#6021=VERTEX_POINT('',#6020); +#6022=CARTESIAN_POINT('',(5.891527302656E0,-6.4E0,-1.481E1)); +#6023=VERTEX_POINT('',#6022); +#6024=CARTESIAN_POINT('',(3.351527302656E0,-6.4E0,-1.308E1)); +#6025=CARTESIAN_POINT('',(3.001527302656E0,-6.4E0,-1.308E1)); +#6026=VERTEX_POINT('',#6024); +#6027=VERTEX_POINT('',#6025); +#6028=CARTESIAN_POINT('',(3.001527302656E0,-6.4E0,-1.354E1)); +#6029=VERTEX_POINT('',#6028); +#6030=CARTESIAN_POINT('',(3.351527302656E0,-6.4E0,-1.354E1)); +#6031=VERTEX_POINT('',#6030); +#6032=CARTESIAN_POINT('',(3.406528581622E0,-6.4E0,-1.7285E1)); +#6033=CARTESIAN_POINT('',(3.406528581622E0,-6.4E0,-1.6935E1)); +#6034=VERTEX_POINT('',#6032); +#6035=VERTEX_POINT('',#6033); +#6036=CARTESIAN_POINT('',(2.946528581622E0,-6.4E0,-1.6935E1)); +#6037=VERTEX_POINT('',#6036); +#6038=CARTESIAN_POINT('',(2.946528581622E0,-6.4E0,-1.7285E1)); +#6039=VERTEX_POINT('',#6038); +#6040=CARTESIAN_POINT('',(4.676528581620E0,-6.4E0,-1.9825E1)); +#6041=CARTESIAN_POINT('',(4.676528581620E0,-6.4E0,-1.9475E1)); +#6042=VERTEX_POINT('',#6040); +#6043=VERTEX_POINT('',#6041); +#6044=CARTESIAN_POINT('',(4.216528581620E0,-6.4E0,-1.9475E1)); +#6045=VERTEX_POINT('',#6044); +#6046=CARTESIAN_POINT('',(4.216528581620E0,-6.4E0,-1.9825E1)); +#6047=VERTEX_POINT('',#6046); +#6048=CARTESIAN_POINT('',(2.136528581620E0,-6.4E0,-1.9825E1)); +#6049=CARTESIAN_POINT('',(2.136528581620E0,-6.4E0,-1.9475E1)); +#6050=VERTEX_POINT('',#6048); +#6051=VERTEX_POINT('',#6049); +#6052=CARTESIAN_POINT('',(1.676528581620E0,-6.4E0,-1.9475E1)); +#6053=VERTEX_POINT('',#6052); +#6054=CARTESIAN_POINT('',(1.676528581620E0,-6.4E0,-1.9825E1)); +#6055=VERTEX_POINT('',#6054); +#6056=CARTESIAN_POINT('',(8.665285816220E-1,-6.4E0,-1.7285E1)); +#6057=CARTESIAN_POINT('',(8.665285816220E-1,-6.4E0,-1.6935E1)); +#6058=VERTEX_POINT('',#6056); +#6059=VERTEX_POINT('',#6057); +#6060=CARTESIAN_POINT('',(4.065285816220E-1,-6.4E0,-1.6935E1)); +#6061=VERTEX_POINT('',#6060); +#6062=CARTESIAN_POINT('',(4.065285816220E-1,-6.4E0,-1.7285E1)); +#6063=VERTEX_POINT('',#6062); +#6064=CARTESIAN_POINT('',(6.89E0,-6.4E0,-6.435E0)); +#6065=CARTESIAN_POINT('',(6.39E0,-6.4E0,-6.435E0)); +#6066=VERTEX_POINT('',#6064); +#6067=VERTEX_POINT('',#6065); +#6068=CARTESIAN_POINT('',(6.39E0,-6.4E0,-6.935E0)); +#6069=VERTEX_POINT('',#6068); +#6070=CARTESIAN_POINT('',(6.89E0,-6.4E0,-6.935E0)); +#6071=VERTEX_POINT('',#6070); +#6072=CARTESIAN_POINT('',(4.35E0,-6.4E0,-6.435E0)); +#6073=CARTESIAN_POINT('',(3.85E0,-6.4E0,-6.435E0)); +#6074=VERTEX_POINT('',#6072); +#6075=VERTEX_POINT('',#6073); +#6076=CARTESIAN_POINT('',(3.85E0,-6.4E0,-6.935E0)); +#6077=VERTEX_POINT('',#6076); +#6078=CARTESIAN_POINT('',(4.35E0,-6.4E0,-6.935E0)); +#6079=VERTEX_POINT('',#6078); +#6080=CARTESIAN_POINT('',(-6.89E0,-6.4E0,-6.435E0)); +#6081=CARTESIAN_POINT('',(-6.89E0,-6.4E0,-6.935E0)); +#6082=VERTEX_POINT('',#6080); +#6083=VERTEX_POINT('',#6081); +#6084=CARTESIAN_POINT('',(-6.39E0,-6.4E0,-6.935E0)); +#6085=VERTEX_POINT('',#6084); +#6086=CARTESIAN_POINT('',(-6.39E0,-6.4E0,-6.435E0)); +#6087=VERTEX_POINT('',#6086); +#6088=CARTESIAN_POINT('',(-4.35E0,-6.4E0,-6.435E0)); +#6089=CARTESIAN_POINT('',(-4.35E0,-6.4E0,-6.935E0)); +#6090=VERTEX_POINT('',#6088); +#6091=VERTEX_POINT('',#6089); +#6092=CARTESIAN_POINT('',(-3.85E0,-6.4E0,-6.935E0)); +#6093=VERTEX_POINT('',#6092); +#6094=CARTESIAN_POINT('',(-3.85E0,-6.4E0,-6.435E0)); +#6095=VERTEX_POINT('',#6094); +#6096=CARTESIAN_POINT('',(-4.034714183780E-1,-6.4E0,-1.9825E1)); +#6097=CARTESIAN_POINT('',(-4.034714183780E-1,-6.4E0,-1.9475E1)); +#6098=VERTEX_POINT('',#6096); +#6099=VERTEX_POINT('',#6097); +#6100=CARTESIAN_POINT('',(-8.634714183780E-1,-6.4E0,-1.9475E1)); +#6101=VERTEX_POINT('',#6100); +#6102=CARTESIAN_POINT('',(-8.634714183780E-1,-6.4E0,-1.9825E1)); +#6103=VERTEX_POINT('',#6102); +#6104=CARTESIAN_POINT('',(-4.673471418378E0,-8.799E0,-1.7285E1)); +#6105=CARTESIAN_POINT('',(-4.213471418378E0,-8.799E0,-1.7285E1)); +#6106=VERTEX_POINT('',#6104); +#6107=VERTEX_POINT('',#6105); +#6108=CARTESIAN_POINT('',(-4.213471418378E0,-8.799E0,-1.6935E1)); +#6109=VERTEX_POINT('',#6108); +#6110=CARTESIAN_POINT('',(-4.673471418378E0,-8.799E0,-1.6935E1)); +#6111=VERTEX_POINT('',#6110); +#6112=CARTESIAN_POINT('',(-5.943471418376E0,-8.799E0,-1.9825E1)); +#6113=CARTESIAN_POINT('',(-5.483471418376E0,-8.799E0,-1.9825E1)); +#6114=VERTEX_POINT('',#6112); +#6115=VERTEX_POINT('',#6113); +#6116=CARTESIAN_POINT('',(-5.483471418376E0,-8.799E0,-1.9475E1)); +#6117=VERTEX_POINT('',#6116); +#6118=CARTESIAN_POINT('',(-5.943471418376E0,-8.799E0,-1.9475E1)); +#6119=VERTEX_POINT('',#6118); +#6120=CARTESIAN_POINT('',(-3.403471418376E0,-8.799E0,-1.9825E1)); +#6121=CARTESIAN_POINT('',(-2.943471418376E0,-8.799E0,-1.9825E1)); +#6122=VERTEX_POINT('',#6120); +#6123=VERTEX_POINT('',#6121); +#6124=CARTESIAN_POINT('',(-2.943471418376E0,-8.799E0,-1.9475E1)); +#6125=VERTEX_POINT('',#6124); +#6126=CARTESIAN_POINT('',(-3.403471418376E0,-8.799E0,-1.9475E1)); +#6127=VERTEX_POINT('',#6126); +#6128=CARTESIAN_POINT('',(-2.133471418378E0,-8.799E0,-1.7285E1)); +#6129=CARTESIAN_POINT('',(-1.673471418378E0,-8.799E0,-1.7285E1)); +#6130=VERTEX_POINT('',#6128); +#6131=VERTEX_POINT('',#6129); +#6132=CARTESIAN_POINT('',(-1.673471418378E0,-8.799E0,-1.6935E1)); +#6133=VERTEX_POINT('',#6132); +#6134=CARTESIAN_POINT('',(-2.133471418378E0,-8.799E0,-1.6935E1)); +#6135=VERTEX_POINT('',#6134); +#6136=CARTESIAN_POINT('',(-5.891527302656E0,-8.799E0,-1.435E1)); +#6137=CARTESIAN_POINT('',(-5.891527302656E0,-8.799E0,-1.481E1)); +#6138=VERTEX_POINT('',#6136); +#6139=VERTEX_POINT('',#6137); +#6140=CARTESIAN_POINT('',(-5.541527302656E0,-8.799E0,-1.481E1)); +#6141=VERTEX_POINT('',#6140); +#6142=CARTESIAN_POINT('',(-5.541527302656E0,-8.799E0,-1.435E1)); +#6143=VERTEX_POINT('',#6142); +#6144=CARTESIAN_POINT('',(-3.351527302656E0,-8.799E0,-1.308E1)); +#6145=CARTESIAN_POINT('',(-3.351527302656E0,-8.799E0,-1.354E1)); +#6146=VERTEX_POINT('',#6144); +#6147=VERTEX_POINT('',#6145); +#6148=CARTESIAN_POINT('',(-3.001527302656E0,-8.799E0,-1.354E1)); +#6149=VERTEX_POINT('',#6148); +#6150=CARTESIAN_POINT('',(-3.001527302656E0,-8.799E0,-1.308E1)); +#6151=VERTEX_POINT('',#6150); +#6152=CARTESIAN_POINT('',(-4.673471418378E0,-6.4E0,-1.7285E1)); +#6153=CARTESIAN_POINT('',(-4.213471418378E0,-6.4E0,-1.7285E1)); +#6154=VERTEX_POINT('',#6152); +#6155=VERTEX_POINT('',#6153); +#6156=CARTESIAN_POINT('',(-4.213471418378E0,-6.4E0,-1.6935E1)); +#6157=VERTEX_POINT('',#6156); +#6158=CARTESIAN_POINT('',(-4.673471418378E0,-6.4E0,-1.6935E1)); +#6159=VERTEX_POINT('',#6158); +#6160=CARTESIAN_POINT('',(-5.943471418376E0,-6.4E0,-1.9825E1)); +#6161=CARTESIAN_POINT('',(-5.483471418376E0,-6.4E0,-1.9825E1)); +#6162=VERTEX_POINT('',#6160); +#6163=VERTEX_POINT('',#6161); +#6164=CARTESIAN_POINT('',(-5.483471418376E0,-6.4E0,-1.9475E1)); +#6165=VERTEX_POINT('',#6164); +#6166=CARTESIAN_POINT('',(-5.943471418376E0,-6.4E0,-1.9475E1)); +#6167=VERTEX_POINT('',#6166); +#6168=CARTESIAN_POINT('',(-3.403471418376E0,-6.4E0,-1.9825E1)); +#6169=CARTESIAN_POINT('',(-2.943471418376E0,-6.4E0,-1.9825E1)); +#6170=VERTEX_POINT('',#6168); +#6171=VERTEX_POINT('',#6169); +#6172=CARTESIAN_POINT('',(-2.943471418376E0,-6.4E0,-1.9475E1)); +#6173=VERTEX_POINT('',#6172); +#6174=CARTESIAN_POINT('',(-3.403471418376E0,-6.4E0,-1.9475E1)); +#6175=VERTEX_POINT('',#6174); +#6176=CARTESIAN_POINT('',(-2.133471418378E0,-6.4E0,-1.7285E1)); +#6177=CARTESIAN_POINT('',(-1.673471418378E0,-6.4E0,-1.7285E1)); +#6178=VERTEX_POINT('',#6176); +#6179=VERTEX_POINT('',#6177); +#6180=CARTESIAN_POINT('',(-1.673471418378E0,-6.4E0,-1.6935E1)); +#6181=VERTEX_POINT('',#6180); +#6182=CARTESIAN_POINT('',(-2.133471418378E0,-6.4E0,-1.6935E1)); +#6183=VERTEX_POINT('',#6182); +#6184=CARTESIAN_POINT('',(-5.891527302656E0,-6.4E0,-1.435E1)); +#6185=CARTESIAN_POINT('',(-5.891527302656E0,-6.4E0,-1.481E1)); +#6186=VERTEX_POINT('',#6184); +#6187=VERTEX_POINT('',#6185); +#6188=CARTESIAN_POINT('',(-5.541527302656E0,-6.4E0,-1.481E1)); +#6189=VERTEX_POINT('',#6188); +#6190=CARTESIAN_POINT('',(-5.541527302656E0,-6.4E0,-1.435E1)); +#6191=VERTEX_POINT('',#6190); +#6192=CARTESIAN_POINT('',(-3.351527302656E0,-6.4E0,-1.308E1)); +#6193=CARTESIAN_POINT('',(-3.351527302656E0,-6.4E0,-1.354E1)); +#6194=VERTEX_POINT('',#6192); +#6195=VERTEX_POINT('',#6193); +#6196=CARTESIAN_POINT('',(-3.001527302656E0,-6.4E0,-1.354E1)); +#6197=VERTEX_POINT('',#6196); +#6198=CARTESIAN_POINT('',(-3.001527302656E0,-6.4E0,-1.308E1)); +#6199=VERTEX_POINT('',#6198); +#6200=CARTESIAN_POINT('',(3.78E0,1.228977356156E0,-9.9E0)); +#6201=CARTESIAN_POINT('',(3.78E0,8.458546586800E-1,-9.9E0)); +#6202=VERTEX_POINT('',#6200); +#6203=VERTEX_POINT('',#6201); +#6204=CARTESIAN_POINT('',(3.36E0,1.228977356156E0,-9.9E0)); +#6205=CARTESIAN_POINT('',(3.36E0,8.458546586800E-1,-9.9E0)); +#6206=VERTEX_POINT('',#6204); +#6207=VERTEX_POINT('',#6205); +#6208=CARTESIAN_POINT('',(3.78E0,4.02E0,-3.631260505127E0)); +#6209=CARTESIAN_POINT('',(3.78E0,4.02E0,-1.977400296732E0)); +#6210=VERTEX_POINT('',#6208); +#6211=VERTEX_POINT('',#6209); +#6212=CARTESIAN_POINT('',(3.36E0,4.02E0,-3.631260505127E0)); +#6213=CARTESIAN_POINT('',(3.36E0,4.02E0,-1.977400296732E0)); +#6214=VERTEX_POINT('',#6212); +#6215=VERTEX_POINT('',#6213); +#6216=CARTESIAN_POINT('',(3.78E0,3.976634572893E0,-2.125446275625E0)); +#6217=CARTESIAN_POINT('',(3.78E0,3.767263815127E0,-3.312846847703E0)); +#6218=VERTEX_POINT('',#6216); +#6219=VERTEX_POINT('',#6217); +#6220=CARTESIAN_POINT('',(3.78E0,3.742322011748E0,-3.394427810597E0)); +#6221=VERTEX_POINT('',#6220); +#6222=CARTESIAN_POINT('',(3.36E0,3.976634572893E0,-2.125446275625E0)); +#6223=CARTESIAN_POINT('',(3.36E0,3.767263815127E0,-3.312846847703E0)); +#6224=VERTEX_POINT('',#6222); +#6225=VERTEX_POINT('',#6223); +#6226=CARTESIAN_POINT('',(3.36E0,3.742322011748E0,-3.394427810597E0)); +#6227=VERTEX_POINT('',#6226); +#6228=CARTESIAN_POINT('',(2.76E0,3.976634572893E0,-2.125446275625E0)); +#6229=CARTESIAN_POINT('',(2.76E0,3.767263815127E0,-3.312846847703E0)); +#6230=VERTEX_POINT('',#6228); +#6231=VERTEX_POINT('',#6229); +#6232=CARTESIAN_POINT('',(2.76E0,3.742322011748E0,-3.394427810597E0)); +#6233=VERTEX_POINT('',#6232); +#6234=CARTESIAN_POINT('',(2.34E0,3.976634572893E0,-2.125446275625E0)); +#6235=CARTESIAN_POINT('',(2.34E0,3.767263815127E0,-3.312846847703E0)); +#6236=VERTEX_POINT('',#6234); +#6237=VERTEX_POINT('',#6235); +#6238=CARTESIAN_POINT('',(2.34E0,3.742322011748E0,-3.394427810597E0)); +#6239=VERTEX_POINT('',#6238); +#6240=CARTESIAN_POINT('',(2.76E0,1.228977356156E0,-9.9E0)); +#6241=CARTESIAN_POINT('',(2.76E0,8.458546586800E-1,-9.9E0)); +#6242=VERTEX_POINT('',#6240); +#6243=VERTEX_POINT('',#6241); +#6244=CARTESIAN_POINT('',(2.34E0,1.228977356156E0,-9.9E0)); +#6245=CARTESIAN_POINT('',(2.34E0,8.458546586800E-1,-9.9E0)); +#6246=VERTEX_POINT('',#6244); +#6247=VERTEX_POINT('',#6245); +#6248=CARTESIAN_POINT('',(2.76E0,4.02E0,-3.631260505127E0)); +#6249=CARTESIAN_POINT('',(2.76E0,4.02E0,-1.977400296732E0)); +#6250=VERTEX_POINT('',#6248); +#6251=VERTEX_POINT('',#6249); +#6252=CARTESIAN_POINT('',(2.34E0,4.02E0,-3.631260505127E0)); +#6253=CARTESIAN_POINT('',(2.34E0,4.02E0,-1.977400296732E0)); +#6254=VERTEX_POINT('',#6252); +#6255=VERTEX_POINT('',#6253); +#6256=CARTESIAN_POINT('',(1.74E0,3.976634572893E0,-2.125446275625E0)); +#6257=CARTESIAN_POINT('',(1.74E0,3.767263815127E0,-3.312846847703E0)); +#6258=VERTEX_POINT('',#6256); +#6259=VERTEX_POINT('',#6257); +#6260=CARTESIAN_POINT('',(1.74E0,3.742322011748E0,-3.394427810597E0)); +#6261=VERTEX_POINT('',#6260); +#6262=CARTESIAN_POINT('',(1.32E0,3.976634572893E0,-2.125446275625E0)); +#6263=CARTESIAN_POINT('',(1.32E0,3.767263815127E0,-3.312846847703E0)); +#6264=VERTEX_POINT('',#6262); +#6265=VERTEX_POINT('',#6263); +#6266=CARTESIAN_POINT('',(1.32E0,3.742322011748E0,-3.394427810597E0)); +#6267=VERTEX_POINT('',#6266); +#6268=CARTESIAN_POINT('',(1.74E0,1.228977356156E0,-9.9E0)); +#6269=CARTESIAN_POINT('',(1.74E0,8.458546586800E-1,-9.9E0)); +#6270=VERTEX_POINT('',#6268); +#6271=VERTEX_POINT('',#6269); +#6272=CARTESIAN_POINT('',(1.32E0,1.228977356156E0,-9.9E0)); +#6273=CARTESIAN_POINT('',(1.32E0,8.458546586800E-1,-9.9E0)); +#6274=VERTEX_POINT('',#6272); +#6275=VERTEX_POINT('',#6273); +#6276=CARTESIAN_POINT('',(1.74E0,4.02E0,-3.631260505127E0)); +#6277=CARTESIAN_POINT('',(1.74E0,4.02E0,-1.977400296732E0)); +#6278=VERTEX_POINT('',#6276); +#6279=VERTEX_POINT('',#6277); +#6280=CARTESIAN_POINT('',(1.32E0,4.02E0,-3.631260505127E0)); +#6281=CARTESIAN_POINT('',(1.32E0,4.02E0,-1.977400296732E0)); +#6282=VERTEX_POINT('',#6280); +#6283=VERTEX_POINT('',#6281); +#6284=CARTESIAN_POINT('',(7.2E-1,3.976634572893E0,-2.125446275625E0)); +#6285=CARTESIAN_POINT('',(7.2E-1,3.767263815127E0,-3.312846847703E0)); +#6286=VERTEX_POINT('',#6284); +#6287=VERTEX_POINT('',#6285); +#6288=CARTESIAN_POINT('',(7.2E-1,3.742322011748E0,-3.394427810597E0)); +#6289=VERTEX_POINT('',#6288); +#6290=CARTESIAN_POINT('',(3.E-1,3.976634572893E0,-2.125446275625E0)); +#6291=CARTESIAN_POINT('',(3.E-1,3.767263815127E0,-3.312846847703E0)); +#6292=VERTEX_POINT('',#6290); +#6293=VERTEX_POINT('',#6291); +#6294=CARTESIAN_POINT('',(3.E-1,3.742322011748E0,-3.394427810597E0)); +#6295=VERTEX_POINT('',#6294); +#6296=CARTESIAN_POINT('',(7.2E-1,1.228977356156E0,-9.9E0)); +#6297=CARTESIAN_POINT('',(7.2E-1,8.458546586800E-1,-9.9E0)); +#6298=VERTEX_POINT('',#6296); +#6299=VERTEX_POINT('',#6297); +#6300=CARTESIAN_POINT('',(3.E-1,1.228977356156E0,-9.9E0)); +#6301=CARTESIAN_POINT('',(3.E-1,8.458546586800E-1,-9.9E0)); +#6302=VERTEX_POINT('',#6300); +#6303=VERTEX_POINT('',#6301); +#6304=CARTESIAN_POINT('',(7.2E-1,4.02E0,-3.631260505127E0)); +#6305=CARTESIAN_POINT('',(7.2E-1,4.02E0,-1.977400296732E0)); +#6306=VERTEX_POINT('',#6304); +#6307=VERTEX_POINT('',#6305); +#6308=CARTESIAN_POINT('',(3.E-1,4.02E0,-3.631260505127E0)); +#6309=CARTESIAN_POINT('',(3.E-1,4.02E0,-1.977400296732E0)); +#6310=VERTEX_POINT('',#6308); +#6311=VERTEX_POINT('',#6309); +#6312=CARTESIAN_POINT('',(-3.E-1,3.976634572893E0,-2.125446275625E0)); +#6313=CARTESIAN_POINT('',(-3.E-1,3.767263815127E0,-3.312846847703E0)); +#6314=VERTEX_POINT('',#6312); +#6315=VERTEX_POINT('',#6313); +#6316=CARTESIAN_POINT('',(-3.E-1,3.742322011748E0,-3.394427810597E0)); +#6317=VERTEX_POINT('',#6316); +#6318=CARTESIAN_POINT('',(-7.2E-1,3.976634572893E0,-2.125446275625E0)); +#6319=CARTESIAN_POINT('',(-7.2E-1,3.767263815127E0,-3.312846847703E0)); +#6320=VERTEX_POINT('',#6318); +#6321=VERTEX_POINT('',#6319); +#6322=CARTESIAN_POINT('',(-7.2E-1,3.742322011748E0,-3.394427810597E0)); +#6323=VERTEX_POINT('',#6322); +#6324=CARTESIAN_POINT('',(-3.E-1,1.228977356156E0,-9.9E0)); +#6325=CARTESIAN_POINT('',(-3.E-1,8.458546586800E-1,-9.9E0)); +#6326=VERTEX_POINT('',#6324); +#6327=VERTEX_POINT('',#6325); +#6328=CARTESIAN_POINT('',(-7.2E-1,1.228977356156E0,-9.9E0)); +#6329=CARTESIAN_POINT('',(-7.2E-1,8.458546586800E-1,-9.9E0)); +#6330=VERTEX_POINT('',#6328); +#6331=VERTEX_POINT('',#6329); +#6332=CARTESIAN_POINT('',(-3.E-1,4.02E0,-3.631260505127E0)); +#6333=CARTESIAN_POINT('',(-3.E-1,4.02E0,-1.977400296732E0)); +#6334=VERTEX_POINT('',#6332); +#6335=VERTEX_POINT('',#6333); +#6336=CARTESIAN_POINT('',(-7.2E-1,4.02E0,-3.631260505127E0)); +#6337=CARTESIAN_POINT('',(-7.2E-1,4.02E0,-1.977400296732E0)); +#6338=VERTEX_POINT('',#6336); +#6339=VERTEX_POINT('',#6337); +#6340=CARTESIAN_POINT('',(-1.32E0,3.976634572893E0,-2.125446275625E0)); +#6341=CARTESIAN_POINT('',(-1.32E0,3.767263815127E0,-3.312846847703E0)); +#6342=VERTEX_POINT('',#6340); +#6343=VERTEX_POINT('',#6341); +#6344=CARTESIAN_POINT('',(-1.32E0,3.742322011748E0,-3.394427810597E0)); +#6345=VERTEX_POINT('',#6344); +#6346=CARTESIAN_POINT('',(-1.74E0,3.976634572893E0,-2.125446275625E0)); +#6347=CARTESIAN_POINT('',(-1.74E0,3.767263815127E0,-3.312846847703E0)); +#6348=VERTEX_POINT('',#6346); +#6349=VERTEX_POINT('',#6347); +#6350=CARTESIAN_POINT('',(-1.74E0,3.742322011748E0,-3.394427810597E0)); +#6351=VERTEX_POINT('',#6350); +#6352=CARTESIAN_POINT('',(-1.32E0,1.228977356156E0,-9.9E0)); +#6353=CARTESIAN_POINT('',(-1.32E0,8.458546586800E-1,-9.9E0)); +#6354=VERTEX_POINT('',#6352); +#6355=VERTEX_POINT('',#6353); +#6356=CARTESIAN_POINT('',(-1.74E0,1.228977356156E0,-9.9E0)); +#6357=CARTESIAN_POINT('',(-1.74E0,8.458546586800E-1,-9.9E0)); +#6358=VERTEX_POINT('',#6356); +#6359=VERTEX_POINT('',#6357); +#6360=CARTESIAN_POINT('',(-1.32E0,4.02E0,-3.631260505127E0)); +#6361=CARTESIAN_POINT('',(-1.32E0,4.02E0,-1.977400296732E0)); +#6362=VERTEX_POINT('',#6360); +#6363=VERTEX_POINT('',#6361); +#6364=CARTESIAN_POINT('',(-1.74E0,4.02E0,-3.631260505127E0)); +#6365=CARTESIAN_POINT('',(-1.74E0,4.02E0,-1.977400296732E0)); +#6366=VERTEX_POINT('',#6364); +#6367=VERTEX_POINT('',#6365); +#6368=CARTESIAN_POINT('',(-2.34E0,3.976634572893E0,-2.125446275625E0)); +#6369=CARTESIAN_POINT('',(-2.34E0,3.767263815127E0,-3.312846847703E0)); +#6370=VERTEX_POINT('',#6368); +#6371=VERTEX_POINT('',#6369); +#6372=CARTESIAN_POINT('',(-2.34E0,3.742322011748E0,-3.394427810597E0)); +#6373=VERTEX_POINT('',#6372); +#6374=CARTESIAN_POINT('',(-2.76E0,3.976634572893E0,-2.125446275625E0)); +#6375=CARTESIAN_POINT('',(-2.76E0,3.767263815127E0,-3.312846847703E0)); +#6376=VERTEX_POINT('',#6374); +#6377=VERTEX_POINT('',#6375); +#6378=CARTESIAN_POINT('',(-2.76E0,3.742322011748E0,-3.394427810597E0)); +#6379=VERTEX_POINT('',#6378); +#6380=CARTESIAN_POINT('',(-2.34E0,1.228977356156E0,-9.9E0)); +#6381=CARTESIAN_POINT('',(-2.34E0,8.458546586800E-1,-9.9E0)); +#6382=VERTEX_POINT('',#6380); +#6383=VERTEX_POINT('',#6381); +#6384=CARTESIAN_POINT('',(-2.76E0,1.228977356156E0,-9.9E0)); +#6385=CARTESIAN_POINT('',(-2.76E0,8.458546586800E-1,-9.9E0)); +#6386=VERTEX_POINT('',#6384); +#6387=VERTEX_POINT('',#6385); +#6388=CARTESIAN_POINT('',(-2.34E0,4.02E0,-3.631260505127E0)); +#6389=CARTESIAN_POINT('',(-2.34E0,4.02E0,-1.977400296732E0)); +#6390=VERTEX_POINT('',#6388); +#6391=VERTEX_POINT('',#6389); +#6392=CARTESIAN_POINT('',(-2.76E0,4.02E0,-3.631260505127E0)); +#6393=CARTESIAN_POINT('',(-2.76E0,4.02E0,-1.977400296732E0)); +#6394=VERTEX_POINT('',#6392); +#6395=VERTEX_POINT('',#6393); +#6396=CARTESIAN_POINT('',(-3.36E0,3.976634572893E0,-2.125446275625E0)); +#6397=CARTESIAN_POINT('',(-3.36E0,3.767263815127E0,-3.312846847703E0)); +#6398=VERTEX_POINT('',#6396); +#6399=VERTEX_POINT('',#6397); +#6400=CARTESIAN_POINT('',(-3.36E0,3.742322011748E0,-3.394427810597E0)); +#6401=VERTEX_POINT('',#6400); +#6402=CARTESIAN_POINT('',(-3.78E0,3.976634572893E0,-2.125446275625E0)); +#6403=CARTESIAN_POINT('',(-3.78E0,3.767263815127E0,-3.312846847703E0)); +#6404=VERTEX_POINT('',#6402); +#6405=VERTEX_POINT('',#6403); +#6406=CARTESIAN_POINT('',(-3.78E0,3.742322011748E0,-3.394427810597E0)); +#6407=VERTEX_POINT('',#6406); +#6408=CARTESIAN_POINT('',(-3.36E0,1.228977356156E0,-9.9E0)); +#6409=CARTESIAN_POINT('',(-3.36E0,8.458546586800E-1,-9.9E0)); +#6410=VERTEX_POINT('',#6408); +#6411=VERTEX_POINT('',#6409); +#6412=CARTESIAN_POINT('',(-3.78E0,1.228977356156E0,-9.9E0)); +#6413=CARTESIAN_POINT('',(-3.78E0,8.458546586800E-1,-9.9E0)); +#6414=VERTEX_POINT('',#6412); +#6415=VERTEX_POINT('',#6413); +#6416=CARTESIAN_POINT('',(-3.36E0,4.02E0,-3.631260505127E0)); +#6417=CARTESIAN_POINT('',(-3.36E0,4.02E0,-1.977400296732E0)); +#6418=VERTEX_POINT('',#6416); +#6419=VERTEX_POINT('',#6417); +#6420=CARTESIAN_POINT('',(-3.78E0,4.02E0,-3.631260505127E0)); +#6421=CARTESIAN_POINT('',(-3.78E0,4.02E0,-1.977400296732E0)); +#6422=VERTEX_POINT('',#6420); +#6423=VERTEX_POINT('',#6421); +#6424=CARTESIAN_POINT('',(-4.047124344468E0,-3.75E0,-2.5E-1)); +#6425=CARTESIAN_POINT('',(-6.692875655532E0,-3.75E0,-2.5E-1)); +#6426=VERTEX_POINT('',#6424); +#6427=VERTEX_POINT('',#6425); +#6428=CARTESIAN_POINT('',(-4.047124344468E0,-5.65E0,-2.5E-1)); +#6429=CARTESIAN_POINT('',(-6.692875655532E0,-5.65E0,-2.5E-1)); +#6430=VERTEX_POINT('',#6428); +#6431=VERTEX_POINT('',#6429); +#6432=CARTESIAN_POINT('',(4.047124344468E0,-3.75E0,-2.5E-1)); +#6433=CARTESIAN_POINT('',(6.692875655532E0,-3.75E0,-2.5E-1)); +#6434=VERTEX_POINT('',#6432); +#6435=VERTEX_POINT('',#6433); +#6436=CARTESIAN_POINT('',(4.047124344468E0,-5.65E0,-2.5E-1)); +#6437=CARTESIAN_POINT('',(6.692875655532E0,-5.65E0,-2.5E-1)); +#6438=VERTEX_POINT('',#6436); +#6439=VERTEX_POINT('',#6437); +#6440=CARTESIAN_POINT('',(7.95E0,-6.35E0,-8.75E0)); +#6441=VERTEX_POINT('',#6440); +#6442=CARTESIAN_POINT('',(7.75E0,-6.35E0,-1.315E1)); +#6443=CARTESIAN_POINT('',(7.75E0,-6.350000000001E0,-1.275E1)); +#6444=VERTEX_POINT('',#6442); +#6445=VERTEX_POINT('',#6443); +#6446=CARTESIAN_POINT('',(7.95E0,-6.35E0,-1.315E1)); +#6447=CARTESIAN_POINT('',(7.95E0,-6.35E0,-1.275E1)); +#6448=VERTEX_POINT('',#6446); +#6449=VERTEX_POINT('',#6447); +#6450=CARTESIAN_POINT('',(7.95E0,-6.35E0,-8.35E0)); +#6451=VERTEX_POINT('',#6450); +#6452=CARTESIAN_POINT('',(7.95E0,-6.55E0,-8.15E0)); +#6453=VERTEX_POINT('',#6452); +#6454=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.275E1)); +#6455=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.315E1)); +#6456=VERTEX_POINT('',#6454); +#6457=VERTEX_POINT('',#6455); +#6458=CARTESIAN_POINT('',(7.75E0,-6.35E0,-8.75E0)); +#6459=VERTEX_POINT('',#6458); +#6460=CARTESIAN_POINT('',(7.75E0,-6.350000000001E0,-8.35E0)); +#6461=VERTEX_POINT('',#6460); +#6462=CARTESIAN_POINT('',(7.75E0,-6.4E0,-8.343649167310E0)); +#6463=VERTEX_POINT('',#6462); +#6464=CARTESIAN_POINT('',(7.75E0,-6.55E0,-8.15E0)); +#6465=VERTEX_POINT('',#6464); +#6466=CARTESIAN_POINT('',(-7.75E0,-6.35E0,-1.315E1)); +#6467=CARTESIAN_POINT('',(-7.75E0,-6.350000000001E0,-1.275E1)); +#6468=VERTEX_POINT('',#6466); +#6469=VERTEX_POINT('',#6467); +#6470=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.315E1)); +#6471=VERTEX_POINT('',#6470); +#6472=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.275E1)); +#6473=VERTEX_POINT('',#6472); +#6474=CARTESIAN_POINT('',(-7.75E0,-6.35E0,-8.75E0)); +#6475=CARTESIAN_POINT('',(-7.75E0,-6.350000000001E0,-8.35E0)); +#6476=VERTEX_POINT('',#6474); +#6477=VERTEX_POINT('',#6475); +#6478=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-8.343649167310E0)); +#6479=VERTEX_POINT('',#6478); +#6480=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-1.315E1)); +#6481=VERTEX_POINT('',#6480); +#6482=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-1.275E1)); +#6483=VERTEX_POINT('',#6482); +#6484=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-8.35E0)); +#6485=VERTEX_POINT('',#6484); +#6486=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-8.75E0)); +#6487=VERTEX_POINT('',#6486); +#6488=CARTESIAN_POINT('',(-7.95E0,-6.55E0,-8.15E0)); +#6489=VERTEX_POINT('',#6488); +#6490=CARTESIAN_POINT('',(-7.75E0,-6.55E0,-8.15E0)); +#6491=VERTEX_POINT('',#6490); +#6492=CARTESIAN_POINT('',(7.E0,-6.55E0,0.E0)); +#6493=CARTESIAN_POINT('',(7.E0,-6.75E0,-2.E-1)); +#6494=VERTEX_POINT('',#6492); +#6495=VERTEX_POINT('',#6493); +#6496=CARTESIAN_POINT('',(2.43E0,-6.75E0,-2.E-1)); +#6497=VERTEX_POINT('',#6496); +#6498=CARTESIAN_POINT('',(2.43E0,-6.55E0,0.E0)); +#6499=VERTEX_POINT('',#6498); +#6500=CARTESIAN_POINT('',(-2.63E0,-6.55E0,0.E0)); +#6501=CARTESIAN_POINT('',(-2.63E0,-6.75E0,-2.E-1)); +#6502=VERTEX_POINT('',#6500); +#6503=VERTEX_POINT('',#6501); +#6504=CARTESIAN_POINT('',(-7.E0,-6.75E0,-2.E-1)); +#6505=VERTEX_POINT('',#6504); +#6506=CARTESIAN_POINT('',(-7.E0,-6.55E0,0.E0)); +#6507=VERTEX_POINT('',#6506); +#6508=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#6509=DIRECTION('',(0.E0,0.E0,1.E0)); +#6510=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6511=AXIS2_PLACEMENT_3D('',#6508,#6509,#6510); +#6512=PLANE('',#6511); +#6514=ORIENTED_EDGE('',*,*,#6513,.F.); +#6516=ORIENTED_EDGE('',*,*,#6515,.F.); +#6518=ORIENTED_EDGE('',*,*,#6517,.T.); +#6520=ORIENTED_EDGE('',*,*,#6519,.F.); +#6522=ORIENTED_EDGE('',*,*,#6521,.T.); +#6524=ORIENTED_EDGE('',*,*,#6523,.T.); +#6526=ORIENTED_EDGE('',*,*,#6525,.T.); +#6528=ORIENTED_EDGE('',*,*,#6527,.T.); +#6530=ORIENTED_EDGE('',*,*,#6529,.T.); +#6532=ORIENTED_EDGE('',*,*,#6531,.F.); +#6534=ORIENTED_EDGE('',*,*,#6533,.T.); +#6536=ORIENTED_EDGE('',*,*,#6535,.T.); +#6538=ORIENTED_EDGE('',*,*,#6537,.T.); +#6540=ORIENTED_EDGE('',*,*,#6539,.T.); +#6542=ORIENTED_EDGE('',*,*,#6541,.T.); +#6544=ORIENTED_EDGE('',*,*,#6543,.T.); +#6546=ORIENTED_EDGE('',*,*,#6545,.T.); +#6548=ORIENTED_EDGE('',*,*,#6547,.T.); +#6550=ORIENTED_EDGE('',*,*,#6549,.T.); +#6552=ORIENTED_EDGE('',*,*,#6551,.T.); +#6554=ORIENTED_EDGE('',*,*,#6553,.F.); +#6556=ORIENTED_EDGE('',*,*,#6555,.F.); +#6558=ORIENTED_EDGE('',*,*,#6557,.T.); +#6560=ORIENTED_EDGE('',*,*,#6559,.F.); +#6561=EDGE_LOOP('',(#6514,#6516,#6518,#6520,#6522,#6524,#6526,#6528,#6530,#6532, +#6534,#6536,#6538,#6540,#6542,#6544,#6546,#6548,#6550,#6552,#6554,#6556,#6558, +#6560)); +#6562=FACE_OUTER_BOUND('',#6561,.F.); +#6564=CARTESIAN_POINT('',(2.921832E1,6.55E0,-2.E-1)); +#6565=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6566=DIRECTION('',(0.E0,0.E0,1.E0)); +#6567=AXIS2_PLACEMENT_3D('',#6564,#6565,#6566); +#6568=CYLINDRICAL_SURFACE('',#6567,2.E-1); +#6570=ORIENTED_EDGE('',*,*,#6569,.F.); +#6572=ORIENTED_EDGE('',*,*,#6571,.T.); +#6573=ORIENTED_EDGE('',*,*,#6513,.T.); +#6575=ORIENTED_EDGE('',*,*,#6574,.T.); +#6576=EDGE_LOOP('',(#6570,#6572,#6573,#6575)); +#6577=FACE_OUTER_BOUND('',#6576,.F.); +#6579=CARTESIAN_POINT('',(7.95E0,6.75E0,0.E0)); +#6580=DIRECTION('',(0.E0,1.E0,0.E0)); +#6581=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6582=AXIS2_PLACEMENT_3D('',#6579,#6580,#6581); +#6583=PLANE('',#6582); +#6584=ORIENTED_EDGE('',*,*,#6569,.T.); +#6586=ORIENTED_EDGE('',*,*,#6585,.T.); +#6588=ORIENTED_EDGE('',*,*,#6587,.T.); +#6590=ORIENTED_EDGE('',*,*,#6589,.F.); +#6591=EDGE_LOOP('',(#6584,#6586,#6588,#6590)); +#6592=FACE_OUTER_BOUND('',#6591,.F.); +#6594=CARTESIAN_POINT('',(-7.75E0,6.55E0,2.783186E1)); +#6595=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6596=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6597=AXIS2_PLACEMENT_3D('',#6594,#6595,#6596); +#6598=CYLINDRICAL_SURFACE('',#6597,2.E-1); +#6599=ORIENTED_EDGE('',*,*,#6585,.F.); +#6601=ORIENTED_EDGE('',*,*,#6600,.T.); +#6603=ORIENTED_EDGE('',*,*,#6602,.T.); +#6605=ORIENTED_EDGE('',*,*,#6604,.T.); +#6606=EDGE_LOOP('',(#6599,#6601,#6603,#6605)); +#6607=FACE_OUTER_BOUND('',#6606,.F.); +#6609=CARTESIAN_POINT('',(-7.75E0,6.55E0,-2.E-1)); +#6610=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#6611=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#6612=AXIS2_PLACEMENT_3D('',#6609,#6610,#6611); +#6613=SPHERICAL_SURFACE('',#6612,2.E-1); +#6614=ORIENTED_EDGE('',*,*,#6600,.F.); +#6615=ORIENTED_EDGE('',*,*,#6574,.F.); +#6617=ORIENTED_EDGE('',*,*,#6616,.F.); +#6618=EDGE_LOOP('',(#6614,#6615,#6617)); +#6619=FACE_OUTER_BOUND('',#6618,.F.); +#6621=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-2.E-1)); +#6622=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6623=DIRECTION('',(1.E0,0.E0,0.E0)); +#6624=AXIS2_PLACEMENT_3D('',#6621,#6622,#6623); +#6625=CYLINDRICAL_SURFACE('',#6624,2.E-1); +#6627=ORIENTED_EDGE('',*,*,#6626,.T.); +#6628=ORIENTED_EDGE('',*,*,#6616,.T.); +#6629=ORIENTED_EDGE('',*,*,#6559,.T.); +#6631=ORIENTED_EDGE('',*,*,#6630,.T.); +#6632=EDGE_LOOP('',(#6627,#6628,#6629,#6631)); +#6633=FACE_OUTER_BOUND('',#6632,.F.); +#6635=CARTESIAN_POINT('',(-7.95E0,6.75E0,0.E0)); +#6636=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6637=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6638=AXIS2_PLACEMENT_3D('',#6635,#6636,#6637); +#6639=PLANE('',#6638); +#6640=ORIENTED_EDGE('',*,*,#6626,.F.); +#6642=ORIENTED_EDGE('',*,*,#6641,.T.); +#6644=ORIENTED_EDGE('',*,*,#6643,.T.); +#6646=ORIENTED_EDGE('',*,*,#6645,.F.); +#6648=ORIENTED_EDGE('',*,*,#6647,.F.); +#6650=ORIENTED_EDGE('',*,*,#6649,.T.); +#6652=ORIENTED_EDGE('',*,*,#6651,.F.); +#6654=ORIENTED_EDGE('',*,*,#6653,.F.); +#6656=ORIENTED_EDGE('',*,*,#6655,.T.); +#6658=ORIENTED_EDGE('',*,*,#6657,.T.); +#6660=ORIENTED_EDGE('',*,*,#6659,.F.); +#6662=ORIENTED_EDGE('',*,*,#6661,.T.); +#6664=ORIENTED_EDGE('',*,*,#6663,.F.); +#6666=ORIENTED_EDGE('',*,*,#6665,.T.); +#6667=ORIENTED_EDGE('',*,*,#6602,.F.); +#6668=EDGE_LOOP('',(#6640,#6642,#6644,#6646,#6648,#6650,#6652,#6654,#6656,#6658, +#6660,#6662,#6664,#6666,#6667)); +#6669=FACE_OUTER_BOUND('',#6668,.F.); +#6671=ORIENTED_EDGE('',*,*,#6670,.T.); +#6673=ORIENTED_EDGE('',*,*,#6672,.T.); +#6675=ORIENTED_EDGE('',*,*,#6674,.T.); +#6677=ORIENTED_EDGE('',*,*,#6676,.T.); +#6678=EDGE_LOOP('',(#6671,#6673,#6675,#6677)); +#6679=FACE_BOUND('',#6678,.F.); +#6681=ORIENTED_EDGE('',*,*,#6680,.F.); +#6683=ORIENTED_EDGE('',*,*,#6682,.F.); +#6685=ORIENTED_EDGE('',*,*,#6684,.F.); +#6687=ORIENTED_EDGE('',*,*,#6686,.F.); +#6689=ORIENTED_EDGE('',*,*,#6688,.F.); +#6691=ORIENTED_EDGE('',*,*,#6690,.T.); +#6692=EDGE_LOOP('',(#6681,#6683,#6685,#6687,#6689,#6691)); +#6693=FACE_BOUND('',#6692,.F.); +#6695=CARTESIAN_POINT('',(-7.95E0,-3.25E0,-1.915E1)); +#6696=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6697=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6698=AXIS2_PLACEMENT_3D('',#6695,#6696,#6697); +#6699=PLANE('',#6698); +#6701=ORIENTED_EDGE('',*,*,#6700,.F.); +#6703=ORIENTED_EDGE('',*,*,#6702,.F.); +#6705=ORIENTED_EDGE('',*,*,#6704,.F.); +#6706=ORIENTED_EDGE('',*,*,#6680,.T.); +#6707=EDGE_LOOP('',(#6701,#6703,#6705,#6706)); +#6708=FACE_OUTER_BOUND('',#6707,.F.); +#6710=CARTESIAN_POINT('',(-7.95E0,-3.25E0,-1.915E1)); +#6711=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6712=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6713=AXIS2_PLACEMENT_3D('',#6710,#6711,#6712); +#6714=PLANE('',#6713); +#6716=ORIENTED_EDGE('',*,*,#6715,.T.); +#6717=ORIENTED_EDGE('',*,*,#6688,.T.); +#6719=ORIENTED_EDGE('',*,*,#6718,.T.); +#6721=ORIENTED_EDGE('',*,*,#6720,.F.); +#6722=EDGE_LOOP('',(#6716,#6717,#6719,#6721)); +#6723=FACE_OUTER_BOUND('',#6722,.F.); +#6725=CARTESIAN_POINT('',(0.E0,-3.35E0,0.E0)); +#6726=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6727=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6728=AXIS2_PLACEMENT_3D('',#6725,#6726,#6727); +#6729=PLANE('',#6728); +#6731=ORIENTED_EDGE('',*,*,#6730,.T.); +#6733=ORIENTED_EDGE('',*,*,#6732,.F.); +#6734=ORIENTED_EDGE('',*,*,#6700,.T.); +#6735=EDGE_LOOP('',(#6731,#6733,#6734)); +#6736=FACE_OUTER_BOUND('',#6735,.F.); +#6738=CARTESIAN_POINT('',(-7.95E0,-3.35E0,-1.915E1)); +#6739=DIRECTION('',(9.789804197376E-1,0.E0,2.039542541120E-1)); +#6740=DIRECTION('',(2.039542541120E-1,0.E0,-9.789804197376E-1)); +#6741=AXIS2_PLACEMENT_3D('',#6738,#6739,#6740); +#6742=PLANE('',#6741); +#6743=ORIENTED_EDGE('',*,*,#6730,.F.); +#6744=ORIENTED_EDGE('',*,*,#6690,.F.); +#6746=ORIENTED_EDGE('',*,*,#6745,.T.); +#6748=ORIENTED_EDGE('',*,*,#6747,.T.); +#6749=EDGE_LOOP('',(#6743,#6744,#6746,#6748)); +#6750=FACE_OUTER_BOUND('',#6749,.F.); +#6752=CARTESIAN_POINT('',(0.E0,-4.35E0,0.E0)); +#6753=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6754=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6755=AXIS2_PLACEMENT_3D('',#6752,#6753,#6754); +#6756=PLANE('',#6755); +#6757=ORIENTED_EDGE('',*,*,#6745,.F.); +#6758=ORIENTED_EDGE('',*,*,#6715,.F.); +#6760=ORIENTED_EDGE('',*,*,#6759,.T.); +#6761=EDGE_LOOP('',(#6757,#6758,#6760)); +#6762=FACE_OUTER_BOUND('',#6761,.F.); +#6764=CARTESIAN_POINT('',(-7.75E0,0.E0,0.E0)); +#6765=DIRECTION('',(1.E0,0.E0,0.E0)); +#6766=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6767=AXIS2_PLACEMENT_3D('',#6764,#6765,#6766); +#6768=PLANE('',#6767); +#6769=ORIENTED_EDGE('',*,*,#6732,.T.); +#6770=ORIENTED_EDGE('',*,*,#6747,.F.); +#6771=ORIENTED_EDGE('',*,*,#6759,.F.); +#6772=ORIENTED_EDGE('',*,*,#6720,.T.); +#6774=ORIENTED_EDGE('',*,*,#6773,.T.); +#6776=ORIENTED_EDGE('',*,*,#6775,.T.); +#6778=ORIENTED_EDGE('',*,*,#6777,.T.); +#6779=ORIENTED_EDGE('',*,*,#6702,.T.); +#6780=EDGE_LOOP('',(#6769,#6770,#6771,#6772,#6774,#6776,#6778,#6779)); +#6781=FACE_OUTER_BOUND('',#6780,.F.); +#6783=CARTESIAN_POINT('',(-7.95E0,-4.45E0,-1.915E1)); +#6784=DIRECTION('',(0.E0,1.E0,0.E0)); +#6785=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6786=AXIS2_PLACEMENT_3D('',#6783,#6784,#6785); +#6787=PLANE('',#6786); +#6788=ORIENTED_EDGE('',*,*,#6718,.F.); +#6789=ORIENTED_EDGE('',*,*,#6686,.T.); +#6791=ORIENTED_EDGE('',*,*,#6790,.T.); +#6792=ORIENTED_EDGE('',*,*,#6773,.F.); +#6793=EDGE_LOOP('',(#6788,#6789,#6791,#6792)); +#6794=FACE_OUTER_BOUND('',#6793,.F.); +#6796=CARTESIAN_POINT('',(-7.95E0,-4.45E0,-2.035E1)); +#6797=DIRECTION('',(0.E0,0.E0,1.E0)); +#6798=DIRECTION('',(0.E0,1.E0,0.E0)); +#6799=AXIS2_PLACEMENT_3D('',#6796,#6797,#6798); +#6800=PLANE('',#6799); +#6801=ORIENTED_EDGE('',*,*,#6790,.F.); +#6802=ORIENTED_EDGE('',*,*,#6684,.T.); +#6804=ORIENTED_EDGE('',*,*,#6803,.T.); +#6805=ORIENTED_EDGE('',*,*,#6775,.F.); +#6806=EDGE_LOOP('',(#6801,#6802,#6804,#6805)); +#6807=FACE_OUTER_BOUND('',#6806,.F.); +#6809=CARTESIAN_POINT('',(-7.95E0,-3.25E0,-2.035E1)); +#6810=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6811=DIRECTION('',(0.E0,0.E0,1.E0)); +#6812=AXIS2_PLACEMENT_3D('',#6809,#6810,#6811); +#6813=PLANE('',#6812); +#6814=ORIENTED_EDGE('',*,*,#6704,.T.); +#6815=ORIENTED_EDGE('',*,*,#6777,.F.); +#6816=ORIENTED_EDGE('',*,*,#6803,.F.); +#6817=ORIENTED_EDGE('',*,*,#6682,.T.); +#6818=EDGE_LOOP('',(#6814,#6815,#6816,#6817)); +#6819=FACE_OUTER_BOUND('',#6818,.F.); +#6821=CARTESIAN_POINT('',(-7.525E0,-7.350005247046E0,-1.38E1)); +#6822=DIRECTION('',(0.E0,1.E0,0.E0)); +#6823=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6824=AXIS2_PLACEMENT_3D('',#6821,#6822,#6823); +#6825=CYLINDRICAL_SURFACE('',#6824,5.75E-1); +#6827=ORIENTED_EDGE('',*,*,#6826,.T.); +#6828=ORIENTED_EDGE('',*,*,#6670,.F.); +#6830=ORIENTED_EDGE('',*,*,#6829,.T.); +#6831=ORIENTED_EDGE('',*,*,#6674,.F.); +#6832=EDGE_LOOP('',(#6827,#6828,#6830,#6831)); +#6833=FACE_OUTER_BOUND('',#6832,.F.); +#6835=CARTESIAN_POINT('',(-7.525E0,-7.349997612238E0,-1.380000000001E1)); +#6836=DIRECTION('',(-5.618263458408E-6,9.999999999842E-1,3.313748725102E-13)); +#6837=DIRECTION('',(-9.386821241513E-12,3.313221348807E-13,-1.E0)); +#6838=AXIS2_PLACEMENT_3D('',#6835,#6836,#6837); +#6839=SPHERICAL_SURFACE('',#6838,5.75E-1); +#6840=ORIENTED_EDGE('',*,*,#6672,.F.); +#6841=ORIENTED_EDGE('',*,*,#6826,.F.); +#6842=EDGE_LOOP('',(#6840,#6841)); +#6843=FACE_OUTER_BOUND('',#6842,.F.); +#6845=CARTESIAN_POINT('',(-7.525E0,-5.550002387762E0,-1.379999999999E1)); +#6846=DIRECTION('',(-5.618262446929E-6,-9.999999999842E-1,-1.261071815144E-14)); +#6847=DIRECTION('',(-9.385172955987E-12,-1.255798978687E-14,1.E0)); +#6848=AXIS2_PLACEMENT_3D('',#6845,#6846,#6847); +#6849=SPHERICAL_SURFACE('',#6848,5.75E-1); +#6850=ORIENTED_EDGE('',*,*,#6676,.F.); +#6851=ORIENTED_EDGE('',*,*,#6829,.F.); +#6852=EDGE_LOOP('',(#6850,#6851)); +#6853=FACE_OUTER_BOUND('',#6852,.F.); +#6855=CARTESIAN_POINT('',(0.E0,-6.55E0,0.E0)); +#6856=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6857=DIRECTION('',(1.E0,0.E0,0.E0)); +#6858=AXIS2_PLACEMENT_3D('',#6855,#6856,#6857); +#6859=PLANE('',#6858); +#6861=ORIENTED_EDGE('',*,*,#6860,.T.); +#6863=ORIENTED_EDGE('',*,*,#6862,.F.); +#6865=ORIENTED_EDGE('',*,*,#6864,.T.); +#6867=ORIENTED_EDGE('',*,*,#6866,.T.); +#6868=EDGE_LOOP('',(#6861,#6863,#6865,#6867)); +#6869=FACE_OUTER_BOUND('',#6868,.F.); +#6871=CARTESIAN_POINT('',(0.E0,-6.55E0,0.E0)); +#6872=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6873=DIRECTION('',(1.E0,0.E0,0.E0)); +#6874=AXIS2_PLACEMENT_3D('',#6871,#6872,#6873); +#6875=PLANE('',#6874); +#6876=ORIENTED_EDGE('',*,*,#6659,.T.); +#6878=ORIENTED_EDGE('',*,*,#6877,.T.); +#6880=ORIENTED_EDGE('',*,*,#6879,.T.); +#6882=ORIENTED_EDGE('',*,*,#6881,.F.); +#6883=EDGE_LOOP('',(#6876,#6878,#6880,#6882)); +#6884=FACE_OUTER_BOUND('',#6883,.F.); +#6886=CARTESIAN_POINT('',(0.E0,-6.55E0,0.E0)); +#6887=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6888=DIRECTION('',(1.E0,0.E0,0.E0)); +#6889=AXIS2_PLACEMENT_3D('',#6886,#6887,#6888); +#6890=PLANE('',#6889); +#6892=ORIENTED_EDGE('',*,*,#6891,.F.); +#6893=ORIENTED_EDGE('',*,*,#6517,.F.); +#6895=ORIENTED_EDGE('',*,*,#6894,.F.); +#6897=ORIENTED_EDGE('',*,*,#6896,.F.); +#6899=ORIENTED_EDGE('',*,*,#6898,.F.); +#6901=ORIENTED_EDGE('',*,*,#6900,.F.); +#6903=ORIENTED_EDGE('',*,*,#6902,.F.); +#6904=EDGE_LOOP('',(#6892,#6893,#6895,#6897,#6899,#6901,#6903)); +#6905=FACE_OUTER_BOUND('',#6904,.F.); +#6907=CARTESIAN_POINT('',(0.E0,-6.55E0,0.E0)); +#6908=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6909=DIRECTION('',(1.E0,0.E0,0.E0)); +#6910=AXIS2_PLACEMENT_3D('',#6907,#6908,#6909); +#6911=PLANE('',#6910); +#6913=ORIENTED_EDGE('',*,*,#6912,.F.); +#6915=ORIENTED_EDGE('',*,*,#6914,.F.); +#6917=ORIENTED_EDGE('',*,*,#6916,.F.); +#6919=ORIENTED_EDGE('',*,*,#6918,.F.); +#6920=ORIENTED_EDGE('',*,*,#6641,.F.); +#6921=ORIENTED_EDGE('',*,*,#6630,.F.); +#6922=ORIENTED_EDGE('',*,*,#6557,.F.); +#6923=EDGE_LOOP('',(#6913,#6915,#6917,#6919,#6920,#6921,#6922)); +#6924=FACE_OUTER_BOUND('',#6923,.F.); +#6926=CARTESIAN_POINT('',(7.75E0,-6.4E0,-1.465E1)); +#6927=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6928=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6929=AXIS2_PLACEMENT_3D('',#6926,#6927,#6928); +#6930=PLANE('',#6929); +#6932=ORIENTED_EDGE('',*,*,#6931,.T.); +#6934=ORIENTED_EDGE('',*,*,#6933,.T.); +#6936=ORIENTED_EDGE('',*,*,#6935,.T.); +#6937=ORIENTED_EDGE('',*,*,#6860,.F.); +#6939=ORIENTED_EDGE('',*,*,#6938,.F.); +#6941=ORIENTED_EDGE('',*,*,#6940,.T.); +#6942=EDGE_LOOP('',(#6932,#6934,#6936,#6937,#6939,#6941)); +#6943=FACE_OUTER_BOUND('',#6942,.F.); +#6945=CARTESIAN_POINT('',(7.95E0,-6.4E0,-1.315E1)); +#6946=DIRECTION('',(0.E0,0.E0,1.E0)); +#6947=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6948=AXIS2_PLACEMENT_3D('',#6945,#6946,#6947); +#6949=PLANE('',#6948); +#6950=ORIENTED_EDGE('',*,*,#6931,.F.); +#6952=ORIENTED_EDGE('',*,*,#6951,.T.); +#6954=ORIENTED_EDGE('',*,*,#6953,.F.); +#6956=ORIENTED_EDGE('',*,*,#6955,.T.); +#6958=ORIENTED_EDGE('',*,*,#6957,.T.); +#6959=EDGE_LOOP('',(#6950,#6952,#6954,#6956,#6958)); +#6960=FACE_OUTER_BOUND('',#6959,.F.); +#6962=CARTESIAN_POINT('',(7.75E0,-6.4E0,-8.75E0)); +#6963=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6964=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6965=AXIS2_PLACEMENT_3D('',#6962,#6963,#6964); +#6966=PLANE('',#6965); +#6968=ORIENTED_EDGE('',*,*,#6967,.F.); +#6970=ORIENTED_EDGE('',*,*,#6969,.F.); +#6972=ORIENTED_EDGE('',*,*,#6971,.F.); +#6973=ORIENTED_EDGE('',*,*,#6951,.F.); +#6974=EDGE_LOOP('',(#6968,#6970,#6972,#6973)); +#6975=FACE_OUTER_BOUND('',#6974,.F.); +#6977=CARTESIAN_POINT('',(7.75E0,-6.4E0,-8.75E0)); +#6978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6979=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6980=AXIS2_PLACEMENT_3D('',#6977,#6978,#6979); +#6981=PLANE('',#6980); +#6983=ORIENTED_EDGE('',*,*,#6982,.T.); +#6985=ORIENTED_EDGE('',*,*,#6984,.F.); +#6987=ORIENTED_EDGE('',*,*,#6986,.F.); +#6989=ORIENTED_EDGE('',*,*,#6988,.T.); +#6991=ORIENTED_EDGE('',*,*,#6990,.T.); +#6992=EDGE_LOOP('',(#6983,#6985,#6987,#6989,#6991)); +#6993=FACE_OUTER_BOUND('',#6992,.F.); +#6995=CARTESIAN_POINT('',(0.E0,-6.4E0,0.E0)); +#6996=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6998=AXIS2_PLACEMENT_3D('',#6995,#6996,#6997); +#6999=PLANE('',#6998); +#7001=ORIENTED_EDGE('',*,*,#7000,.T.); +#7003=ORIENTED_EDGE('',*,*,#7002,.T.); +#7005=ORIENTED_EDGE('',*,*,#7004,.F.); +#7007=ORIENTED_EDGE('',*,*,#7006,.F.); +#7009=ORIENTED_EDGE('',*,*,#7008,.F.); +#7011=ORIENTED_EDGE('',*,*,#7010,.T.); +#7013=ORIENTED_EDGE('',*,*,#7012,.T.); +#7014=ORIENTED_EDGE('',*,*,#6982,.F.); +#7016=ORIENTED_EDGE('',*,*,#7015,.T.); +#7018=ORIENTED_EDGE('',*,*,#7017,.F.); +#7020=ORIENTED_EDGE('',*,*,#7019,.T.); +#7021=ORIENTED_EDGE('',*,*,#6967,.T.); +#7022=ORIENTED_EDGE('',*,*,#6940,.F.); +#7024=ORIENTED_EDGE('',*,*,#7023,.T.); +#7026=ORIENTED_EDGE('',*,*,#7025,.T.); +#7028=ORIENTED_EDGE('',*,*,#7027,.T.); +#7030=ORIENTED_EDGE('',*,*,#7029,.T.); +#7032=ORIENTED_EDGE('',*,*,#7031,.T.); +#7034=ORIENTED_EDGE('',*,*,#7033,.T.); +#7036=ORIENTED_EDGE('',*,*,#7035,.T.); +#7038=ORIENTED_EDGE('',*,*,#7037,.T.); +#7040=ORIENTED_EDGE('',*,*,#7039,.F.); +#7042=ORIENTED_EDGE('',*,*,#7041,.F.); +#7044=ORIENTED_EDGE('',*,*,#7043,.F.); +#7046=ORIENTED_EDGE('',*,*,#7045,.T.); +#7048=ORIENTED_EDGE('',*,*,#7047,.F.); +#7050=ORIENTED_EDGE('',*,*,#7049,.F.); +#7052=ORIENTED_EDGE('',*,*,#7051,.F.); +#7053=EDGE_LOOP('',(#7001,#7003,#7005,#7007,#7009,#7011,#7013,#7014,#7016,#7018, +#7020,#7021,#7022,#7024,#7026,#7028,#7030,#7032,#7034,#7036,#7038,#7040,#7042, +#7044,#7046,#7048,#7050,#7052)); +#7054=FACE_OUTER_BOUND('',#7053,.F.); +#7056=ORIENTED_EDGE('',*,*,#7055,.F.); +#7058=ORIENTED_EDGE('',*,*,#7057,.F.); +#7060=ORIENTED_EDGE('',*,*,#7059,.F.); +#7062=ORIENTED_EDGE('',*,*,#7061,.F.); +#7064=ORIENTED_EDGE('',*,*,#7063,.F.); +#7066=ORIENTED_EDGE('',*,*,#7065,.F.); +#7068=ORIENTED_EDGE('',*,*,#7067,.T.); +#7070=ORIENTED_EDGE('',*,*,#7069,.T.); +#7072=ORIENTED_EDGE('',*,*,#7071,.F.); +#7074=ORIENTED_EDGE('',*,*,#7073,.F.); +#7076=ORIENTED_EDGE('',*,*,#7075,.F.); +#7078=ORIENTED_EDGE('',*,*,#7077,.F.); +#7080=ORIENTED_EDGE('',*,*,#7079,.F.); +#7082=ORIENTED_EDGE('',*,*,#7081,.T.); +#7084=ORIENTED_EDGE('',*,*,#7083,.F.); +#7086=ORIENTED_EDGE('',*,*,#7085,.F.); +#7087=EDGE_LOOP('',(#7056,#7058,#7060,#7062,#7064,#7066,#7068,#7070,#7072,#7074, +#7076,#7078,#7080,#7082,#7084,#7086)); +#7088=FACE_BOUND('',#7087,.F.); +#7090=ORIENTED_EDGE('',*,*,#7089,.F.); +#7092=ORIENTED_EDGE('',*,*,#7091,.T.); +#7094=ORIENTED_EDGE('',*,*,#7093,.F.); +#7096=ORIENTED_EDGE('',*,*,#7095,.F.); +#7098=ORIENTED_EDGE('',*,*,#7097,.F.); +#7100=ORIENTED_EDGE('',*,*,#7099,.F.); +#7102=ORIENTED_EDGE('',*,*,#7101,.F.); +#7104=ORIENTED_EDGE('',*,*,#7103,.F.); +#7106=ORIENTED_EDGE('',*,*,#7105,.F.); +#7108=ORIENTED_EDGE('',*,*,#7107,.F.); +#7110=ORIENTED_EDGE('',*,*,#7109,.T.); +#7112=ORIENTED_EDGE('',*,*,#7111,.T.); +#7114=ORIENTED_EDGE('',*,*,#7113,.F.); +#7116=ORIENTED_EDGE('',*,*,#7115,.F.); +#7118=ORIENTED_EDGE('',*,*,#7117,.F.); +#7120=ORIENTED_EDGE('',*,*,#7119,.F.); +#7121=EDGE_LOOP('',(#7090,#7092,#7094,#7096,#7098,#7100,#7102,#7104,#7106,#7108, +#7110,#7112,#7114,#7116,#7118,#7120)); +#7122=FACE_BOUND('',#7121,.F.); +#7124=ORIENTED_EDGE('',*,*,#7123,.T.); +#7126=ORIENTED_EDGE('',*,*,#7125,.T.); +#7128=ORIENTED_EDGE('',*,*,#7127,.T.); +#7130=ORIENTED_EDGE('',*,*,#7129,.T.); +#7131=EDGE_LOOP('',(#7124,#7126,#7128,#7130)); +#7132=FACE_BOUND('',#7131,.F.); +#7134=ORIENTED_EDGE('',*,*,#7133,.T.); +#7136=ORIENTED_EDGE('',*,*,#7135,.T.); +#7138=ORIENTED_EDGE('',*,*,#7137,.T.); +#7140=ORIENTED_EDGE('',*,*,#7139,.T.); +#7141=EDGE_LOOP('',(#7134,#7136,#7138,#7140)); +#7142=FACE_BOUND('',#7141,.F.); +#7144=ORIENTED_EDGE('',*,*,#7143,.T.); +#7146=ORIENTED_EDGE('',*,*,#7145,.T.); +#7148=ORIENTED_EDGE('',*,*,#7147,.T.); +#7150=ORIENTED_EDGE('',*,*,#7149,.T.); +#7151=EDGE_LOOP('',(#7144,#7146,#7148,#7150)); +#7152=FACE_BOUND('',#7151,.F.); +#7154=ORIENTED_EDGE('',*,*,#7153,.T.); +#7156=ORIENTED_EDGE('',*,*,#7155,.T.); +#7158=ORIENTED_EDGE('',*,*,#7157,.T.); +#7160=ORIENTED_EDGE('',*,*,#7159,.T.); +#7161=EDGE_LOOP('',(#7154,#7156,#7158,#7160)); +#7162=FACE_BOUND('',#7161,.F.); +#7164=ORIENTED_EDGE('',*,*,#7163,.T.); +#7166=ORIENTED_EDGE('',*,*,#7165,.T.); +#7168=ORIENTED_EDGE('',*,*,#7167,.T.); +#7170=ORIENTED_EDGE('',*,*,#7169,.T.); +#7171=EDGE_LOOP('',(#7164,#7166,#7168,#7170)); +#7172=FACE_BOUND('',#7171,.F.); +#7174=ORIENTED_EDGE('',*,*,#7173,.T.); +#7176=ORIENTED_EDGE('',*,*,#7175,.T.); +#7178=ORIENTED_EDGE('',*,*,#7177,.T.); +#7180=ORIENTED_EDGE('',*,*,#7179,.T.); +#7181=EDGE_LOOP('',(#7174,#7176,#7178,#7180)); +#7182=FACE_BOUND('',#7181,.F.); +#7184=ORIENTED_EDGE('',*,*,#7183,.T.); +#7186=ORIENTED_EDGE('',*,*,#7185,.T.); +#7188=ORIENTED_EDGE('',*,*,#7187,.T.); +#7190=ORIENTED_EDGE('',*,*,#7189,.T.); +#7191=EDGE_LOOP('',(#7184,#7186,#7188,#7190)); +#7192=FACE_BOUND('',#7191,.F.); +#7194=ORIENTED_EDGE('',*,*,#7193,.T.); +#7196=ORIENTED_EDGE('',*,*,#7195,.T.); +#7198=ORIENTED_EDGE('',*,*,#7197,.T.); +#7200=ORIENTED_EDGE('',*,*,#7199,.T.); +#7201=EDGE_LOOP('',(#7194,#7196,#7198,#7200)); +#7202=FACE_BOUND('',#7201,.F.); +#7204=ORIENTED_EDGE('',*,*,#7203,.T.); +#7206=ORIENTED_EDGE('',*,*,#7205,.T.); +#7208=ORIENTED_EDGE('',*,*,#7207,.T.); +#7210=ORIENTED_EDGE('',*,*,#7209,.T.); +#7211=EDGE_LOOP('',(#7204,#7206,#7208,#7210)); +#7212=FACE_BOUND('',#7211,.F.); +#7214=ORIENTED_EDGE('',*,*,#7213,.T.); +#7216=ORIENTED_EDGE('',*,*,#7215,.T.); +#7218=ORIENTED_EDGE('',*,*,#7217,.T.); +#7220=ORIENTED_EDGE('',*,*,#7219,.T.); +#7221=EDGE_LOOP('',(#7214,#7216,#7218,#7220)); +#7222=FACE_BOUND('',#7221,.F.); +#7224=ORIENTED_EDGE('',*,*,#7223,.T.); +#7226=ORIENTED_EDGE('',*,*,#7225,.T.); +#7228=ORIENTED_EDGE('',*,*,#7227,.T.); +#7230=ORIENTED_EDGE('',*,*,#7229,.T.); +#7231=EDGE_LOOP('',(#7224,#7226,#7228,#7230)); +#7232=FACE_BOUND('',#7231,.F.); +#7234=ORIENTED_EDGE('',*,*,#7233,.T.); +#7236=ORIENTED_EDGE('',*,*,#7235,.T.); +#7238=ORIENTED_EDGE('',*,*,#7237,.T.); +#7240=ORIENTED_EDGE('',*,*,#7239,.T.); +#7241=EDGE_LOOP('',(#7234,#7236,#7238,#7240)); +#7242=FACE_BOUND('',#7241,.F.); +#7244=ORIENTED_EDGE('',*,*,#7243,.T.); +#7246=ORIENTED_EDGE('',*,*,#7245,.T.); +#7248=ORIENTED_EDGE('',*,*,#7247,.T.); +#7250=ORIENTED_EDGE('',*,*,#7249,.T.); +#7251=EDGE_LOOP('',(#7244,#7246,#7248,#7250)); +#7252=FACE_BOUND('',#7251,.F.); +#7254=ORIENTED_EDGE('',*,*,#7253,.T.); +#7256=ORIENTED_EDGE('',*,*,#7255,.T.); +#7258=ORIENTED_EDGE('',*,*,#7257,.T.); +#7260=ORIENTED_EDGE('',*,*,#7259,.T.); +#7261=EDGE_LOOP('',(#7254,#7256,#7258,#7260)); +#7262=FACE_BOUND('',#7261,.F.); +#7264=ORIENTED_EDGE('',*,*,#7263,.T.); +#7266=ORIENTED_EDGE('',*,*,#7265,.T.); +#7268=ORIENTED_EDGE('',*,*,#7267,.T.); +#7270=ORIENTED_EDGE('',*,*,#7269,.T.); +#7271=EDGE_LOOP('',(#7264,#7266,#7268,#7270)); +#7272=FACE_BOUND('',#7271,.F.); +#7274=ORIENTED_EDGE('',*,*,#7273,.T.); +#7276=ORIENTED_EDGE('',*,*,#7275,.T.); +#7278=ORIENTED_EDGE('',*,*,#7277,.T.); +#7280=ORIENTED_EDGE('',*,*,#7279,.T.); +#7281=EDGE_LOOP('',(#7274,#7276,#7278,#7280)); +#7282=FACE_BOUND('',#7281,.F.); +#7284=ORIENTED_EDGE('',*,*,#7283,.T.); +#7286=ORIENTED_EDGE('',*,*,#7285,.T.); +#7288=ORIENTED_EDGE('',*,*,#7287,.T.); +#7290=ORIENTED_EDGE('',*,*,#7289,.T.); +#7291=EDGE_LOOP('',(#7284,#7286,#7288,#7290)); +#7292=FACE_BOUND('',#7291,.F.); +#7294=ORIENTED_EDGE('',*,*,#7293,.T.); +#7296=ORIENTED_EDGE('',*,*,#7295,.T.); +#7298=ORIENTED_EDGE('',*,*,#7297,.T.); +#7300=ORIENTED_EDGE('',*,*,#7299,.T.); +#7301=EDGE_LOOP('',(#7294,#7296,#7298,#7300)); +#7302=FACE_BOUND('',#7301,.F.); +#7304=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-8.350000000001E0)); +#7305=DIRECTION('',(1.E0,0.E0,0.E0)); +#7306=DIRECTION('',(0.E0,0.E0,1.E0)); +#7307=AXIS2_PLACEMENT_3D('',#7304,#7305,#7306); +#7308=PLANE('',#7307); +#7310=ORIENTED_EDGE('',*,*,#7309,.F.); +#7311=ORIENTED_EDGE('',*,*,#6916,.T.); +#7313=ORIENTED_EDGE('',*,*,#7312,.F.); +#7314=ORIENTED_EDGE('',*,*,#7000,.F.); +#7315=EDGE_LOOP('',(#7310,#7311,#7313,#7314)); +#7316=FACE_OUTER_BOUND('',#7315,.F.); +#7318=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-8.15E0)); +#7319=DIRECTION('',(1.E0,0.E0,0.E0)); +#7320=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7321=AXIS2_PLACEMENT_3D('',#7318,#7319,#7320); +#7322=CYLINDRICAL_SURFACE('',#7321,2.E-1); +#7324=ORIENTED_EDGE('',*,*,#7323,.F.); +#7326=ORIENTED_EDGE('',*,*,#7325,.F.); +#7327=ORIENTED_EDGE('',*,*,#6643,.F.); +#7328=ORIENTED_EDGE('',*,*,#6918,.T.); +#7329=ORIENTED_EDGE('',*,*,#7309,.T.); +#7330=EDGE_LOOP('',(#7324,#7326,#7327,#7328,#7329)); +#7331=FACE_OUTER_BOUND('',#7330,.F.); +#7333=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.275E1)); +#7334=DIRECTION('',(1.E0,0.E0,0.E0)); +#7335=DIRECTION('',(0.E0,0.E0,1.E0)); +#7336=AXIS2_PLACEMENT_3D('',#7333,#7334,#7335); +#7337=PLANE('',#7336); +#7339=ORIENTED_EDGE('',*,*,#7338,.T.); +#7341=ORIENTED_EDGE('',*,*,#7340,.T.); +#7343=ORIENTED_EDGE('',*,*,#7342,.T.); +#7344=ORIENTED_EDGE('',*,*,#7043,.T.); +#7345=EDGE_LOOP('',(#7339,#7341,#7343,#7344)); +#7346=FACE_OUTER_BOUND('',#7345,.F.); +#7348=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.275E1)); +#7349=DIRECTION('',(1.E0,0.E0,0.E0)); +#7350=DIRECTION('',(0.E0,0.E0,1.E0)); +#7351=AXIS2_PLACEMENT_3D('',#7348,#7349,#7350); +#7352=PLANE('',#7351); +#7354=ORIENTED_EDGE('',*,*,#7353,.T.); +#7356=ORIENTED_EDGE('',*,*,#7355,.T.); +#7357=ORIENTED_EDGE('',*,*,#7323,.T.); +#7358=ORIENTED_EDGE('',*,*,#7051,.T.); +#7360=ORIENTED_EDGE('',*,*,#7359,.F.); +#7361=EDGE_LOOP('',(#7354,#7356,#7357,#7358,#7360)); +#7362=FACE_OUTER_BOUND('',#7361,.F.); +#7364=CARTESIAN_POINT('',(-7.95E0,-6.4E0,-1.315E1)); +#7365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7366=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7367=AXIS2_PLACEMENT_3D('',#7364,#7365,#7366); +#7368=PLANE('',#7367); +#7369=ORIENTED_EDGE('',*,*,#6653,.T.); +#7371=ORIENTED_EDGE('',*,*,#7370,.T.); +#7372=ORIENTED_EDGE('',*,*,#7338,.F.); +#7374=ORIENTED_EDGE('',*,*,#7373,.T.); +#7376=ORIENTED_EDGE('',*,*,#7375,.F.); +#7377=EDGE_LOOP('',(#7369,#7371,#7372,#7374,#7376)); +#7378=FACE_OUTER_BOUND('',#7377,.F.); +#7380=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-1.295E1)); +#7381=DIRECTION('',(1.E0,0.E0,0.E0)); +#7382=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7383=AXIS2_PLACEMENT_3D('',#7380,#7381,#7382); +#7384=CYLINDRICAL_SURFACE('',#7383,2.E-1); +#7385=ORIENTED_EDGE('',*,*,#7370,.F.); +#7386=ORIENTED_EDGE('',*,*,#6651,.T.); +#7388=ORIENTED_EDGE('',*,*,#7387,.T.); +#7389=ORIENTED_EDGE('',*,*,#7340,.F.); +#7390=EDGE_LOOP('',(#7385,#7386,#7388,#7389)); +#7391=FACE_OUTER_BOUND('',#7390,.F.); +#7393=CARTESIAN_POINT('',(0.E0,0.E0,-1.275E1)); +#7394=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7395=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7396=AXIS2_PLACEMENT_3D('',#7393,#7394,#7395); +#7397=PLANE('',#7396); +#7398=ORIENTED_EDGE('',*,*,#7045,.F.); +#7399=ORIENTED_EDGE('',*,*,#7342,.F.); +#7400=ORIENTED_EDGE('',*,*,#7387,.F.); +#7402=ORIENTED_EDGE('',*,*,#7401,.T.); +#7404=ORIENTED_EDGE('',*,*,#7403,.F.); +#7406=ORIENTED_EDGE('',*,*,#7405,.F.); +#7408=ORIENTED_EDGE('',*,*,#7407,.F.); +#7410=ORIENTED_EDGE('',*,*,#7409,.F.); +#7411=EDGE_LOOP('',(#7398,#7399,#7400,#7402,#7404,#7406,#7408,#7410)); +#7412=FACE_OUTER_BOUND('',#7411,.F.); +#7414=CARTESIAN_POINT('',(-7.55E0,-6.35E0,-1.075E1)); +#7415=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7416=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7417=AXIS2_PLACEMENT_3D('',#7414,#7415,#7416); +#7418=CYLINDRICAL_SURFACE('',#7417,4.E-1); +#7419=ORIENTED_EDGE('',*,*,#7401,.F.); +#7420=ORIENTED_EDGE('',*,*,#6649,.F.); +#7422=ORIENTED_EDGE('',*,*,#7421,.F.); +#7424=ORIENTED_EDGE('',*,*,#7423,.T.); +#7425=EDGE_LOOP('',(#7419,#7420,#7422,#7424)); +#7426=FACE_OUTER_BOUND('',#7425,.F.); +#7428=CARTESIAN_POINT('',(0.E0,0.E0,-1.075E1)); +#7429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7430=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7431=AXIS2_PLACEMENT_3D('',#7428,#7429,#7430); +#7432=PLANE('',#7431); +#7434=ORIENTED_EDGE('',*,*,#7433,.F.); +#7436=ORIENTED_EDGE('',*,*,#7435,.T.); +#7437=ORIENTED_EDGE('',*,*,#7421,.T.); +#7439=ORIENTED_EDGE('',*,*,#7438,.T.); +#7440=ORIENTED_EDGE('',*,*,#7359,.T.); +#7441=ORIENTED_EDGE('',*,*,#7049,.T.); +#7443=ORIENTED_EDGE('',*,*,#7442,.T.); +#7445=ORIENTED_EDGE('',*,*,#7444,.T.); +#7446=EDGE_LOOP('',(#7434,#7436,#7437,#7439,#7440,#7441,#7443,#7445)); +#7447=FACE_OUTER_BOUND('',#7446,.F.); +#7449=CARTESIAN_POINT('',(-5.795E0,-6.75E0,-1.075E1)); +#7450=DIRECTION('',(0.E0,1.E0,0.E0)); +#7451=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7452=AXIS2_PLACEMENT_3D('',#7449,#7450,#7451); +#7453=CYLINDRICAL_SURFACE('',#7452,1.6E0); +#7454=ORIENTED_EDGE('',*,*,#7433,.T.); +#7456=ORIENTED_EDGE('',*,*,#7455,.F.); +#7458=ORIENTED_EDGE('',*,*,#7457,.F.); +#7460=ORIENTED_EDGE('',*,*,#7459,.T.); +#7461=EDGE_LOOP('',(#7454,#7456,#7458,#7460)); +#7462=FACE_OUTER_BOUND('',#7461,.F.); +#7464=CARTESIAN_POINT('',(-7.55E0,-6.55E0,-1.075E1)); +#7465=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7466=DIRECTION('',(1.E0,0.E0,0.E0)); +#7467=AXIS2_PLACEMENT_3D('',#7464,#7465,#7466); +#7468=PLANE('',#7467); +#7469=ORIENTED_EDGE('',*,*,#7455,.T.); +#7470=ORIENTED_EDGE('',*,*,#7444,.F.); +#7472=ORIENTED_EDGE('',*,*,#7471,.T.); +#7473=ORIENTED_EDGE('',*,*,#7407,.T.); +#7475=ORIENTED_EDGE('',*,*,#7474,.F.); +#7476=EDGE_LOOP('',(#7469,#7470,#7472,#7473,#7475)); +#7477=FACE_OUTER_BOUND('',#7476,.F.); +#7479=CARTESIAN_POINT('',(-7.55E0,-6.55E0,-1.075E1)); +#7480=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7481=DIRECTION('',(1.E0,0.E0,0.E0)); +#7482=AXIS2_PLACEMENT_3D('',#7479,#7480,#7481); +#7483=PLANE('',#7482); +#7485=ORIENTED_EDGE('',*,*,#7484,.T.); +#7487=ORIENTED_EDGE('',*,*,#7486,.T.); +#7489=ORIENTED_EDGE('',*,*,#7488,.T.); +#7490=EDGE_LOOP('',(#7485,#7487,#7489)); +#7491=FACE_OUTER_BOUND('',#7490,.F.); +#7493=CARTESIAN_POINT('',(-7.55E0,-6.35E0,-1.075E1)); +#7494=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7495=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7496=AXIS2_PLACEMENT_3D('',#7493,#7494,#7495); +#7497=CYLINDRICAL_SURFACE('',#7496,2.E-1); +#7498=ORIENTED_EDGE('',*,*,#7442,.F.); +#7499=ORIENTED_EDGE('',*,*,#7047,.T.); +#7500=ORIENTED_EDGE('',*,*,#7409,.T.); +#7501=ORIENTED_EDGE('',*,*,#7471,.F.); +#7502=EDGE_LOOP('',(#7498,#7499,#7500,#7501)); +#7503=FACE_OUTER_BOUND('',#7502,.F.); +#7505=CARTESIAN_POINT('',(-6.85E0,-6.55E0,-1.075E1)); +#7506=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7507=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7508=AXIS2_PLACEMENT_3D('',#7505,#7506,#7507); +#7509=PLANE('',#7508); +#7510=ORIENTED_EDGE('',*,*,#7457,.T.); +#7511=ORIENTED_EDGE('',*,*,#7474,.T.); +#7512=ORIENTED_EDGE('',*,*,#7405,.T.); +#7514=ORIENTED_EDGE('',*,*,#7513,.F.); +#7515=EDGE_LOOP('',(#7510,#7511,#7512,#7514)); +#7516=FACE_OUTER_BOUND('',#7515,.F.); +#7518=CARTESIAN_POINT('',(-6.85E0,-6.75E0,-1.075E1)); +#7519=DIRECTION('',(0.E0,1.E0,0.E0)); +#7520=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7521=AXIS2_PLACEMENT_3D('',#7518,#7519,#7520); +#7522=PLANE('',#7521); +#7523=ORIENTED_EDGE('',*,*,#7459,.F.); +#7524=ORIENTED_EDGE('',*,*,#7513,.T.); +#7525=ORIENTED_EDGE('',*,*,#7403,.T.); +#7526=ORIENTED_EDGE('',*,*,#7423,.F.); +#7527=ORIENTED_EDGE('',*,*,#7435,.F.); +#7528=EDGE_LOOP('',(#7523,#7524,#7525,#7526,#7527)); +#7529=FACE_OUTER_BOUND('',#7528,.F.); +#7531=CARTESIAN_POINT('',(-6.85E0,-6.75E0,-1.075E1)); +#7532=DIRECTION('',(0.E0,1.E0,0.E0)); +#7533=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7534=AXIS2_PLACEMENT_3D('',#7531,#7532,#7533); +#7535=PLANE('',#7534); +#7537=ORIENTED_EDGE('',*,*,#7536,.F.); +#7539=ORIENTED_EDGE('',*,*,#7538,.F.); +#7541=ORIENTED_EDGE('',*,*,#7540,.F.); +#7542=EDGE_LOOP('',(#7537,#7539,#7541)); +#7543=FACE_OUTER_BOUND('',#7542,.F.); +#7545=CARTESIAN_POINT('',(-7.315E0,-6.4E0,-1.1E1)); +#7546=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7547=DIRECTION('',(0.E0,0.E0,1.E0)); +#7548=AXIS2_PLACEMENT_3D('',#7545,#7546,#7547); +#7549=PLANE('',#7548); +#7551=ORIENTED_EDGE('',*,*,#7550,.T.); +#7552=ORIENTED_EDGE('',*,*,#7536,.T.); +#7554=ORIENTED_EDGE('',*,*,#7553,.T.); +#7555=ORIENTED_EDGE('',*,*,#7484,.F.); +#7557=ORIENTED_EDGE('',*,*,#7556,.T.); +#7558=ORIENTED_EDGE('',*,*,#7059,.T.); +#7560=ORIENTED_EDGE('',*,*,#7559,.F.); +#7562=ORIENTED_EDGE('',*,*,#7561,.T.); +#7563=EDGE_LOOP('',(#7551,#7552,#7554,#7555,#7557,#7558,#7560,#7562)); +#7564=FACE_OUTER_BOUND('',#7563,.F.); +#7566=CARTESIAN_POINT('',(-5.965E0,-6.4E0,-1.1E1)); +#7567=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7568=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7569=AXIS2_PLACEMENT_3D('',#7566,#7567,#7568); +#7570=PLANE('',#7569); +#7571=ORIENTED_EDGE('',*,*,#7550,.F.); +#7573=ORIENTED_EDGE('',*,*,#7572,.F.); +#7575=ORIENTED_EDGE('',*,*,#7574,.T.); +#7576=ORIENTED_EDGE('',*,*,#7538,.T.); +#7577=EDGE_LOOP('',(#7571,#7573,#7575,#7576)); +#7578=FACE_OUTER_BOUND('',#7577,.F.); +#7580=CARTESIAN_POINT('',(-5.965E0,-6.4E0,-1.1E1)); +#7581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7582=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7583=AXIS2_PLACEMENT_3D('',#7580,#7581,#7582); +#7584=PLANE('',#7583); +#7585=ORIENTED_EDGE('',*,*,#7556,.F.); +#7586=ORIENTED_EDGE('',*,*,#7488,.F.); +#7588=ORIENTED_EDGE('',*,*,#7587,.T.); +#7589=ORIENTED_EDGE('',*,*,#7061,.T.); +#7590=EDGE_LOOP('',(#7585,#7586,#7588,#7589)); +#7591=FACE_OUTER_BOUND('',#7590,.F.); +#7593=CARTESIAN_POINT('',(-7.2275E0,-1.005E1,-1.075E1)); +#7594=DIRECTION('',(-9.769000173963E-1,-2.136968788054E-1,0.E0)); +#7595=DIRECTION('',(-2.136968788054E-1,9.769000173963E-1,0.E0)); +#7596=AXIS2_PLACEMENT_3D('',#7593,#7594,#7595); +#7597=PLANE('',#7596); +#7599=ORIENTED_EDGE('',*,*,#7598,.T.); +#7600=ORIENTED_EDGE('',*,*,#7572,.T.); +#7601=ORIENTED_EDGE('',*,*,#7561,.F.); +#7603=ORIENTED_EDGE('',*,*,#7602,.T.); +#7605=ORIENTED_EDGE('',*,*,#7604,.T.); +#7607=ORIENTED_EDGE('',*,*,#7606,.T.); +#7608=EDGE_LOOP('',(#7599,#7600,#7601,#7603,#7605,#7607)); +#7609=FACE_OUTER_BOUND('',#7608,.F.); +#7611=CARTESIAN_POINT('',(-7.265E0,-3.287192E1,-1.23E1)); +#7612=DIRECTION('',(0.E0,1.E0,0.E0)); +#7613=DIRECTION('',(0.E0,0.E0,1.E0)); +#7614=AXIS2_PLACEMENT_3D('',#7611,#7612,#7613); +#7615=CYLINDRICAL_SURFACE('',#7614,1.3E0); +#7616=ORIENTED_EDGE('',*,*,#7587,.F.); +#7617=ORIENTED_EDGE('',*,*,#7486,.F.); +#7618=ORIENTED_EDGE('',*,*,#7553,.F.); +#7619=ORIENTED_EDGE('',*,*,#7540,.T.); +#7620=ORIENTED_EDGE('',*,*,#7574,.F.); +#7621=ORIENTED_EDGE('',*,*,#7598,.F.); +#7623=ORIENTED_EDGE('',*,*,#7622,.T.); +#7625=ORIENTED_EDGE('',*,*,#7624,.F.); +#7627=ORIENTED_EDGE('',*,*,#7626,.T.); +#7629=ORIENTED_EDGE('',*,*,#7628,.T.); +#7631=ORIENTED_EDGE('',*,*,#7630,.T.); +#7632=ORIENTED_EDGE('',*,*,#7063,.T.); +#7633=EDGE_LOOP('',(#7616,#7617,#7618,#7619,#7620,#7621,#7623,#7625,#7627,#7629, +#7631,#7632)); +#7634=FACE_OUTER_BOUND('',#7633,.F.); +#7636=CARTESIAN_POINT('',(0.E0,-1.045E1,0.E0)); +#7637=DIRECTION('',(0.E0,1.E0,0.E0)); +#7638=DIRECTION('',(1.E0,0.E0,0.E0)); +#7639=AXIS2_PLACEMENT_3D('',#7636,#7637,#7638); +#7640=PLANE('',#7639); +#7641=ORIENTED_EDGE('',*,*,#7606,.F.); +#7643=ORIENTED_EDGE('',*,*,#7642,.F.); +#7645=ORIENTED_EDGE('',*,*,#7644,.F.); +#7647=ORIENTED_EDGE('',*,*,#7646,.F.); +#7649=ORIENTED_EDGE('',*,*,#7648,.F.); +#7651=ORIENTED_EDGE('',*,*,#7650,.F.); +#7653=ORIENTED_EDGE('',*,*,#7652,.F.); +#7654=ORIENTED_EDGE('',*,*,#7622,.F.); +#7655=EDGE_LOOP('',(#7641,#7643,#7645,#7647,#7649,#7651,#7653,#7654)); +#7656=FACE_OUTER_BOUND('',#7655,.F.); +#7658=CARTESIAN_POINT('',(0.E0,-1.045E1,0.E0)); +#7659=DIRECTION('',(0.E0,1.E0,0.E0)); +#7660=DIRECTION('',(1.E0,0.E0,0.E0)); +#7661=AXIS2_PLACEMENT_3D('',#7658,#7659,#7660); +#7662=PLANE('',#7661); +#7664=ORIENTED_EDGE('',*,*,#7663,.F.); +#7666=ORIENTED_EDGE('',*,*,#7665,.F.); +#7668=ORIENTED_EDGE('',*,*,#7667,.F.); +#7670=ORIENTED_EDGE('',*,*,#7669,.F.); +#7672=ORIENTED_EDGE('',*,*,#7671,.F.); +#7674=ORIENTED_EDGE('',*,*,#7673,.F.); +#7676=ORIENTED_EDGE('',*,*,#7675,.F.); +#7678=ORIENTED_EDGE('',*,*,#7677,.F.); +#7679=EDGE_LOOP('',(#7664,#7666,#7668,#7670,#7672,#7674,#7676,#7678)); +#7680=FACE_OUTER_BOUND('',#7679,.F.); +#7682=CARTESIAN_POINT('',(-7.265E0,-3.287192E1,-9.2E0)); +#7683=DIRECTION('',(0.E0,1.E0,0.E0)); +#7684=DIRECTION('',(1.E0,0.E0,0.E0)); +#7685=AXIS2_PLACEMENT_3D('',#7682,#7683,#7684); +#7686=CYLINDRICAL_SURFACE('',#7685,1.3E0); +#7687=ORIENTED_EDGE('',*,*,#7604,.F.); +#7689=ORIENTED_EDGE('',*,*,#7688,.T.); +#7690=ORIENTED_EDGE('',*,*,#7055,.T.); +#7692=ORIENTED_EDGE('',*,*,#7691,.T.); +#7694=ORIENTED_EDGE('',*,*,#7693,.T.); +#7696=ORIENTED_EDGE('',*,*,#7695,.F.); +#7698=ORIENTED_EDGE('',*,*,#7697,.F.); +#7699=ORIENTED_EDGE('',*,*,#7642,.T.); +#7700=EDGE_LOOP('',(#7687,#7689,#7690,#7692,#7694,#7696,#7698,#7699)); +#7701=FACE_OUTER_BOUND('',#7700,.F.); +#7703=CARTESIAN_POINT('',(-7.315E0,-6.4E0,-1.05E1)); +#7704=DIRECTION('',(0.E0,0.E0,1.E0)); +#7705=DIRECTION('',(1.E0,0.E0,0.E0)); +#7706=AXIS2_PLACEMENT_3D('',#7703,#7704,#7705); +#7707=PLANE('',#7706); +#7708=ORIENTED_EDGE('',*,*,#7602,.F.); +#7709=ORIENTED_EDGE('',*,*,#7559,.T.); +#7710=ORIENTED_EDGE('',*,*,#7057,.T.); +#7711=ORIENTED_EDGE('',*,*,#7688,.F.); +#7712=EDGE_LOOP('',(#7708,#7709,#7710,#7711)); +#7713=FACE_OUTER_BOUND('',#7712,.F.); +#7715=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-5.35E0)); +#7716=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7717=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7718=AXIS2_PLACEMENT_3D('',#7715,#7716,#7717); +#7719=PLANE('',#7718); +#7720=ORIENTED_EDGE('',*,*,#7691,.F.); +#7721=ORIENTED_EDGE('',*,*,#7085,.T.); +#7723=ORIENTED_EDGE('',*,*,#7722,.T.); +#7725=ORIENTED_EDGE('',*,*,#7724,.T.); +#7726=EDGE_LOOP('',(#7720,#7721,#7723,#7725)); +#7727=FACE_OUTER_BOUND('',#7726,.F.); +#7729=CARTESIAN_POINT('',(-6.14E0,-6.4E0,-5.35E0)); +#7730=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7731=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7732=AXIS2_PLACEMENT_3D('',#7729,#7730,#7731); +#7733=PLANE('',#7732); +#7734=ORIENTED_EDGE('',*,*,#7630,.F.); +#7736=ORIENTED_EDGE('',*,*,#7735,.T.); +#7738=ORIENTED_EDGE('',*,*,#7737,.F.); +#7739=ORIENTED_EDGE('',*,*,#7065,.T.); +#7740=EDGE_LOOP('',(#7734,#7736,#7738,#7739)); +#7741=FACE_OUTER_BOUND('',#7740,.F.); +#7743=CARTESIAN_POINT('',(0.E0,0.E0,-5.35E0)); +#7744=DIRECTION('',(0.E0,0.E0,1.E0)); +#7745=DIRECTION('',(1.E0,0.E0,0.E0)); +#7746=AXIS2_PLACEMENT_3D('',#7743,#7744,#7745); +#7747=PLANE('',#7746); +#7748=ORIENTED_EDGE('',*,*,#7083,.T.); +#7750=ORIENTED_EDGE('',*,*,#7749,.F.); +#7752=ORIENTED_EDGE('',*,*,#7751,.F.); +#7753=ORIENTED_EDGE('',*,*,#7722,.F.); +#7754=EDGE_LOOP('',(#7748,#7750,#7752,#7753)); +#7755=FACE_OUTER_BOUND('',#7754,.F.); +#7757=CARTESIAN_POINT('',(0.E0,0.E0,-5.35E0)); +#7758=DIRECTION('',(0.E0,0.E0,1.E0)); +#7759=DIRECTION('',(1.E0,0.E0,0.E0)); +#7760=AXIS2_PLACEMENT_3D('',#7757,#7758,#7759); +#7761=PLANE('',#7760); +#7762=ORIENTED_EDGE('',*,*,#7093,.T.); +#7764=ORIENTED_EDGE('',*,*,#7763,.F.); +#7766=ORIENTED_EDGE('',*,*,#7765,.F.); +#7768=ORIENTED_EDGE('',*,*,#7767,.F.); +#7769=EDGE_LOOP('',(#7762,#7764,#7766,#7768)); +#7770=FACE_OUTER_BOUND('',#7769,.F.); +#7772=CARTESIAN_POINT('',(-5.29E0,-6.475E0,-5.35E0)); +#7773=DIRECTION('',(1.E0,0.E0,0.E0)); +#7774=DIRECTION('',(0.E0,1.E0,0.E0)); +#7775=AXIS2_PLACEMENT_3D('',#7772,#7773,#7774); +#7776=PLANE('',#7775); +#7778=ORIENTED_EDGE('',*,*,#7777,.F.); +#7779=ORIENTED_EDGE('',*,*,#7069,.F.); +#7781=ORIENTED_EDGE('',*,*,#7780,.F.); +#7783=ORIENTED_EDGE('',*,*,#7782,.F.); +#7784=EDGE_LOOP('',(#7778,#7779,#7781,#7783)); +#7785=FACE_OUTER_BOUND('',#7784,.F.); +#7787=CARTESIAN_POINT('',(-5.29E0,-6.475E0,-5.35E0)); +#7788=DIRECTION('',(1.E0,0.E0,0.E0)); +#7789=DIRECTION('',(0.E0,1.E0,0.E0)); +#7790=AXIS2_PLACEMENT_3D('',#7787,#7788,#7789); +#7791=PLANE('',#7790); +#7793=ORIENTED_EDGE('',*,*,#7792,.F.); +#7795=ORIENTED_EDGE('',*,*,#7794,.F.); +#7796=ORIENTED_EDGE('',*,*,#7749,.T.); +#7797=ORIENTED_EDGE('',*,*,#7081,.F.); +#7798=EDGE_LOOP('',(#7793,#7795,#7796,#7797)); +#7799=FACE_OUTER_BOUND('',#7798,.F.); +#7801=CARTESIAN_POINT('',(-4.165E0,-3.287192E1,-1.23E1)); +#7802=DIRECTION('',(0.E0,1.E0,0.E0)); +#7803=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7804=AXIS2_PLACEMENT_3D('',#7801,#7802,#7803); +#7805=CYLINDRICAL_SURFACE('',#7804,1.3E0); +#7807=ORIENTED_EDGE('',*,*,#7806,.F.); +#7809=ORIENTED_EDGE('',*,*,#7808,.T.); +#7810=ORIENTED_EDGE('',*,*,#7071,.T.); +#7811=ORIENTED_EDGE('',*,*,#7777,.T.); +#7813=ORIENTED_EDGE('',*,*,#7812,.T.); +#7815=ORIENTED_EDGE('',*,*,#7814,.F.); +#7817=ORIENTED_EDGE('',*,*,#7816,.F.); +#7818=ORIENTED_EDGE('',*,*,#7650,.T.); +#7819=EDGE_LOOP('',(#7807,#7809,#7810,#7811,#7813,#7815,#7817,#7818)); +#7820=FACE_OUTER_BOUND('',#7819,.F.); +#7822=CARTESIAN_POINT('',(-4.2025E0,-1.005E1,-1.075E1)); +#7823=DIRECTION('',(9.769000173963E-1,-2.136968788054E-1,0.E0)); +#7824=DIRECTION('',(2.136968788054E-1,9.769000173963E-1,0.E0)); +#7825=AXIS2_PLACEMENT_3D('',#7822,#7823,#7824); +#7826=PLANE('',#7825); +#7828=ORIENTED_EDGE('',*,*,#7827,.T.); +#7830=ORIENTED_EDGE('',*,*,#7829,.T.); +#7832=ORIENTED_EDGE('',*,*,#7831,.F.); +#7834=ORIENTED_EDGE('',*,*,#7833,.T.); +#7835=ORIENTED_EDGE('',*,*,#7806,.T.); +#7836=ORIENTED_EDGE('',*,*,#7648,.T.); +#7837=EDGE_LOOP('',(#7828,#7830,#7832,#7834,#7835,#7836)); +#7838=FACE_OUTER_BOUND('',#7837,.F.); +#7840=CARTESIAN_POINT('',(-4.165E0,-3.287192E1,-9.2E0)); +#7841=DIRECTION('',(0.E0,1.E0,0.E0)); +#7842=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7843=AXIS2_PLACEMENT_3D('',#7840,#7841,#7842); +#7844=CYLINDRICAL_SURFACE('',#7843,1.3E0); +#7846=ORIENTED_EDGE('',*,*,#7845,.F.); +#7848=ORIENTED_EDGE('',*,*,#7847,.T.); +#7850=ORIENTED_EDGE('',*,*,#7849,.T.); +#7851=ORIENTED_EDGE('',*,*,#7792,.T.); +#7852=ORIENTED_EDGE('',*,*,#7079,.T.); +#7854=ORIENTED_EDGE('',*,*,#7853,.F.); +#7855=ORIENTED_EDGE('',*,*,#7827,.F.); +#7856=ORIENTED_EDGE('',*,*,#7646,.T.); +#7857=EDGE_LOOP('',(#7846,#7848,#7850,#7851,#7852,#7854,#7855,#7856)); +#7858=FACE_OUTER_BOUND('',#7857,.F.); +#7860=CARTESIAN_POINT('',(-5.715E0,-1.005E1,-9.2375E0)); +#7861=DIRECTION('',(0.E0,-2.136968788054E-1,9.769000173963E-1)); +#7862=DIRECTION('',(0.E0,9.769000173963E-1,2.136968788054E-1)); +#7863=AXIS2_PLACEMENT_3D('',#7860,#7861,#7862); +#7864=PLANE('',#7863); +#7865=ORIENTED_EDGE('',*,*,#7697,.T.); +#7867=ORIENTED_EDGE('',*,*,#7866,.T.); +#7869=ORIENTED_EDGE('',*,*,#7868,.F.); +#7871=ORIENTED_EDGE('',*,*,#7870,.T.); +#7872=ORIENTED_EDGE('',*,*,#7845,.T.); +#7873=ORIENTED_EDGE('',*,*,#7644,.T.); +#7874=EDGE_LOOP('',(#7865,#7867,#7869,#7871,#7872,#7873)); +#7875=FACE_OUTER_BOUND('',#7874,.F.); +#7877=CARTESIAN_POINT('',(-5.965E0,-6.4E0,-1.05E1)); +#7878=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7879=DIRECTION('',(0.E0,0.E0,1.E0)); +#7880=AXIS2_PLACEMENT_3D('',#7877,#7878,#7879); +#7881=PLANE('',#7880); +#7882=ORIENTED_EDGE('',*,*,#7866,.F.); +#7883=ORIENTED_EDGE('',*,*,#7695,.T.); +#7885=ORIENTED_EDGE('',*,*,#7884,.T.); +#7887=ORIENTED_EDGE('',*,*,#7886,.F.); +#7888=EDGE_LOOP('',(#7882,#7883,#7885,#7887)); +#7889=FACE_OUTER_BOUND('',#7888,.F.); +#7891=CARTESIAN_POINT('',(-5.715E0,-6.475E0,-5.35E0)); +#7892=DIRECTION('',(0.E0,0.E0,1.E0)); +#7893=DIRECTION('',(1.E0,0.E0,0.E0)); +#7894=AXIS2_PLACEMENT_3D('',#7891,#7892,#7893); +#7895=CYLINDRICAL_SURFACE('',#7894,4.25E-1); +#7896=ORIENTED_EDGE('',*,*,#7693,.F.); +#7897=ORIENTED_EDGE('',*,*,#7724,.F.); +#7898=ORIENTED_EDGE('',*,*,#7751,.T.); +#7899=ORIENTED_EDGE('',*,*,#7794,.T.); +#7900=ORIENTED_EDGE('',*,*,#7849,.F.); +#7902=ORIENTED_EDGE('',*,*,#7901,.T.); +#7904=ORIENTED_EDGE('',*,*,#7903,.F.); +#7905=ORIENTED_EDGE('',*,*,#7884,.F.); +#7906=EDGE_LOOP('',(#7896,#7897,#7898,#7899,#7900,#7902,#7904,#7905)); +#7907=FACE_OUTER_BOUND('',#7906,.F.); +#7909=CARTESIAN_POINT('',(-5.715E0,-6.475E0,-5.35E0)); +#7910=DIRECTION('',(0.E0,0.E0,1.E0)); +#7911=DIRECTION('',(1.E0,0.E0,0.E0)); +#7912=AXIS2_PLACEMENT_3D('',#7909,#7910,#7911); +#7913=CYLINDRICAL_SURFACE('',#7912,4.25E-1); +#7914=ORIENTED_EDGE('',*,*,#7628,.F.); +#7916=ORIENTED_EDGE('',*,*,#7915,.F.); +#7918=ORIENTED_EDGE('',*,*,#7917,.T.); +#7920=ORIENTED_EDGE('',*,*,#7919,.T.); +#7921=ORIENTED_EDGE('',*,*,#7812,.F.); +#7922=ORIENTED_EDGE('',*,*,#7782,.T.); +#7924=ORIENTED_EDGE('',*,*,#7923,.F.); +#7925=ORIENTED_EDGE('',*,*,#7735,.F.); +#7926=EDGE_LOOP('',(#7914,#7916,#7918,#7920,#7921,#7922,#7924,#7925)); +#7927=FACE_OUTER_BOUND('',#7926,.F.); +#7929=CARTESIAN_POINT('',(-5.465E0,-6.4E0,-9.15E0)); +#7930=DIRECTION('',(1.E0,0.E0,0.E0)); +#7931=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7932=AXIS2_PLACEMENT_3D('',#7929,#7930,#7931); +#7933=PLANE('',#7932); +#7934=ORIENTED_EDGE('',*,*,#7870,.F.); +#7936=ORIENTED_EDGE('',*,*,#7935,.F.); +#7937=ORIENTED_EDGE('',*,*,#7901,.F.); +#7938=ORIENTED_EDGE('',*,*,#7847,.F.); +#7939=EDGE_LOOP('',(#7934,#7936,#7937,#7938)); +#7940=FACE_OUTER_BOUND('',#7939,.F.); +#7942=CARTESIAN_POINT('',(-5.965E0,-6.4E0,-9.15E0)); +#7943=DIRECTION('',(0.E0,0.E0,1.E0)); +#7944=DIRECTION('',(1.E0,0.E0,0.E0)); +#7945=AXIS2_PLACEMENT_3D('',#7942,#7943,#7944); +#7946=PLANE('',#7945); +#7947=ORIENTED_EDGE('',*,*,#7868,.T.); +#7948=ORIENTED_EDGE('',*,*,#7886,.T.); +#7949=ORIENTED_EDGE('',*,*,#7903,.T.); +#7950=ORIENTED_EDGE('',*,*,#7935,.T.); +#7951=EDGE_LOOP('',(#7947,#7948,#7949,#7950)); +#7952=FACE_OUTER_BOUND('',#7951,.F.); +#7954=CARTESIAN_POINT('',(-5.965E0,-6.4E0,-1.235E1)); +#7955=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7956=DIRECTION('',(0.E0,0.E0,1.E0)); +#7957=AXIS2_PLACEMENT_3D('',#7954,#7955,#7956); +#7958=PLANE('',#7957); +#7960=ORIENTED_EDGE('',*,*,#7959,.F.); +#7962=ORIENTED_EDGE('',*,*,#7961,.F.); +#7963=ORIENTED_EDGE('',*,*,#7915,.T.); +#7964=ORIENTED_EDGE('',*,*,#7626,.F.); +#7965=EDGE_LOOP('',(#7960,#7962,#7963,#7964)); +#7966=FACE_OUTER_BOUND('',#7965,.F.); +#7968=CARTESIAN_POINT('',(-5.715E0,-1.005E1,-1.22625E1)); +#7969=DIRECTION('',(0.E0,-2.136968788054E-1,-9.769000173963E-1)); +#7970=DIRECTION('',(0.E0,9.769000173963E-1,-2.136968788054E-1)); +#7971=AXIS2_PLACEMENT_3D('',#7968,#7969,#7970); +#7972=PLANE('',#7971); +#7973=ORIENTED_EDGE('',*,*,#7816,.T.); +#7975=ORIENTED_EDGE('',*,*,#7974,.T.); +#7977=ORIENTED_EDGE('',*,*,#7976,.F.); +#7978=ORIENTED_EDGE('',*,*,#7959,.T.); +#7979=ORIENTED_EDGE('',*,*,#7624,.T.); +#7980=ORIENTED_EDGE('',*,*,#7652,.T.); +#7981=EDGE_LOOP('',(#7973,#7975,#7977,#7978,#7979,#7980)); +#7982=FACE_OUTER_BOUND('',#7981,.F.); +#7984=CARTESIAN_POINT('',(-5.465E0,-6.4E0,-1.1E1)); +#7985=DIRECTION('',(1.E0,0.E0,0.E0)); +#7986=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7987=AXIS2_PLACEMENT_3D('',#7984,#7985,#7986); +#7988=PLANE('',#7987); +#7989=ORIENTED_EDGE('',*,*,#7974,.F.); +#7990=ORIENTED_EDGE('',*,*,#7814,.T.); +#7991=ORIENTED_EDGE('',*,*,#7919,.F.); +#7993=ORIENTED_EDGE('',*,*,#7992,.F.); +#7994=EDGE_LOOP('',(#7989,#7990,#7991,#7993)); +#7995=FACE_OUTER_BOUND('',#7994,.F.); +#7997=CARTESIAN_POINT('',(-5.465E0,-6.4E0,-1.235E1)); +#7998=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7999=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8000=AXIS2_PLACEMENT_3D('',#7997,#7998,#7999); +#8001=PLANE('',#8000); +#8002=ORIENTED_EDGE('',*,*,#7976,.T.); +#8003=ORIENTED_EDGE('',*,*,#7992,.T.); +#8004=ORIENTED_EDGE('',*,*,#7917,.F.); +#8005=ORIENTED_EDGE('',*,*,#7961,.T.); +#8006=EDGE_LOOP('',(#8002,#8003,#8004,#8005)); +#8007=FACE_OUTER_BOUND('',#8006,.F.); +#8009=CARTESIAN_POINT('',(0.E0,0.E0,-1.43E1)); +#8010=DIRECTION('',(0.E0,0.E0,1.E0)); +#8011=DIRECTION('',(1.E0,0.E0,0.E0)); +#8012=AXIS2_PLACEMENT_3D('',#8009,#8010,#8011); +#8013=PLANE('',#8012); +#8014=ORIENTED_EDGE('',*,*,#7067,.F.); +#8015=ORIENTED_EDGE('',*,*,#7737,.T.); +#8016=ORIENTED_EDGE('',*,*,#7923,.T.); +#8017=ORIENTED_EDGE('',*,*,#7780,.T.); +#8018=EDGE_LOOP('',(#8014,#8015,#8016,#8017)); +#8019=FACE_OUTER_BOUND('',#8018,.F.); +#8021=CARTESIAN_POINT('',(0.E0,0.E0,-1.43E1)); +#8022=DIRECTION('',(0.E0,0.E0,1.E0)); +#8023=DIRECTION('',(1.E0,0.E0,0.E0)); +#8024=AXIS2_PLACEMENT_3D('',#8021,#8022,#8023); +#8025=PLANE('',#8024); +#8026=ORIENTED_EDGE('',*,*,#7109,.F.); +#8028=ORIENTED_EDGE('',*,*,#8027,.T.); +#8030=ORIENTED_EDGE('',*,*,#8029,.T.); +#8032=ORIENTED_EDGE('',*,*,#8031,.T.); +#8033=EDGE_LOOP('',(#8026,#8028,#8030,#8032)); +#8034=FACE_OUTER_BOUND('',#8033,.F.); +#8036=CARTESIAN_POINT('',(5.29E0,-6.4E0,-5.35E0)); +#8037=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8038=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8039=AXIS2_PLACEMENT_3D('',#8036,#8037,#8038); +#8040=PLANE('',#8039); +#8042=ORIENTED_EDGE('',*,*,#8041,.F.); +#8043=ORIENTED_EDGE('',*,*,#7095,.T.); +#8044=ORIENTED_EDGE('',*,*,#7767,.T.); +#8046=ORIENTED_EDGE('',*,*,#8045,.T.); +#8047=EDGE_LOOP('',(#8042,#8043,#8044,#8046)); +#8048=FACE_OUTER_BOUND('',#8047,.F.); +#8050=CARTESIAN_POINT('',(5.29E0,-6.4E0,-5.35E0)); +#8051=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8052=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8053=AXIS2_PLACEMENT_3D('',#8050,#8051,#8052); +#8054=PLANE('',#8053); +#8056=ORIENTED_EDGE('',*,*,#8055,.F.); +#8058=ORIENTED_EDGE('',*,*,#8057,.T.); +#8059=ORIENTED_EDGE('',*,*,#8027,.F.); +#8060=ORIENTED_EDGE('',*,*,#7107,.T.); +#8061=EDGE_LOOP('',(#8056,#8058,#8059,#8060)); +#8062=FACE_OUTER_BOUND('',#8061,.F.); +#8064=CARTESIAN_POINT('',(4.165E0,-3.287192E1,-9.2E0)); +#8065=DIRECTION('',(0.E0,1.E0,0.E0)); +#8066=DIRECTION('',(1.E0,0.E0,0.E0)); +#8067=AXIS2_PLACEMENT_3D('',#8064,#8065,#8066); +#8068=CYLINDRICAL_SURFACE('',#8067,1.3E0); +#8070=ORIENTED_EDGE('',*,*,#8069,.F.); +#8071=ORIENTED_EDGE('',*,*,#7677,.T.); +#8073=ORIENTED_EDGE('',*,*,#8072,.F.); +#8075=ORIENTED_EDGE('',*,*,#8074,.T.); +#8076=ORIENTED_EDGE('',*,*,#7097,.T.); +#8077=ORIENTED_EDGE('',*,*,#8041,.T.); +#8079=ORIENTED_EDGE('',*,*,#8078,.T.); +#8081=ORIENTED_EDGE('',*,*,#8080,.F.); +#8082=EDGE_LOOP('',(#8070,#8071,#8073,#8075,#8076,#8077,#8079,#8081)); +#8083=FACE_OUTER_BOUND('',#8082,.F.); +#8085=CARTESIAN_POINT('',(5.715E0,-1.005E1,-9.2375E0)); +#8086=DIRECTION('',(0.E0,-2.136968788054E-1,9.769000173963E-1)); +#8087=DIRECTION('',(0.E0,9.769000173963E-1,2.136968788054E-1)); +#8088=AXIS2_PLACEMENT_3D('',#8085,#8086,#8087); +#8089=PLANE('',#8088); +#8090=ORIENTED_EDGE('',*,*,#8069,.T.); +#8092=ORIENTED_EDGE('',*,*,#8091,.T.); +#8094=ORIENTED_EDGE('',*,*,#8093,.F.); +#8096=ORIENTED_EDGE('',*,*,#8095,.T.); +#8098=ORIENTED_EDGE('',*,*,#8097,.T.); +#8099=ORIENTED_EDGE('',*,*,#7663,.T.); +#8100=EDGE_LOOP('',(#8090,#8092,#8094,#8096,#8098,#8099)); +#8101=FACE_OUTER_BOUND('',#8100,.F.); +#8103=CARTESIAN_POINT('',(5.465E0,-6.4E0,-1.05E1)); +#8104=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8105=DIRECTION('',(0.E0,0.E0,1.E0)); +#8106=AXIS2_PLACEMENT_3D('',#8103,#8104,#8105); +#8107=PLANE('',#8106); +#8108=ORIENTED_EDGE('',*,*,#8091,.F.); +#8109=ORIENTED_EDGE('',*,*,#8080,.T.); +#8111=ORIENTED_EDGE('',*,*,#8110,.T.); +#8113=ORIENTED_EDGE('',*,*,#8112,.F.); +#8114=EDGE_LOOP('',(#8108,#8109,#8111,#8113)); +#8115=FACE_OUTER_BOUND('',#8114,.F.); +#8117=CARTESIAN_POINT('',(5.715E0,-6.475E0,-5.35E0)); +#8118=DIRECTION('',(0.E0,0.E0,1.E0)); +#8119=DIRECTION('',(1.E0,0.E0,0.E0)); +#8120=AXIS2_PLACEMENT_3D('',#8117,#8118,#8119); +#8121=CYLINDRICAL_SURFACE('',#8120,4.25E-1); +#8123=ORIENTED_EDGE('',*,*,#8122,.F.); +#8125=ORIENTED_EDGE('',*,*,#8124,.T.); +#8127=ORIENTED_EDGE('',*,*,#8126,.F.); +#8128=ORIENTED_EDGE('',*,*,#8110,.F.); +#8129=ORIENTED_EDGE('',*,*,#8078,.F.); +#8130=ORIENTED_EDGE('',*,*,#8045,.F.); +#8131=ORIENTED_EDGE('',*,*,#7765,.T.); +#8133=ORIENTED_EDGE('',*,*,#8132,.T.); +#8134=EDGE_LOOP('',(#8123,#8125,#8127,#8128,#8129,#8130,#8131,#8133)); +#8135=FACE_OUTER_BOUND('',#8134,.F.); +#8137=CARTESIAN_POINT('',(5.715E0,-6.475E0,-5.35E0)); +#8138=DIRECTION('',(0.E0,0.E0,1.E0)); +#8139=DIRECTION('',(1.E0,0.E0,0.E0)); +#8140=AXIS2_PLACEMENT_3D('',#8137,#8138,#8139); +#8141=CYLINDRICAL_SURFACE('',#8140,4.25E-1); +#8143=ORIENTED_EDGE('',*,*,#8142,.F.); +#8145=ORIENTED_EDGE('',*,*,#8144,.F.); +#8147=ORIENTED_EDGE('',*,*,#8146,.T.); +#8149=ORIENTED_EDGE('',*,*,#8148,.T.); +#8151=ORIENTED_EDGE('',*,*,#8150,.F.); +#8153=ORIENTED_EDGE('',*,*,#8152,.T.); +#8154=ORIENTED_EDGE('',*,*,#8029,.F.); +#8155=ORIENTED_EDGE('',*,*,#8057,.F.); +#8156=EDGE_LOOP('',(#8143,#8145,#8147,#8149,#8151,#8153,#8154,#8155)); +#8157=FACE_OUTER_BOUND('',#8156,.F.); +#8159=CARTESIAN_POINT('',(7.265E0,-3.287192E1,-9.2E0)); +#8160=DIRECTION('',(0.E0,1.E0,0.E0)); +#8161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8162=AXIS2_PLACEMENT_3D('',#8159,#8160,#8161); +#8163=CYLINDRICAL_SURFACE('',#8162,1.3E0); +#8164=ORIENTED_EDGE('',*,*,#8097,.F.); +#8166=ORIENTED_EDGE('',*,*,#8165,.T.); +#8167=ORIENTED_EDGE('',*,*,#8122,.T.); +#8169=ORIENTED_EDGE('',*,*,#8168,.T.); +#8170=ORIENTED_EDGE('',*,*,#7089,.T.); +#8172=ORIENTED_EDGE('',*,*,#8171,.F.); +#8174=ORIENTED_EDGE('',*,*,#8173,.F.); +#8175=ORIENTED_EDGE('',*,*,#7665,.T.); +#8176=EDGE_LOOP('',(#8164,#8166,#8167,#8169,#8170,#8172,#8174,#8175)); +#8177=FACE_OUTER_BOUND('',#8176,.F.); +#8179=CARTESIAN_POINT('',(5.965E0,-6.4E0,-9.15E0)); +#8180=DIRECTION('',(1.E0,0.E0,0.E0)); +#8181=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8182=AXIS2_PLACEMENT_3D('',#8179,#8180,#8181); +#8183=PLANE('',#8182); +#8184=ORIENTED_EDGE('',*,*,#8095,.F.); +#8186=ORIENTED_EDGE('',*,*,#8185,.T.); +#8187=ORIENTED_EDGE('',*,*,#8124,.F.); +#8188=ORIENTED_EDGE('',*,*,#8165,.F.); +#8189=EDGE_LOOP('',(#8184,#8186,#8187,#8188)); +#8190=FACE_OUTER_BOUND('',#8189,.F.); +#8192=CARTESIAN_POINT('',(5.465E0,-6.4E0,-9.15E0)); +#8193=DIRECTION('',(0.E0,0.E0,1.E0)); +#8194=DIRECTION('',(1.E0,0.E0,0.E0)); +#8195=AXIS2_PLACEMENT_3D('',#8192,#8193,#8194); +#8196=PLANE('',#8195); +#8197=ORIENTED_EDGE('',*,*,#8093,.T.); +#8198=ORIENTED_EDGE('',*,*,#8112,.T.); +#8199=ORIENTED_EDGE('',*,*,#8126,.T.); +#8200=ORIENTED_EDGE('',*,*,#8185,.F.); +#8201=EDGE_LOOP('',(#8197,#8198,#8199,#8200)); +#8202=FACE_OUTER_BOUND('',#8201,.F.); +#8204=CARTESIAN_POINT('',(6.14E0,-6.475E0,-5.35E0)); +#8205=DIRECTION('',(1.E0,0.E0,0.E0)); +#8206=DIRECTION('',(0.E0,1.E0,0.E0)); +#8207=AXIS2_PLACEMENT_3D('',#8204,#8205,#8206); +#8208=PLANE('',#8207); +#8209=ORIENTED_EDGE('',*,*,#8168,.F.); +#8210=ORIENTED_EDGE('',*,*,#8132,.F.); +#8211=ORIENTED_EDGE('',*,*,#7763,.T.); +#8212=ORIENTED_EDGE('',*,*,#7091,.F.); +#8213=EDGE_LOOP('',(#8209,#8210,#8211,#8212)); +#8214=FACE_OUTER_BOUND('',#8213,.F.); +#8216=CARTESIAN_POINT('',(6.14E0,-6.475E0,-5.35E0)); +#8217=DIRECTION('',(1.E0,0.E0,0.E0)); +#8218=DIRECTION('',(0.E0,1.E0,0.E0)); +#8219=AXIS2_PLACEMENT_3D('',#8216,#8217,#8218); +#8220=PLANE('',#8219); +#8222=ORIENTED_EDGE('',*,*,#8221,.F.); +#8223=ORIENTED_EDGE('',*,*,#7111,.F.); +#8224=ORIENTED_EDGE('',*,*,#8031,.F.); +#8225=ORIENTED_EDGE('',*,*,#8152,.F.); +#8226=EDGE_LOOP('',(#8222,#8223,#8224,#8225)); +#8227=FACE_OUTER_BOUND('',#8226,.F.); +#8229=CARTESIAN_POINT('',(7.265E0,-3.287192E1,-1.23E1)); +#8230=DIRECTION('',(0.E0,1.E0,0.E0)); +#8231=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8232=AXIS2_PLACEMENT_3D('',#8229,#8230,#8231); +#8233=CYLINDRICAL_SURFACE('',#8232,1.3E0); +#8235=ORIENTED_EDGE('',*,*,#8234,.T.); +#8237=ORIENTED_EDGE('',*,*,#8236,.F.); +#8239=ORIENTED_EDGE('',*,*,#8238,.T.); +#8241=ORIENTED_EDGE('',*,*,#8240,.T.); +#8243=ORIENTED_EDGE('',*,*,#8242,.T.); +#8244=ORIENTED_EDGE('',*,*,#7113,.T.); +#8245=ORIENTED_EDGE('',*,*,#8221,.T.); +#8246=ORIENTED_EDGE('',*,*,#8150,.T.); +#8248=ORIENTED_EDGE('',*,*,#8247,.F.); +#8250=ORIENTED_EDGE('',*,*,#8249,.F.); +#8251=ORIENTED_EDGE('',*,*,#7669,.T.); +#8253=ORIENTED_EDGE('',*,*,#8252,.F.); +#8254=EDGE_LOOP('',(#8235,#8237,#8239,#8241,#8243,#8244,#8245,#8246,#8248,#8250, +#8251,#8253)); +#8255=FACE_OUTER_BOUND('',#8254,.F.); +#8257=CARTESIAN_POINT('',(7.315E0,-6.4E0,-1.1E1)); +#8258=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8259=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8260=AXIS2_PLACEMENT_3D('',#8257,#8258,#8259); +#8261=PLANE('',#8260); +#8263=ORIENTED_EDGE('',*,*,#8262,.T.); +#8265=ORIENTED_EDGE('',*,*,#8264,.T.); +#8266=ORIENTED_EDGE('',*,*,#8234,.F.); +#8268=ORIENTED_EDGE('',*,*,#8267,.F.); +#8269=EDGE_LOOP('',(#8263,#8265,#8266,#8268)); +#8270=FACE_OUTER_BOUND('',#8269,.F.); +#8272=CARTESIAN_POINT('',(7.315E0,-6.4E0,-1.1E1)); +#8273=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8274=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8275=AXIS2_PLACEMENT_3D('',#8272,#8273,#8274); +#8276=PLANE('',#8275); +#8278=ORIENTED_EDGE('',*,*,#8277,.T.); +#8279=ORIENTED_EDGE('',*,*,#7115,.T.); +#8280=ORIENTED_EDGE('',*,*,#8242,.F.); +#8282=ORIENTED_EDGE('',*,*,#8281,.F.); +#8283=EDGE_LOOP('',(#8278,#8279,#8280,#8282)); +#8284=FACE_OUTER_BOUND('',#8283,.F.); +#8286=CARTESIAN_POINT('',(7.315E0,-6.4E0,-1.05E1)); +#8287=DIRECTION('',(1.E0,0.E0,0.E0)); +#8288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8289=AXIS2_PLACEMENT_3D('',#8286,#8287,#8288); +#8290=PLANE('',#8289); +#8291=ORIENTED_EDGE('',*,*,#8277,.F.); +#8293=ORIENTED_EDGE('',*,*,#8292,.T.); +#8294=ORIENTED_EDGE('',*,*,#8238,.F.); +#8296=ORIENTED_EDGE('',*,*,#8295,.F.); +#8297=ORIENTED_EDGE('',*,*,#8262,.F.); +#8299=ORIENTED_EDGE('',*,*,#8298,.T.); +#8301=ORIENTED_EDGE('',*,*,#8300,.T.); +#8302=ORIENTED_EDGE('',*,*,#7117,.T.); +#8303=EDGE_LOOP('',(#8291,#8293,#8294,#8296,#8297,#8299,#8301,#8302)); +#8304=FACE_OUTER_BOUND('',#8303,.F.); +#8306=CARTESIAN_POINT('',(7.55E0,-6.55E0,-1.075E1)); +#8307=DIRECTION('',(0.E0,1.E0,0.E0)); +#8308=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8309=AXIS2_PLACEMENT_3D('',#8306,#8307,#8308); +#8310=PLANE('',#8309); +#8312=ORIENTED_EDGE('',*,*,#8311,.F.); +#8314=ORIENTED_EDGE('',*,*,#8313,.T.); +#8316=ORIENTED_EDGE('',*,*,#8315,.F.); +#8318=ORIENTED_EDGE('',*,*,#8317,.F.); +#8320=ORIENTED_EDGE('',*,*,#8319,.T.); +#8321=EDGE_LOOP('',(#8312,#8314,#8316,#8318,#8320)); +#8322=FACE_OUTER_BOUND('',#8321,.F.); +#8324=CARTESIAN_POINT('',(7.55E0,-6.55E0,-1.075E1)); +#8325=DIRECTION('',(0.E0,1.E0,0.E0)); +#8326=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8327=AXIS2_PLACEMENT_3D('',#8324,#8325,#8326); +#8328=PLANE('',#8327); +#8329=ORIENTED_EDGE('',*,*,#8292,.F.); +#8330=ORIENTED_EDGE('',*,*,#8281,.T.); +#8331=ORIENTED_EDGE('',*,*,#8240,.F.); +#8332=EDGE_LOOP('',(#8329,#8330,#8331)); +#8333=FACE_OUTER_BOUND('',#8332,.F.); +#8335=CARTESIAN_POINT('',(5.795E0,-6.75E0,-1.075E1)); +#8336=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8337=DIRECTION('',(1.E0,0.E0,0.E0)); +#8338=AXIS2_PLACEMENT_3D('',#8335,#8336,#8337); +#8339=CYLINDRICAL_SURFACE('',#8338,1.6E0); +#8341=ORIENTED_EDGE('',*,*,#8340,.F.); +#8343=ORIENTED_EDGE('',*,*,#8342,.F.); +#8344=ORIENTED_EDGE('',*,*,#8311,.T.); +#8346=ORIENTED_EDGE('',*,*,#8345,.T.); +#8347=EDGE_LOOP('',(#8341,#8343,#8344,#8346)); +#8348=FACE_OUTER_BOUND('',#8347,.F.); +#8350=CARTESIAN_POINT('',(6.85E0,-6.75E0,-1.075E1)); +#8351=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8352=DIRECTION('',(1.E0,0.E0,0.E0)); +#8353=AXIS2_PLACEMENT_3D('',#8350,#8351,#8352); +#8354=PLANE('',#8353); +#8356=ORIENTED_EDGE('',*,*,#8355,.T.); +#8358=ORIENTED_EDGE('',*,*,#8357,.T.); +#8360=ORIENTED_EDGE('',*,*,#8359,.F.); +#8362=ORIENTED_EDGE('',*,*,#8361,.F.); +#8363=ORIENTED_EDGE('',*,*,#8340,.T.); +#8364=EDGE_LOOP('',(#8356,#8358,#8360,#8362,#8363)); +#8365=FACE_OUTER_BOUND('',#8364,.F.); +#8367=CARTESIAN_POINT('',(6.85E0,-6.75E0,-1.075E1)); +#8368=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8369=DIRECTION('',(1.E0,0.E0,0.E0)); +#8370=AXIS2_PLACEMENT_3D('',#8367,#8368,#8369); +#8371=PLANE('',#8370); +#8372=ORIENTED_EDGE('',*,*,#8295,.T.); +#8373=ORIENTED_EDGE('',*,*,#8236,.T.); +#8374=ORIENTED_EDGE('',*,*,#8264,.F.); +#8375=EDGE_LOOP('',(#8372,#8373,#8374)); +#8376=FACE_OUTER_BOUND('',#8375,.F.); +#8378=CARTESIAN_POINT('',(0.E0,0.E0,-1.075E1)); +#8379=DIRECTION('',(0.E0,0.E0,1.E0)); +#8380=DIRECTION('',(1.E0,0.E0,0.E0)); +#8381=AXIS2_PLACEMENT_3D('',#8378,#8379,#8380); +#8382=PLANE('',#8381); +#8383=ORIENTED_EDGE('',*,*,#8355,.F.); +#8384=ORIENTED_EDGE('',*,*,#8345,.F.); +#8385=ORIENTED_EDGE('',*,*,#8319,.F.); +#8387=ORIENTED_EDGE('',*,*,#8386,.F.); +#8388=ORIENTED_EDGE('',*,*,#7015,.F.); +#8389=ORIENTED_EDGE('',*,*,#6990,.F.); +#8391=ORIENTED_EDGE('',*,*,#8390,.F.); +#8393=ORIENTED_EDGE('',*,*,#8392,.F.); +#8394=EDGE_LOOP('',(#8383,#8384,#8385,#8387,#8388,#8389,#8391,#8393)); +#8395=FACE_OUTER_BOUND('',#8394,.F.); +#8397=CARTESIAN_POINT('',(7.55E0,-6.35E0,-1.075E1)); +#8398=DIRECTION('',(0.E0,0.E0,1.E0)); +#8399=DIRECTION('',(1.E0,0.E0,0.E0)); +#8400=AXIS2_PLACEMENT_3D('',#8397,#8398,#8399); +#8401=CYLINDRICAL_SURFACE('',#8400,2.E-1); +#8402=ORIENTED_EDGE('',*,*,#7017,.T.); +#8403=ORIENTED_EDGE('',*,*,#8386,.T.); +#8404=ORIENTED_EDGE('',*,*,#8317,.T.); +#8406=ORIENTED_EDGE('',*,*,#8405,.F.); +#8407=EDGE_LOOP('',(#8402,#8403,#8404,#8406)); +#8408=FACE_OUTER_BOUND('',#8407,.F.); +#8410=CARTESIAN_POINT('',(0.E0,0.E0,-1.275E1)); +#8411=DIRECTION('',(0.E0,0.E0,1.E0)); +#8412=DIRECTION('',(1.E0,0.E0,0.E0)); +#8413=AXIS2_PLACEMENT_3D('',#8410,#8411,#8412); +#8414=PLANE('',#8413); +#8416=ORIENTED_EDGE('',*,*,#8415,.T.); +#8418=ORIENTED_EDGE('',*,*,#8417,.T.); +#8419=ORIENTED_EDGE('',*,*,#6969,.T.); +#8420=ORIENTED_EDGE('',*,*,#7019,.F.); +#8421=ORIENTED_EDGE('',*,*,#8405,.T.); +#8422=ORIENTED_EDGE('',*,*,#8315,.T.); +#8424=ORIENTED_EDGE('',*,*,#8423,.T.); +#8425=ORIENTED_EDGE('',*,*,#8359,.T.); +#8426=EDGE_LOOP('',(#8416,#8418,#8419,#8420,#8421,#8422,#8424,#8425)); +#8427=FACE_OUTER_BOUND('',#8426,.F.); +#8429=CARTESIAN_POINT('',(7.55E0,-6.35E0,-1.075E1)); +#8430=DIRECTION('',(0.E0,0.E0,1.E0)); +#8431=DIRECTION('',(1.E0,0.E0,0.E0)); +#8432=AXIS2_PLACEMENT_3D('',#8429,#8430,#8431); +#8433=CYLINDRICAL_SURFACE('',#8432,4.E-1); +#8434=ORIENTED_EDGE('',*,*,#8415,.F.); +#8435=ORIENTED_EDGE('',*,*,#8357,.F.); +#8436=ORIENTED_EDGE('',*,*,#8392,.T.); +#8438=ORIENTED_EDGE('',*,*,#8437,.F.); +#8439=EDGE_LOOP('',(#8434,#8435,#8436,#8438)); +#8440=FACE_OUTER_BOUND('',#8439,.F.); +#8442=CARTESIAN_POINT('',(7.95E0,-6.75E0,0.E0)); +#8443=DIRECTION('',(1.E0,0.E0,0.E0)); +#8444=DIRECTION('',(0.E0,1.E0,0.E0)); +#8445=AXIS2_PLACEMENT_3D('',#8442,#8443,#8444); +#8446=PLANE('',#8445); +#8448=ORIENTED_EDGE('',*,*,#8447,.T.); +#8450=ORIENTED_EDGE('',*,*,#8449,.T.); +#8452=ORIENTED_EDGE('',*,*,#8451,.F.); +#8453=ORIENTED_EDGE('',*,*,#6864,.F.); +#8455=ORIENTED_EDGE('',*,*,#8454,.F.); +#8457=ORIENTED_EDGE('',*,*,#8456,.F.); +#8458=ORIENTED_EDGE('',*,*,#6955,.F.); +#8460=ORIENTED_EDGE('',*,*,#8459,.T.); +#8461=ORIENTED_EDGE('',*,*,#8437,.T.); +#8463=ORIENTED_EDGE('',*,*,#8462,.T.); +#8465=ORIENTED_EDGE('',*,*,#8464,.T.); +#8467=ORIENTED_EDGE('',*,*,#8466,.F.); +#8468=ORIENTED_EDGE('',*,*,#6896,.T.); +#8470=ORIENTED_EDGE('',*,*,#8469,.F.); +#8472=ORIENTED_EDGE('',*,*,#8471,.T.); +#8473=EDGE_LOOP('',(#8448,#8450,#8452,#8453,#8455,#8457,#8458,#8460,#8461,#8463, +#8465,#8467,#8468,#8470,#8472)); +#8474=FACE_OUTER_BOUND('',#8473,.F.); +#8476=ORIENTED_EDGE('',*,*,#8475,.T.); +#8478=ORIENTED_EDGE('',*,*,#8477,.T.); +#8480=ORIENTED_EDGE('',*,*,#8479,.T.); +#8482=ORIENTED_EDGE('',*,*,#8481,.T.); +#8483=EDGE_LOOP('',(#8476,#8478,#8480,#8482)); +#8484=FACE_BOUND('',#8483,.F.); +#8486=ORIENTED_EDGE('',*,*,#8485,.T.); +#8488=ORIENTED_EDGE('',*,*,#8487,.F.); +#8490=ORIENTED_EDGE('',*,*,#8489,.T.); +#8492=ORIENTED_EDGE('',*,*,#8491,.T.); +#8494=ORIENTED_EDGE('',*,*,#8493,.T.); +#8496=ORIENTED_EDGE('',*,*,#8495,.T.); +#8497=EDGE_LOOP('',(#8486,#8488,#8490,#8492,#8494,#8496)); +#8498=FACE_BOUND('',#8497,.F.); +#8500=CARTESIAN_POINT('',(7.95E0,-3.25E0,-1.915E1)); +#8501=DIRECTION('',(0.E0,0.E0,1.E0)); +#8502=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8503=AXIS2_PLACEMENT_3D('',#8500,#8501,#8502); +#8504=PLANE('',#8503); +#8506=ORIENTED_EDGE('',*,*,#8505,.T.); +#8507=ORIENTED_EDGE('',*,*,#8485,.F.); +#8509=ORIENTED_EDGE('',*,*,#8508,.T.); +#8511=ORIENTED_EDGE('',*,*,#8510,.T.); +#8512=EDGE_LOOP('',(#8506,#8507,#8509,#8511)); +#8513=FACE_OUTER_BOUND('',#8512,.F.); +#8515=CARTESIAN_POINT('',(7.95E0,-3.25E0,-1.915E1)); +#8516=DIRECTION('',(0.E0,0.E0,1.E0)); +#8517=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8518=AXIS2_PLACEMENT_3D('',#8515,#8516,#8517); +#8519=PLANE('',#8518); +#8521=ORIENTED_EDGE('',*,*,#8520,.F.); +#8523=ORIENTED_EDGE('',*,*,#8522,.T.); +#8525=ORIENTED_EDGE('',*,*,#8524,.F.); +#8526=ORIENTED_EDGE('',*,*,#8489,.F.); +#8527=EDGE_LOOP('',(#8521,#8523,#8525,#8526)); +#8528=FACE_OUTER_BOUND('',#8527,.F.); +#8530=CARTESIAN_POINT('',(0.E0,-3.35E0,0.E0)); +#8531=DIRECTION('',(0.E0,1.E0,0.E0)); +#8532=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8533=AXIS2_PLACEMENT_3D('',#8530,#8531,#8532); +#8534=PLANE('',#8533); +#8536=ORIENTED_EDGE('',*,*,#8535,.T.); +#8538=ORIENTED_EDGE('',*,*,#8537,.F.); +#8539=ORIENTED_EDGE('',*,*,#8505,.F.); +#8540=EDGE_LOOP('',(#8536,#8538,#8539)); +#8541=FACE_OUTER_BOUND('',#8540,.F.); +#8543=CARTESIAN_POINT('',(7.75E0,0.E0,0.E0)); +#8544=DIRECTION('',(1.E0,0.E0,0.E0)); +#8545=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8546=AXIS2_PLACEMENT_3D('',#8543,#8544,#8545); +#8547=PLANE('',#8546); +#8548=ORIENTED_EDGE('',*,*,#8535,.F.); +#8549=ORIENTED_EDGE('',*,*,#8510,.F.); +#8551=ORIENTED_EDGE('',*,*,#8550,.F.); +#8553=ORIENTED_EDGE('',*,*,#8552,.F.); +#8555=ORIENTED_EDGE('',*,*,#8554,.F.); +#8556=ORIENTED_EDGE('',*,*,#8522,.F.); +#8558=ORIENTED_EDGE('',*,*,#8557,.T.); +#8560=ORIENTED_EDGE('',*,*,#8559,.T.); +#8561=EDGE_LOOP('',(#8548,#8549,#8551,#8553,#8555,#8556,#8558,#8560)); +#8562=FACE_OUTER_BOUND('',#8561,.F.); +#8564=CARTESIAN_POINT('',(7.95E0,-3.25E0,-2.035E1)); +#8565=DIRECTION('',(0.E0,1.E0,0.E0)); +#8566=DIRECTION('',(0.E0,0.E0,1.E0)); +#8567=AXIS2_PLACEMENT_3D('',#8564,#8565,#8566); +#8568=PLANE('',#8567); +#8569=ORIENTED_EDGE('',*,*,#8495,.F.); +#8571=ORIENTED_EDGE('',*,*,#8570,.T.); +#8572=ORIENTED_EDGE('',*,*,#8550,.T.); +#8573=ORIENTED_EDGE('',*,*,#8508,.F.); +#8574=EDGE_LOOP('',(#8569,#8571,#8572,#8573)); +#8575=FACE_OUTER_BOUND('',#8574,.F.); +#8577=CARTESIAN_POINT('',(7.95E0,-4.45E0,-2.035E1)); +#8578=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8579=DIRECTION('',(0.E0,1.E0,0.E0)); +#8580=AXIS2_PLACEMENT_3D('',#8577,#8578,#8579); +#8581=PLANE('',#8580); +#8582=ORIENTED_EDGE('',*,*,#8493,.F.); +#8584=ORIENTED_EDGE('',*,*,#8583,.T.); +#8585=ORIENTED_EDGE('',*,*,#8552,.T.); +#8586=ORIENTED_EDGE('',*,*,#8570,.F.); +#8587=EDGE_LOOP('',(#8582,#8584,#8585,#8586)); +#8588=FACE_OUTER_BOUND('',#8587,.F.); +#8590=CARTESIAN_POINT('',(7.95E0,-4.45E0,-1.915E1)); +#8591=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8592=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8593=AXIS2_PLACEMENT_3D('',#8590,#8591,#8592); +#8594=PLANE('',#8593); +#8595=ORIENTED_EDGE('',*,*,#8491,.F.); +#8596=ORIENTED_EDGE('',*,*,#8524,.T.); +#8597=ORIENTED_EDGE('',*,*,#8554,.T.); +#8598=ORIENTED_EDGE('',*,*,#8583,.F.); +#8599=EDGE_LOOP('',(#8595,#8596,#8597,#8598)); +#8600=FACE_OUTER_BOUND('',#8599,.F.); +#8602=CARTESIAN_POINT('',(0.E0,-4.35E0,0.E0)); +#8603=DIRECTION('',(0.E0,1.E0,0.E0)); +#8604=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8605=AXIS2_PLACEMENT_3D('',#8602,#8603,#8604); +#8606=PLANE('',#8605); +#8607=ORIENTED_EDGE('',*,*,#8557,.F.); +#8608=ORIENTED_EDGE('',*,*,#8520,.T.); +#8610=ORIENTED_EDGE('',*,*,#8609,.T.); +#8611=EDGE_LOOP('',(#8607,#8608,#8610)); +#8612=FACE_OUTER_BOUND('',#8611,.F.); +#8614=CARTESIAN_POINT('',(7.95E0,-3.35E0,-1.915E1)); +#8615=DIRECTION('',(9.789804197376E-1,0.E0,-2.039542541120E-1)); +#8616=DIRECTION('',(-2.039542541120E-1,0.E0,-9.789804197376E-1)); +#8617=AXIS2_PLACEMENT_3D('',#8614,#8615,#8616); +#8618=PLANE('',#8617); +#8619=ORIENTED_EDGE('',*,*,#8487,.T.); +#8620=ORIENTED_EDGE('',*,*,#8537,.T.); +#8621=ORIENTED_EDGE('',*,*,#8559,.F.); +#8622=ORIENTED_EDGE('',*,*,#8609,.F.); +#8623=EDGE_LOOP('',(#8619,#8620,#8621,#8622)); +#8624=FACE_OUTER_BOUND('',#8623,.F.); +#8626=CARTESIAN_POINT('',(7.525E0,-5.550002387890E0,-1.380000000001E1)); +#8627=DIRECTION('',(5.618563485004E-6,-9.999999999842E-1,3.384013565675E-13)); +#8628=DIRECTION('',(9.384843298882E-12,-3.383486272350E-13,-1.E0)); +#8629=AXIS2_PLACEMENT_3D('',#8626,#8627,#8628); +#8630=SPHERICAL_SURFACE('',#8629,5.75E-1); +#8631=ORIENTED_EDGE('',*,*,#8475,.F.); +#8633=ORIENTED_EDGE('',*,*,#8632,.F.); +#8634=EDGE_LOOP('',(#8631,#8633)); +#8635=FACE_OUTER_BOUND('',#8634,.F.); +#8637=CARTESIAN_POINT('',(7.525E0,-7.350005367521E0,-1.38E1)); +#8638=DIRECTION('',(0.E0,1.E0,0.E0)); +#8639=DIRECTION('',(1.E0,0.E0,0.E0)); +#8640=AXIS2_PLACEMENT_3D('',#8637,#8638,#8639); +#8641=CYLINDRICAL_SURFACE('',#8640,5.75E-1); +#8643=ORIENTED_EDGE('',*,*,#8642,.T.); +#8644=ORIENTED_EDGE('',*,*,#8477,.F.); +#8645=ORIENTED_EDGE('',*,*,#8632,.T.); +#8646=ORIENTED_EDGE('',*,*,#8481,.F.); +#8647=EDGE_LOOP('',(#8643,#8644,#8645,#8646)); +#8648=FACE_OUTER_BOUND('',#8647,.F.); +#8650=CARTESIAN_POINT('',(7.525E0,-7.349997612111E0,-1.379999999999E1)); +#8651=DIRECTION('',(5.618562477705E-6,9.999999999842E-1,-2.422375176293E-14)); +#8652=DIRECTION('',(9.382865356251E-12,2.417103354808E-14,1.E0)); +#8653=AXIS2_PLACEMENT_3D('',#8650,#8651,#8652); +#8654=SPHERICAL_SURFACE('',#8653,5.75E-1); +#8655=ORIENTED_EDGE('',*,*,#8479,.F.); +#8656=ORIENTED_EDGE('',*,*,#8642,.F.); +#8657=EDGE_LOOP('',(#8655,#8656)); +#8658=FACE_OUTER_BOUND('',#8657,.F.); +#8660=CARTESIAN_POINT('',(7.75E0,2.917192E1,-2.115E1)); +#8661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8662=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8663=AXIS2_PLACEMENT_3D('',#8660,#8661,#8662); +#8664=CYLINDRICAL_SURFACE('',#8663,2.E-1); +#8666=ORIENTED_EDGE('',*,*,#8665,.T.); +#8667=ORIENTED_EDGE('',*,*,#8447,.F.); +#8669=ORIENTED_EDGE('',*,*,#8668,.T.); +#8671=ORIENTED_EDGE('',*,*,#8670,.T.); +#8672=EDGE_LOOP('',(#8666,#8667,#8669,#8671)); +#8673=FACE_OUTER_BOUND('',#8672,.F.); +#8675=CARTESIAN_POINT('',(0.E0,-5.5E0,0.E0)); +#8676=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8677=DIRECTION('',(1.E0,0.E0,0.E0)); +#8678=AXIS2_PLACEMENT_3D('',#8675,#8676,#8677); +#8679=PLANE('',#8678); +#8680=ORIENTED_EDGE('',*,*,#8665,.F.); +#8682=ORIENTED_EDGE('',*,*,#8681,.T.); +#8684=ORIENTED_EDGE('',*,*,#8683,.F.); +#8685=ORIENTED_EDGE('',*,*,#6663,.T.); +#8687=ORIENTED_EDGE('',*,*,#8686,.F.); +#8689=ORIENTED_EDGE('',*,*,#8688,.F.); +#8691=ORIENTED_EDGE('',*,*,#8690,.F.); +#8693=ORIENTED_EDGE('',*,*,#8692,.F.); +#8695=ORIENTED_EDGE('',*,*,#8694,.F.); +#8697=ORIENTED_EDGE('',*,*,#8696,.F.); +#8699=ORIENTED_EDGE('',*,*,#8698,.F.); +#8701=ORIENTED_EDGE('',*,*,#8700,.F.); +#8703=ORIENTED_EDGE('',*,*,#8702,.F.); +#8704=ORIENTED_EDGE('',*,*,#8449,.F.); +#8705=EDGE_LOOP('',(#8680,#8682,#8684,#8685,#8687,#8689,#8691,#8693,#8695,#8697, +#8699,#8701,#8703,#8704)); +#8706=FACE_OUTER_BOUND('',#8705,.F.); +#8708=CARTESIAN_POINT('',(0.E0,0.E0,-2.135E1)); +#8709=DIRECTION('',(0.E0,0.E0,1.E0)); +#8710=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8711=AXIS2_PLACEMENT_3D('',#8708,#8709,#8710); +#8712=PLANE('',#8711); +#8713=ORIENTED_EDGE('',*,*,#8670,.F.); +#8715=ORIENTED_EDGE('',*,*,#8714,.F.); +#8717=ORIENTED_EDGE('',*,*,#8716,.F.); +#8718=ORIENTED_EDGE('',*,*,#8681,.F.); +#8719=EDGE_LOOP('',(#8713,#8715,#8717,#8718)); +#8720=FACE_OUTER_BOUND('',#8719,.F.); +#8722=CARTESIAN_POINT('',(-2.921832E1,6.55E0,-2.115E1)); +#8723=DIRECTION('',(1.E0,0.E0,0.E0)); +#8724=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8725=AXIS2_PLACEMENT_3D('',#8722,#8723,#8724); +#8726=CYLINDRICAL_SURFACE('',#8725,2.E-1); +#8727=ORIENTED_EDGE('',*,*,#8714,.T.); +#8729=ORIENTED_EDGE('',*,*,#8728,.T.); +#8730=ORIENTED_EDGE('',*,*,#6587,.F.); +#8732=ORIENTED_EDGE('',*,*,#8731,.T.); +#8733=EDGE_LOOP('',(#8727,#8729,#8730,#8732)); +#8734=FACE_OUTER_BOUND('',#8733,.F.); +#8736=CARTESIAN_POINT('',(7.75E0,6.55E0,-2.115E1)); +#8737=DIRECTION('',(-7.071067811865E-1,7.071067811865E-1,0.E0)); +#8738=DIRECTION('',(7.071067811865E-1,7.071067811865E-1,0.E0)); +#8739=AXIS2_PLACEMENT_3D('',#8736,#8737,#8738); +#8740=SPHERICAL_SURFACE('',#8739,2.E-1); +#8742=ORIENTED_EDGE('',*,*,#8741,.F.); +#8743=ORIENTED_EDGE('',*,*,#8728,.F.); +#8744=ORIENTED_EDGE('',*,*,#8668,.F.); +#8745=EDGE_LOOP('',(#8742,#8743,#8744)); +#8746=FACE_OUTER_BOUND('',#8745,.F.); +#8748=CARTESIAN_POINT('',(7.75E0,6.55E0,2.783186E1)); +#8749=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8750=DIRECTION('',(0.E0,1.E0,0.E0)); +#8751=AXIS2_PLACEMENT_3D('',#8748,#8749,#8750); +#8752=CYLINDRICAL_SURFACE('',#8751,2.E-1); +#8753=ORIENTED_EDGE('',*,*,#8471,.F.); +#8755=ORIENTED_EDGE('',*,*,#8754,.T.); +#8756=ORIENTED_EDGE('',*,*,#6589,.T.); +#8757=ORIENTED_EDGE('',*,*,#8741,.T.); +#8758=EDGE_LOOP('',(#8753,#8755,#8756,#8757)); +#8759=FACE_OUTER_BOUND('',#8758,.F.); +#8761=CARTESIAN_POINT('',(7.75E0,6.55E0,-2.E-1)); +#8762=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#8763=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#8764=AXIS2_PLACEMENT_3D('',#8761,#8762,#8763); +#8765=SPHERICAL_SURFACE('',#8764,2.E-1); +#8767=ORIENTED_EDGE('',*,*,#8766,.F.); +#8768=ORIENTED_EDGE('',*,*,#6571,.F.); +#8769=ORIENTED_EDGE('',*,*,#8754,.F.); +#8770=EDGE_LOOP('',(#8767,#8768,#8769)); +#8771=FACE_OUTER_BOUND('',#8770,.F.); +#8773=CARTESIAN_POINT('',(7.75E0,-6.4E0,-2.E-1)); +#8774=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8775=DIRECTION('',(1.E0,0.E0,0.E0)); +#8776=AXIS2_PLACEMENT_3D('',#8773,#8774,#8775); +#8777=CYLINDRICAL_SURFACE('',#8776,2.E-1); +#8778=ORIENTED_EDGE('',*,*,#6515,.T.); +#8779=ORIENTED_EDGE('',*,*,#8766,.T.); +#8780=ORIENTED_EDGE('',*,*,#8469,.T.); +#8781=ORIENTED_EDGE('',*,*,#6894,.T.); +#8782=EDGE_LOOP('',(#8778,#8779,#8780,#8781)); +#8783=FACE_OUTER_BOUND('',#8782,.F.); +#8785=CARTESIAN_POINT('',(-7.75E0,6.55E0,-2.115E1)); +#8786=DIRECTION('',(-7.071067811865E-1,-7.071067811865E-1,0.E0)); +#8787=DIRECTION('',(-7.071067811865E-1,7.071067811865E-1,0.E0)); +#8788=AXIS2_PLACEMENT_3D('',#8785,#8786,#8787); +#8789=SPHERICAL_SURFACE('',#8788,2.E-1); +#8790=ORIENTED_EDGE('',*,*,#8731,.F.); +#8791=ORIENTED_EDGE('',*,*,#6604,.F.); +#8793=ORIENTED_EDGE('',*,*,#8792,.F.); +#8794=EDGE_LOOP('',(#8790,#8791,#8793)); +#8795=FACE_OUTER_BOUND('',#8794,.F.); +#8797=CARTESIAN_POINT('',(-7.75E0,-3.287192E1,-2.115E1)); +#8798=DIRECTION('',(0.E0,1.E0,0.E0)); +#8799=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8800=AXIS2_PLACEMENT_3D('',#8797,#8798,#8799); +#8801=CYLINDRICAL_SURFACE('',#8800,2.E-1); +#8802=ORIENTED_EDGE('',*,*,#8683,.T.); +#8803=ORIENTED_EDGE('',*,*,#8716,.T.); +#8804=ORIENTED_EDGE('',*,*,#8792,.T.); +#8805=ORIENTED_EDGE('',*,*,#6665,.F.); +#8806=EDGE_LOOP('',(#8802,#8803,#8804,#8805)); +#8807=FACE_OUTER_BOUND('',#8806,.F.); +#8809=CARTESIAN_POINT('',(-7.7E0,-6.75E0,-1.545E1)); +#8810=DIRECTION('',(0.E0,0.E0,1.E0)); +#8811=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8812=AXIS2_PLACEMENT_3D('',#8809,#8810,#8811); +#8813=PLANE('',#8812); +#8815=ORIENTED_EDGE('',*,*,#8814,.T.); +#8816=ORIENTED_EDGE('',*,*,#8686,.T.); +#8817=ORIENTED_EDGE('',*,*,#6661,.F.); +#8818=ORIENTED_EDGE('',*,*,#6881,.T.); +#8820=ORIENTED_EDGE('',*,*,#8819,.F.); +#8821=ORIENTED_EDGE('',*,*,#7039,.T.); +#8822=EDGE_LOOP('',(#8815,#8816,#8817,#8818,#8820,#8821)); +#8823=FACE_OUTER_BOUND('',#8822,.F.); +#8825=CARTESIAN_POINT('',(-7.7E0,-6.75E0,-1.66E1)); +#8826=DIRECTION('',(1.E0,0.E0,0.E0)); +#8827=DIRECTION('',(0.E0,0.E0,1.E0)); +#8828=AXIS2_PLACEMENT_3D('',#8825,#8826,#8827); +#8829=PLANE('',#8828); +#8831=ORIENTED_EDGE('',*,*,#8830,.T.); +#8832=ORIENTED_EDGE('',*,*,#8688,.T.); +#8833=ORIENTED_EDGE('',*,*,#8814,.F.); +#8834=ORIENTED_EDGE('',*,*,#7037,.F.); +#8835=EDGE_LOOP('',(#8831,#8832,#8833,#8834)); +#8836=FACE_OUTER_BOUND('',#8835,.F.); +#8838=CARTESIAN_POINT('',(-7.375E0,-6.75E0,-1.66E1)); +#8839=DIRECTION('',(0.E0,0.E0,1.E0)); +#8840=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8841=AXIS2_PLACEMENT_3D('',#8838,#8839,#8840); +#8842=PLANE('',#8841); +#8844=ORIENTED_EDGE('',*,*,#8843,.T.); +#8845=ORIENTED_EDGE('',*,*,#8690,.T.); +#8846=ORIENTED_EDGE('',*,*,#8830,.F.); +#8847=ORIENTED_EDGE('',*,*,#7035,.F.); +#8848=EDGE_LOOP('',(#8844,#8845,#8846,#8847)); +#8849=FACE_OUTER_BOUND('',#8848,.F.); +#8851=CARTESIAN_POINT('',(-7.375E0,-6.75E0,-2.1E1)); +#8852=DIRECTION('',(1.E0,0.E0,0.E0)); +#8853=DIRECTION('',(0.E0,0.E0,1.E0)); +#8854=AXIS2_PLACEMENT_3D('',#8851,#8852,#8853); +#8855=PLANE('',#8854); +#8857=ORIENTED_EDGE('',*,*,#8856,.T.); +#8858=ORIENTED_EDGE('',*,*,#8692,.T.); +#8859=ORIENTED_EDGE('',*,*,#8843,.F.); +#8860=ORIENTED_EDGE('',*,*,#7033,.F.); +#8861=EDGE_LOOP('',(#8857,#8858,#8859,#8860)); +#8862=FACE_OUTER_BOUND('',#8861,.F.); +#8864=CARTESIAN_POINT('',(7.375E0,-6.75E0,-2.1E1)); +#8865=DIRECTION('',(0.E0,0.E0,1.E0)); +#8866=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8867=AXIS2_PLACEMENT_3D('',#8864,#8865,#8866); +#8868=PLANE('',#8867); +#8870=ORIENTED_EDGE('',*,*,#8869,.T.); +#8871=ORIENTED_EDGE('',*,*,#8694,.T.); +#8872=ORIENTED_EDGE('',*,*,#8856,.F.); +#8873=ORIENTED_EDGE('',*,*,#7031,.F.); +#8874=EDGE_LOOP('',(#8870,#8871,#8872,#8873)); +#8875=FACE_OUTER_BOUND('',#8874,.F.); +#8877=CARTESIAN_POINT('',(7.375E0,-6.75E0,-1.66E1)); +#8878=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8879=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8880=AXIS2_PLACEMENT_3D('',#8877,#8878,#8879); +#8881=PLANE('',#8880); +#8883=ORIENTED_EDGE('',*,*,#8882,.T.); +#8884=ORIENTED_EDGE('',*,*,#8696,.T.); +#8885=ORIENTED_EDGE('',*,*,#8869,.F.); +#8886=ORIENTED_EDGE('',*,*,#7029,.F.); +#8887=EDGE_LOOP('',(#8883,#8884,#8885,#8886)); +#8888=FACE_OUTER_BOUND('',#8887,.F.); +#8890=CARTESIAN_POINT('',(7.7E0,-6.75E0,-1.66E1)); +#8891=DIRECTION('',(0.E0,0.E0,1.E0)); +#8892=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8893=AXIS2_PLACEMENT_3D('',#8890,#8891,#8892); +#8894=PLANE('',#8893); +#8896=ORIENTED_EDGE('',*,*,#8895,.T.); +#8897=ORIENTED_EDGE('',*,*,#8698,.T.); +#8898=ORIENTED_EDGE('',*,*,#8882,.F.); +#8899=ORIENTED_EDGE('',*,*,#7027,.F.); +#8900=EDGE_LOOP('',(#8896,#8897,#8898,#8899)); +#8901=FACE_OUTER_BOUND('',#8900,.F.); +#8903=CARTESIAN_POINT('',(7.7E0,-6.75E0,-1.545E1)); +#8904=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8905=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8906=AXIS2_PLACEMENT_3D('',#8903,#8904,#8905); +#8907=PLANE('',#8906); +#8909=ORIENTED_EDGE('',*,*,#8908,.T.); +#8910=ORIENTED_EDGE('',*,*,#8700,.T.); +#8911=ORIENTED_EDGE('',*,*,#8895,.F.); +#8912=ORIENTED_EDGE('',*,*,#7025,.F.); +#8913=EDGE_LOOP('',(#8909,#8910,#8911,#8912)); +#8914=FACE_OUTER_BOUND('',#8913,.F.); +#8916=CARTESIAN_POINT('',(7.95E0,-6.75E0,-1.545E1)); +#8917=DIRECTION('',(0.E0,0.E0,1.E0)); +#8918=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8919=AXIS2_PLACEMENT_3D('',#8916,#8917,#8918); +#8920=PLANE('',#8919); +#8921=ORIENTED_EDGE('',*,*,#8908,.F.); +#8922=ORIENTED_EDGE('',*,*,#7023,.F.); +#8923=ORIENTED_EDGE('',*,*,#6938,.T.); +#8924=ORIENTED_EDGE('',*,*,#6866,.F.); +#8925=ORIENTED_EDGE('',*,*,#8451,.T.); +#8926=ORIENTED_EDGE('',*,*,#8702,.T.); +#8927=EDGE_LOOP('',(#8921,#8922,#8923,#8924,#8925,#8926)); +#8928=FACE_OUTER_BOUND('',#8927,.F.); +#8930=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-1.565E1)); +#8931=DIRECTION('',(1.E0,0.E0,0.E0)); +#8932=DIRECTION('',(0.E0,0.E0,1.E0)); +#8933=AXIS2_PLACEMENT_3D('',#8930,#8931,#8932); +#8934=PLANE('',#8933); +#8935=ORIENTED_EDGE('',*,*,#7373,.F.); +#8936=ORIENTED_EDGE('',*,*,#7041,.T.); +#8937=ORIENTED_EDGE('',*,*,#8819,.T.); +#8938=ORIENTED_EDGE('',*,*,#6879,.F.); +#8940=ORIENTED_EDGE('',*,*,#8939,.F.); +#8942=ORIENTED_EDGE('',*,*,#8941,.F.); +#8943=EDGE_LOOP('',(#8935,#8936,#8937,#8938,#8940,#8942)); +#8944=FACE_OUTER_BOUND('',#8943,.F.); +#8946=CARTESIAN_POINT('',(-7.95E0,-8.15E0,-1.445E1)); +#8947=DIRECTION('',(0.E0,0.E0,1.E0)); +#8948=DIRECTION('',(0.E0,1.E0,0.E0)); +#8949=AXIS2_PLACEMENT_3D('',#8946,#8947,#8948); +#8950=PLANE('',#8949); +#8951=ORIENTED_EDGE('',*,*,#6657,.F.); +#8953=ORIENTED_EDGE('',*,*,#8952,.T.); +#8954=ORIENTED_EDGE('',*,*,#8939,.T.); +#8955=ORIENTED_EDGE('',*,*,#6877,.F.); +#8956=EDGE_LOOP('',(#8951,#8953,#8954,#8955)); +#8957=FACE_OUTER_BOUND('',#8956,.F.); +#8959=CARTESIAN_POINT('',(-7.95E0,-8.15E0,-1.38E1)); +#8960=DIRECTION('',(1.E0,0.E0,0.E0)); +#8961=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8962=AXIS2_PLACEMENT_3D('',#8959,#8960,#8961); +#8963=CYLINDRICAL_SURFACE('',#8962,6.5E-1); +#8964=ORIENTED_EDGE('',*,*,#6655,.F.); +#8965=ORIENTED_EDGE('',*,*,#7375,.T.); +#8966=ORIENTED_EDGE('',*,*,#8941,.T.); +#8967=ORIENTED_EDGE('',*,*,#8952,.F.); +#8968=EDGE_LOOP('',(#8964,#8965,#8966,#8967)); +#8969=FACE_OUTER_BOUND('',#8968,.F.); +#8971=CARTESIAN_POINT('',(7.95E0,-8.15E0,-1.445E1)); +#8972=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8973=DIRECTION('',(0.E0,1.E0,0.E0)); +#8974=AXIS2_PLACEMENT_3D('',#8971,#8972,#8973); +#8975=PLANE('',#8974); +#8976=ORIENTED_EDGE('',*,*,#8454,.T.); +#8977=ORIENTED_EDGE('',*,*,#6862,.T.); +#8978=ORIENTED_EDGE('',*,*,#6935,.F.); +#8980=ORIENTED_EDGE('',*,*,#8979,.F.); +#8981=EDGE_LOOP('',(#8976,#8977,#8978,#8980)); +#8982=FACE_OUTER_BOUND('',#8981,.F.); +#8984=CARTESIAN_POINT('',(7.95E0,-8.15E0,-1.38E1)); +#8985=DIRECTION('',(1.E0,0.E0,0.E0)); +#8986=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8987=AXIS2_PLACEMENT_3D('',#8984,#8985,#8986); +#8988=CYLINDRICAL_SURFACE('',#8987,6.5E-1); +#8989=ORIENTED_EDGE('',*,*,#8456,.T.); +#8990=ORIENTED_EDGE('',*,*,#8979,.T.); +#8991=ORIENTED_EDGE('',*,*,#6933,.F.); +#8992=ORIENTED_EDGE('',*,*,#6957,.F.); +#8993=EDGE_LOOP('',(#8989,#8990,#8991,#8992)); +#8994=FACE_OUTER_BOUND('',#8993,.F.); +#8996=CARTESIAN_POINT('',(7.95E0,-6.35E0,-1.295E1)); +#8997=DIRECTION('',(1.E0,0.E0,0.E0)); +#8998=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8999=AXIS2_PLACEMENT_3D('',#8996,#8997,#8998); +#9000=CYLINDRICAL_SURFACE('',#8999,2.E-1); +#9001=ORIENTED_EDGE('',*,*,#8459,.F.); +#9002=ORIENTED_EDGE('',*,*,#6953,.T.); +#9003=ORIENTED_EDGE('',*,*,#6971,.T.); +#9004=ORIENTED_EDGE('',*,*,#8417,.F.); +#9005=EDGE_LOOP('',(#9001,#9002,#9003,#9004)); +#9006=FACE_OUTER_BOUND('',#9005,.F.); +#9008=CARTESIAN_POINT('',(0.E0,-6.35E0,0.E0)); +#9009=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9010=DIRECTION('',(1.E0,0.E0,0.E0)); +#9011=AXIS2_PLACEMENT_3D('',#9008,#9009,#9010); +#9012=PLANE('',#9011); +#9013=ORIENTED_EDGE('',*,*,#8462,.F.); +#9014=ORIENTED_EDGE('',*,*,#8390,.T.); +#9015=ORIENTED_EDGE('',*,*,#6988,.F.); +#9017=ORIENTED_EDGE('',*,*,#9016,.T.); +#9018=EDGE_LOOP('',(#9013,#9014,#9015,#9017)); +#9019=FACE_OUTER_BOUND('',#9018,.F.); +#9021=CARTESIAN_POINT('',(0.E0,-6.35E0,0.E0)); +#9022=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9023=DIRECTION('',(1.E0,0.E0,0.E0)); +#9024=AXIS2_PLACEMENT_3D('',#9021,#9022,#9023); +#9025=PLANE('',#9024); +#9026=ORIENTED_EDGE('',*,*,#7353,.F.); +#9027=ORIENTED_EDGE('',*,*,#7438,.F.); +#9028=ORIENTED_EDGE('',*,*,#6647,.T.); +#9030=ORIENTED_EDGE('',*,*,#9029,.T.); +#9031=EDGE_LOOP('',(#9026,#9027,#9028,#9030)); +#9032=FACE_OUTER_BOUND('',#9031,.F.); +#9034=CARTESIAN_POINT('',(7.95E0,-6.35E0,-8.55E0)); +#9035=DIRECTION('',(1.E0,0.E0,0.E0)); +#9036=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9037=AXIS2_PLACEMENT_3D('',#9034,#9035,#9036); +#9038=CYLINDRICAL_SURFACE('',#9037,2.E-1); +#9039=ORIENTED_EDGE('',*,*,#8464,.F.); +#9040=ORIENTED_EDGE('',*,*,#9016,.F.); +#9041=ORIENTED_EDGE('',*,*,#6986,.T.); +#9043=ORIENTED_EDGE('',*,*,#9042,.F.); +#9044=EDGE_LOOP('',(#9039,#9040,#9041,#9043)); +#9045=FACE_OUTER_BOUND('',#9044,.F.); +#9047=CARTESIAN_POINT('',(7.95E0,-6.35E0,-8.15E0)); +#9048=DIRECTION('',(1.E0,0.E0,0.E0)); +#9049=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9050=AXIS2_PLACEMENT_3D('',#9047,#9048,#9049); +#9051=CYLINDRICAL_SURFACE('',#9050,2.E-1); +#9052=ORIENTED_EDGE('',*,*,#8466,.T.); +#9053=ORIENTED_EDGE('',*,*,#9042,.T.); +#9054=ORIENTED_EDGE('',*,*,#6984,.T.); +#9056=ORIENTED_EDGE('',*,*,#9055,.F.); +#9057=ORIENTED_EDGE('',*,*,#6898,.T.); +#9058=EDGE_LOOP('',(#9052,#9053,#9054,#9056,#9057)); +#9059=FACE_OUTER_BOUND('',#9058,.F.); +#9061=CARTESIAN_POINT('',(7.75E0,-6.4E0,-2.E-1)); +#9062=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9063=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9064=AXIS2_PLACEMENT_3D('',#9061,#9062,#9063); +#9065=PLANE('',#9064); +#9066=ORIENTED_EDGE('',*,*,#7012,.F.); +#9068=ORIENTED_EDGE('',*,*,#9067,.T.); +#9069=ORIENTED_EDGE('',*,*,#6900,.T.); +#9070=ORIENTED_EDGE('',*,*,#9055,.T.); +#9071=EDGE_LOOP('',(#9066,#9068,#9069,#9070)); +#9072=FACE_OUTER_BOUND('',#9071,.F.); +#9074=CARTESIAN_POINT('',(7.E0,-6.4E0,-2.E-1)); +#9075=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9076=DIRECTION('',(1.E0,0.E0,0.E0)); +#9077=AXIS2_PLACEMENT_3D('',#9074,#9075,#9076); +#9078=PLANE('',#9077); +#9079=ORIENTED_EDGE('',*,*,#7010,.F.); +#9081=ORIENTED_EDGE('',*,*,#9080,.T.); +#9082=ORIENTED_EDGE('',*,*,#6902,.T.); +#9083=ORIENTED_EDGE('',*,*,#9067,.F.); +#9084=EDGE_LOOP('',(#9079,#9081,#9082,#9083)); +#9085=FACE_OUTER_BOUND('',#9084,.F.); +#9087=CARTESIAN_POINT('',(7.E0,-6.75E0,0.E0)); +#9088=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9089=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9090=AXIS2_PLACEMENT_3D('',#9087,#9088,#9089); +#9091=PLANE('',#9090); +#9093=ORIENTED_EDGE('',*,*,#9092,.F.); +#9094=ORIENTED_EDGE('',*,*,#6891,.T.); +#9095=ORIENTED_EDGE('',*,*,#9080,.F.); +#9096=ORIENTED_EDGE('',*,*,#7008,.T.); +#9098=ORIENTED_EDGE('',*,*,#9097,.F.); +#9100=ORIENTED_EDGE('',*,*,#9099,.F.); +#9101=EDGE_LOOP('',(#9093,#9094,#9095,#9096,#9098,#9100)); +#9102=FACE_OUTER_BOUND('',#9101,.F.); +#9104=CARTESIAN_POINT('',(-2.921832E1,-6.55E0,-2.E-1)); +#9105=DIRECTION('',(1.E0,0.E0,0.E0)); +#9106=DIRECTION('',(0.E0,0.E0,1.E0)); +#9107=AXIS2_PLACEMENT_3D('',#9104,#9105,#9106); +#9108=CYLINDRICAL_SURFACE('',#9107,2.E-1); +#9109=ORIENTED_EDGE('',*,*,#9092,.T.); +#9111=ORIENTED_EDGE('',*,*,#9110,.F.); +#9113=ORIENTED_EDGE('',*,*,#9112,.T.); +#9114=ORIENTED_EDGE('',*,*,#6519,.T.); +#9115=EDGE_LOOP('',(#9109,#9111,#9113,#9114)); +#9116=FACE_OUTER_BOUND('',#9115,.F.); +#9118=CARTESIAN_POINT('',(-7.95E0,-6.75E0,0.E0)); +#9119=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9120=DIRECTION('',(1.E0,0.E0,0.E0)); +#9121=AXIS2_PLACEMENT_3D('',#9118,#9119,#9120); +#9122=PLANE('',#9121); +#9123=ORIENTED_EDGE('',*,*,#9110,.T.); +#9124=ORIENTED_EDGE('',*,*,#9099,.T.); +#9126=ORIENTED_EDGE('',*,*,#9125,.F.); +#9128=ORIENTED_EDGE('',*,*,#9127,.F.); +#9130=ORIENTED_EDGE('',*,*,#9129,.T.); +#9132=ORIENTED_EDGE('',*,*,#9131,.T.); +#9134=ORIENTED_EDGE('',*,*,#9133,.F.); +#9136=ORIENTED_EDGE('',*,*,#9135,.F.); +#9137=EDGE_LOOP('',(#9123,#9124,#9126,#9128,#9130,#9132,#9134,#9136)); +#9138=FACE_OUTER_BOUND('',#9137,.F.); +#9140=CARTESIAN_POINT('',(7.E0,-6.75E0,-2.7E0)); +#9141=DIRECTION('',(0.E0,0.E0,1.E0)); +#9142=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9143=AXIS2_PLACEMENT_3D('',#9140,#9141,#9142); +#9144=PLANE('',#9143); +#9145=ORIENTED_EDGE('',*,*,#9125,.T.); +#9146=ORIENTED_EDGE('',*,*,#9097,.T.); +#9147=ORIENTED_EDGE('',*,*,#7006,.T.); +#9149=ORIENTED_EDGE('',*,*,#9148,.F.); +#9150=EDGE_LOOP('',(#9145,#9146,#9147,#9149)); +#9151=FACE_OUTER_BOUND('',#9150,.F.); +#9153=CARTESIAN_POINT('',(-7.E0,-6.75E0,-2.7E0)); +#9154=DIRECTION('',(1.E0,0.E0,0.E0)); +#9155=DIRECTION('',(0.E0,0.E0,1.E0)); +#9156=AXIS2_PLACEMENT_3D('',#9153,#9154,#9155); +#9157=PLANE('',#9156); +#9159=ORIENTED_EDGE('',*,*,#9158,.F.); +#9160=ORIENTED_EDGE('',*,*,#9127,.T.); +#9161=ORIENTED_EDGE('',*,*,#9148,.T.); +#9162=ORIENTED_EDGE('',*,*,#7004,.T.); +#9164=ORIENTED_EDGE('',*,*,#9163,.T.); +#9165=ORIENTED_EDGE('',*,*,#6912,.T.); +#9166=EDGE_LOOP('',(#9159,#9160,#9161,#9162,#9164,#9165)); +#9167=FACE_OUTER_BOUND('',#9166,.F.); +#9169=CARTESIAN_POINT('',(-2.921832E1,-6.55E0,-2.E-1)); +#9170=DIRECTION('',(1.E0,0.E0,0.E0)); +#9171=DIRECTION('',(0.E0,0.E0,1.E0)); +#9172=AXIS2_PLACEMENT_3D('',#9169,#9170,#9171); +#9173=CYLINDRICAL_SURFACE('',#9172,2.E-1); +#9175=ORIENTED_EDGE('',*,*,#9174,.T.); +#9176=ORIENTED_EDGE('',*,*,#9129,.F.); +#9177=ORIENTED_EDGE('',*,*,#9158,.T.); +#9178=ORIENTED_EDGE('',*,*,#6555,.T.); +#9179=EDGE_LOOP('',(#9175,#9176,#9177,#9178)); +#9180=FACE_OUTER_BOUND('',#9179,.F.); +#9182=CARTESIAN_POINT('',(-2.63E0,-5.94E0,0.E0)); +#9183=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9184=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9185=AXIS2_PLACEMENT_3D('',#9182,#9183,#9184); +#9186=PLANE('',#9185); +#9187=ORIENTED_EDGE('',*,*,#9174,.F.); +#9188=ORIENTED_EDGE('',*,*,#6553,.T.); +#9190=ORIENTED_EDGE('',*,*,#9189,.T.); +#9192=ORIENTED_EDGE('',*,*,#9191,.T.); +#9193=ORIENTED_EDGE('',*,*,#9131,.F.); +#9194=EDGE_LOOP('',(#9187,#9188,#9190,#9192,#9193)); +#9195=FACE_OUTER_BOUND('',#9194,.F.); +#9197=CARTESIAN_POINT('',(-7.15E0,-5.94E0,0.E0)); +#9198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9199=DIRECTION('',(1.E0,0.E0,0.E0)); +#9200=AXIS2_PLACEMENT_3D('',#9197,#9198,#9199); +#9201=PLANE('',#9200); +#9203=ORIENTED_EDGE('',*,*,#9202,.T.); +#9205=ORIENTED_EDGE('',*,*,#9204,.T.); +#9206=ORIENTED_EDGE('',*,*,#9189,.F.); +#9207=ORIENTED_EDGE('',*,*,#6551,.F.); +#9208=EDGE_LOOP('',(#9203,#9205,#9206,#9207)); +#9209=FACE_OUTER_BOUND('',#9208,.F.); +#9211=CARTESIAN_POINT('',(-7.15E0,-3.59E0,0.E0)); +#9212=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9213=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9214=AXIS2_PLACEMENT_3D('',#9211,#9212,#9213); +#9215=PLANE('',#9214); +#9217=ORIENTED_EDGE('',*,*,#9216,.T.); +#9219=ORIENTED_EDGE('',*,*,#9218,.T.); +#9220=ORIENTED_EDGE('',*,*,#9202,.F.); +#9221=ORIENTED_EDGE('',*,*,#6549,.F.); +#9222=EDGE_LOOP('',(#9217,#9219,#9220,#9221)); +#9223=FACE_OUTER_BOUND('',#9222,.F.); +#9225=CARTESIAN_POINT('',(-6.55E0,-3.59E0,0.E0)); +#9226=DIRECTION('',(0.E0,1.E0,0.E0)); +#9227=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9228=AXIS2_PLACEMENT_3D('',#9225,#9226,#9227); +#9229=PLANE('',#9228); +#9231=ORIENTED_EDGE('',*,*,#9230,.T.); +#9233=ORIENTED_EDGE('',*,*,#9232,.T.); +#9234=ORIENTED_EDGE('',*,*,#9216,.F.); +#9235=ORIENTED_EDGE('',*,*,#6547,.F.); +#9236=EDGE_LOOP('',(#9231,#9233,#9234,#9235)); +#9237=FACE_OUTER_BOUND('',#9236,.F.); +#9239=CARTESIAN_POINT('',(-6.55E0,4.17E0,0.E0)); +#9240=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9241=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9242=AXIS2_PLACEMENT_3D('',#9239,#9240,#9241); +#9243=PLANE('',#9242); +#9245=ORIENTED_EDGE('',*,*,#9244,.T.); +#9247=ORIENTED_EDGE('',*,*,#9246,.F.); +#9249=ORIENTED_EDGE('',*,*,#9248,.T.); +#9251=ORIENTED_EDGE('',*,*,#9250,.T.); +#9253=ORIENTED_EDGE('',*,*,#9252,.F.); +#9255=ORIENTED_EDGE('',*,*,#9254,.F.); +#9257=ORIENTED_EDGE('',*,*,#9256,.T.); +#9258=ORIENTED_EDGE('',*,*,#9230,.F.); +#9259=ORIENTED_EDGE('',*,*,#6545,.F.); +#9261=ORIENTED_EDGE('',*,*,#9260,.F.); +#9263=ORIENTED_EDGE('',*,*,#9262,.F.); +#9265=ORIENTED_EDGE('',*,*,#9264,.T.); +#9266=ORIENTED_EDGE('',*,*,#6541,.F.); +#9268=ORIENTED_EDGE('',*,*,#9267,.T.); +#9269=EDGE_LOOP('',(#9245,#9247,#9249,#9251,#9253,#9255,#9257,#9258,#9259,#9261, +#9263,#9265,#9266,#9268)); +#9270=FACE_OUTER_BOUND('',#9269,.F.); +#9272=CARTESIAN_POINT('',(0.E0,0.E0,-2.5E-1)); +#9273=DIRECTION('',(0.E0,0.E0,1.E0)); +#9274=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9275=AXIS2_PLACEMENT_3D('',#9272,#9273,#9274); +#9276=PLANE('',#9275); +#9278=ORIENTED_EDGE('',*,*,#9277,.F.); +#9280=ORIENTED_EDGE('',*,*,#9279,.F.); +#9282=ORIENTED_EDGE('',*,*,#9281,.F.); +#9284=ORIENTED_EDGE('',*,*,#9283,.F.); +#9286=ORIENTED_EDGE('',*,*,#9285,.F.); +#9288=ORIENTED_EDGE('',*,*,#9287,.F.); +#9290=ORIENTED_EDGE('',*,*,#9289,.F.); +#9292=ORIENTED_EDGE('',*,*,#9291,.F.); +#9294=ORIENTED_EDGE('',*,*,#9293,.F.); +#9296=ORIENTED_EDGE('',*,*,#9295,.F.); +#9298=ORIENTED_EDGE('',*,*,#9297,.F.); +#9300=ORIENTED_EDGE('',*,*,#9299,.F.); +#9302=ORIENTED_EDGE('',*,*,#9301,.F.); +#9303=ORIENTED_EDGE('',*,*,#9133,.T.); +#9304=ORIENTED_EDGE('',*,*,#9191,.F.); +#9305=ORIENTED_EDGE('',*,*,#9204,.F.); +#9306=ORIENTED_EDGE('',*,*,#9218,.F.); +#9307=ORIENTED_EDGE('',*,*,#9232,.F.); +#9308=ORIENTED_EDGE('',*,*,#9256,.F.); +#9310=ORIENTED_EDGE('',*,*,#9309,.F.); +#9312=ORIENTED_EDGE('',*,*,#9311,.F.); +#9314=ORIENTED_EDGE('',*,*,#9313,.F.); +#9316=ORIENTED_EDGE('',*,*,#9315,.F.); +#9318=ORIENTED_EDGE('',*,*,#9317,.F.); +#9319=EDGE_LOOP('',(#9278,#9280,#9282,#9284,#9286,#9288,#9290,#9292,#9294,#9296, +#9298,#9300,#9302,#9303,#9304,#9305,#9306,#9307,#9308,#9310,#9312,#9314,#9316, +#9318)); +#9320=FACE_OUTER_BOUND('',#9319,.F.); +#9322=ORIENTED_EDGE('',*,*,#9321,.T.); +#9324=ORIENTED_EDGE('',*,*,#9323,.F.); +#9326=ORIENTED_EDGE('',*,*,#9325,.F.); +#9328=ORIENTED_EDGE('',*,*,#9327,.T.); +#9329=EDGE_LOOP('',(#9322,#9324,#9326,#9328)); +#9330=FACE_BOUND('',#9329,.F.); +#9332=ORIENTED_EDGE('',*,*,#9331,.F.); +#9334=ORIENTED_EDGE('',*,*,#9333,.F.); +#9336=ORIENTED_EDGE('',*,*,#9335,.T.); +#9338=ORIENTED_EDGE('',*,*,#9337,.T.); +#9339=EDGE_LOOP('',(#9332,#9334,#9336,#9338)); +#9340=FACE_BOUND('',#9339,.F.); +#9342=CARTESIAN_POINT('',(0.E0,0.E0,-2.5E-1)); +#9343=DIRECTION('',(0.E0,0.E0,1.E0)); +#9344=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9345=AXIS2_PLACEMENT_3D('',#9342,#9343,#9344); +#9346=PLANE('',#9345); +#9348=ORIENTED_EDGE('',*,*,#9347,.F.); +#9349=ORIENTED_EDGE('',*,*,#9244,.F.); +#9351=ORIENTED_EDGE('',*,*,#9350,.F.); +#9353=ORIENTED_EDGE('',*,*,#9352,.F.); +#9355=ORIENTED_EDGE('',*,*,#9354,.F.); +#9357=ORIENTED_EDGE('',*,*,#9356,.F.); +#9359=ORIENTED_EDGE('',*,*,#9358,.F.); +#9361=ORIENTED_EDGE('',*,*,#9360,.F.); +#9363=ORIENTED_EDGE('',*,*,#9362,.F.); +#9365=ORIENTED_EDGE('',*,*,#9364,.F.); +#9366=EDGE_LOOP('',(#9348,#9349,#9351,#9353,#9355,#9357,#9359,#9361,#9363, +#9365)); +#9367=FACE_OUTER_BOUND('',#9366,.F.); +#9369=CARTESIAN_POINT('',(-2.155E0,-5.2E0,-3.75E-1)); +#9370=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#9371=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#9372=AXIS2_PLACEMENT_3D('',#9369,#9370,#9371); +#9373=PLANE('',#9372); +#9374=ORIENTED_EDGE('',*,*,#9277,.T.); +#9376=ORIENTED_EDGE('',*,*,#9375,.F.); +#9378=ORIENTED_EDGE('',*,*,#9377,.F.); +#9380=ORIENTED_EDGE('',*,*,#9379,.T.); +#9381=EDGE_LOOP('',(#9374,#9376,#9378,#9380)); +#9382=FACE_OUTER_BOUND('',#9381,.F.); +#9384=CARTESIAN_POINT('',(-2.59E0,-4.625E0,-3.75E-1)); +#9385=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#9386=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#9387=AXIS2_PLACEMENT_3D('',#9384,#9385,#9386); +#9388=PLANE('',#9387); +#9389=ORIENTED_EDGE('',*,*,#9317,.T.); +#9391=ORIENTED_EDGE('',*,*,#9390,.F.); +#9393=ORIENTED_EDGE('',*,*,#9392,.F.); +#9394=ORIENTED_EDGE('',*,*,#9375,.T.); +#9395=EDGE_LOOP('',(#9389,#9391,#9393,#9394)); +#9396=FACE_OUTER_BOUND('',#9395,.F.); +#9398=CARTESIAN_POINT('',(-3.275E0,-3.655E0,-3.75E-1)); +#9399=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#9400=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#9401=AXIS2_PLACEMENT_3D('',#9398,#9399,#9400); +#9402=PLANE('',#9401); +#9403=ORIENTED_EDGE('',*,*,#9315,.T.); +#9405=ORIENTED_EDGE('',*,*,#9404,.F.); +#9407=ORIENTED_EDGE('',*,*,#9406,.F.); +#9408=ORIENTED_EDGE('',*,*,#9390,.T.); +#9409=EDGE_LOOP('',(#9403,#9405,#9407,#9408)); +#9410=FACE_OUTER_BOUND('',#9409,.F.); +#9412=CARTESIAN_POINT('',(-4.55E0,-2.935E0,-3.75E-1)); +#9413=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#9414=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#9415=AXIS2_PLACEMENT_3D('',#9412,#9413,#9414); +#9416=PLANE('',#9415); +#9417=ORIENTED_EDGE('',*,*,#9313,.T.); +#9419=ORIENTED_EDGE('',*,*,#9418,.F.); +#9421=ORIENTED_EDGE('',*,*,#9420,.F.); +#9422=ORIENTED_EDGE('',*,*,#9404,.T.); +#9423=EDGE_LOOP('',(#9417,#9419,#9421,#9422)); +#9424=FACE_OUTER_BOUND('',#9423,.F.); +#9426=CARTESIAN_POINT('',(-6.075E0,-2.1775E0,-3.75E-1)); +#9427=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#9428=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#9429=AXIS2_PLACEMENT_3D('',#9426,#9427,#9428); +#9430=PLANE('',#9429); +#9432=ORIENTED_EDGE('',*,*,#9431,.F.); +#9433=ORIENTED_EDGE('',*,*,#9418,.T.); +#9434=ORIENTED_EDGE('',*,*,#9311,.T.); +#9436=ORIENTED_EDGE('',*,*,#9435,.F.); +#9437=EDGE_LOOP('',(#9432,#9433,#9434,#9436)); +#9438=FACE_OUTER_BOUND('',#9437,.F.); +#9440=CARTESIAN_POINT('',(-5.95E0,4.02E0,0.E0)); +#9441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9442=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9443=AXIS2_PLACEMENT_3D('',#9440,#9441,#9442); +#9444=PLANE('',#9443); +#9445=ORIENTED_EDGE('',*,*,#9431,.T.); +#9447=ORIENTED_EDGE('',*,*,#9446,.F.); +#9449=ORIENTED_EDGE('',*,*,#9448,.T.); +#9451=ORIENTED_EDGE('',*,*,#9450,.T.); +#9453=ORIENTED_EDGE('',*,*,#9452,.F.); +#9455=ORIENTED_EDGE('',*,*,#9454,.F.); +#9456=EDGE_LOOP('',(#9445,#9447,#9449,#9451,#9453,#9455)); +#9457=FACE_OUTER_BOUND('',#9456,.F.); +#9459=CARTESIAN_POINT('',(-5.95E0,4.02E0,0.E0)); +#9460=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9461=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9462=AXIS2_PLACEMENT_3D('',#9459,#9460,#9461); +#9463=PLANE('',#9462); +#9465=ORIENTED_EDGE('',*,*,#9464,.T.); +#9467=ORIENTED_EDGE('',*,*,#9466,.T.); +#9469=ORIENTED_EDGE('',*,*,#9468,.T.); +#9471=ORIENTED_EDGE('',*,*,#9470,.T.); +#9472=EDGE_LOOP('',(#9465,#9467,#9469,#9471)); +#9473=FACE_OUTER_BOUND('',#9472,.F.); +#9475=CARTESIAN_POINT('',(-6.81E0,-1.545E0,-2.5E-1)); +#9476=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9477=DIRECTION('',(1.E0,0.E0,0.E0)); +#9478=AXIS2_PLACEMENT_3D('',#9475,#9476,#9477); +#9479=PLANE('',#9478); +#9481=ORIENTED_EDGE('',*,*,#9480,.T.); +#9482=ORIENTED_EDGE('',*,*,#9252,.T.); +#9484=ORIENTED_EDGE('',*,*,#9483,.F.); +#9486=ORIENTED_EDGE('',*,*,#9485,.T.); +#9488=ORIENTED_EDGE('',*,*,#9487,.T.); +#9489=ORIENTED_EDGE('',*,*,#9446,.T.); +#9490=EDGE_LOOP('',(#9481,#9482,#9484,#9486,#9488,#9489)); +#9491=FACE_OUTER_BOUND('',#9490,.F.); +#9493=CARTESIAN_POINT('',(-6.25E0,-1.67E0,-3.75E-1)); +#9494=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#9495=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#9496=AXIS2_PLACEMENT_3D('',#9493,#9494,#9495); +#9497=PLANE('',#9496); +#9498=ORIENTED_EDGE('',*,*,#9480,.F.); +#9499=ORIENTED_EDGE('',*,*,#9435,.T.); +#9500=ORIENTED_EDGE('',*,*,#9309,.T.); +#9501=ORIENTED_EDGE('',*,*,#9254,.T.); +#9502=EDGE_LOOP('',(#9498,#9499,#9500,#9501)); +#9503=FACE_OUTER_BOUND('',#9502,.F.); +#9505=CARTESIAN_POINT('',(0.E0,0.E0,-2.5E-1)); +#9506=DIRECTION('',(0.E0,0.E0,1.E0)); +#9507=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9508=AXIS2_PLACEMENT_3D('',#9505,#9506,#9507); +#9509=PLANE('',#9508); +#9511=ORIENTED_EDGE('',*,*,#9510,.T.); +#9513=ORIENTED_EDGE('',*,*,#9512,.T.); +#9514=ORIENTED_EDGE('',*,*,#9483,.T.); +#9515=ORIENTED_EDGE('',*,*,#9250,.F.); +#9516=EDGE_LOOP('',(#9511,#9513,#9514,#9515)); +#9517=FACE_OUTER_BOUND('',#9516,.F.); +#9519=CARTESIAN_POINT('',(0.E0,0.E0,-2.5E-1)); +#9520=DIRECTION('',(0.E0,0.E0,1.E0)); +#9521=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9522=AXIS2_PLACEMENT_3D('',#9519,#9520,#9521); +#9523=PLANE('',#9522); +#9525=ORIENTED_EDGE('',*,*,#9524,.T.); +#9527=ORIENTED_EDGE('',*,*,#9526,.T.); +#9529=ORIENTED_EDGE('',*,*,#9528,.T.); +#9531=ORIENTED_EDGE('',*,*,#9530,.T.); +#9532=EDGE_LOOP('',(#9525,#9527,#9529,#9531)); +#9533=FACE_OUTER_BOUND('',#9532,.F.); +#9535=CARTESIAN_POINT('',(-5.95E0,1.255E0,-2.5E-1)); +#9536=DIRECTION('',(0.E0,1.E0,0.E0)); +#9537=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9538=AXIS2_PLACEMENT_3D('',#9535,#9536,#9537); +#9539=PLANE('',#9538); +#9541=ORIENTED_EDGE('',*,*,#9540,.T.); +#9542=ORIENTED_EDGE('',*,*,#9470,.F.); +#9544=ORIENTED_EDGE('',*,*,#9543,.T.); +#9546=ORIENTED_EDGE('',*,*,#9545,.F.); +#9547=ORIENTED_EDGE('',*,*,#9510,.F.); +#9548=ORIENTED_EDGE('',*,*,#9248,.F.); +#9549=EDGE_LOOP('',(#9541,#9542,#9544,#9546,#9547,#9548)); +#9550=FACE_OUTER_BOUND('',#9549,.F.); +#9552=CARTESIAN_POINT('',(-6.25E0,1.38E0,-3.75E-1)); +#9553=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#9554=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#9555=AXIS2_PLACEMENT_3D('',#9552,#9553,#9554); +#9556=PLANE('',#9555); +#9557=ORIENTED_EDGE('',*,*,#9347,.T.); +#9559=ORIENTED_EDGE('',*,*,#9558,.F.); +#9560=ORIENTED_EDGE('',*,*,#9540,.F.); +#9561=ORIENTED_EDGE('',*,*,#9246,.T.); +#9562=EDGE_LOOP('',(#9557,#9559,#9560,#9561)); +#9563=FACE_OUTER_BOUND('',#9562,.F.); +#9565=CARTESIAN_POINT('',(-6.075E0,2.6375E0,-3.75E-1)); +#9566=DIRECTION('',(7.071067811865E-1,0.E0,7.071067811865E-1)); +#9567=DIRECTION('',(7.071067811865E-1,0.E0,-7.071067811865E-1)); +#9568=AXIS2_PLACEMENT_3D('',#9565,#9566,#9567); +#9569=PLANE('',#9568); +#9570=ORIENTED_EDGE('',*,*,#9364,.T.); +#9572=ORIENTED_EDGE('',*,*,#9571,.F.); +#9573=ORIENTED_EDGE('',*,*,#9464,.F.); +#9574=ORIENTED_EDGE('',*,*,#9558,.T.); +#9575=EDGE_LOOP('',(#9570,#9572,#9573,#9574)); +#9576=FACE_OUTER_BOUND('',#9575,.F.); +#9578=CARTESIAN_POINT('',(1.421085471520E-14,4.145E0,-3.75E-1)); +#9579=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#9580=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#9581=AXIS2_PLACEMENT_3D('',#9578,#9579,#9580); +#9582=PLANE('',#9581); +#9583=ORIENTED_EDGE('',*,*,#9362,.T.); +#9585=ORIENTED_EDGE('',*,*,#9584,.F.); +#9587=ORIENTED_EDGE('',*,*,#9586,.F.); +#9588=ORIENTED_EDGE('',*,*,#9571,.T.); +#9589=EDGE_LOOP('',(#9583,#9585,#9587,#9588)); +#9590=FACE_OUTER_BOUND('',#9589,.F.); +#9592=CARTESIAN_POINT('',(6.075E0,2.6375E0,-3.75E-1)); +#9593=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#9594=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#9595=AXIS2_PLACEMENT_3D('',#9592,#9593,#9594); +#9596=PLANE('',#9595); +#9598=ORIENTED_EDGE('',*,*,#9597,.F.); +#9599=ORIENTED_EDGE('',*,*,#9584,.T.); +#9600=ORIENTED_EDGE('',*,*,#9360,.T.); +#9602=ORIENTED_EDGE('',*,*,#9601,.F.); +#9603=EDGE_LOOP('',(#9598,#9599,#9600,#9602)); +#9604=FACE_OUTER_BOUND('',#9603,.F.); +#9606=CARTESIAN_POINT('',(5.95E0,-2.81E0,0.E0)); +#9607=DIRECTION('',(1.E0,0.E0,0.E0)); +#9608=DIRECTION('',(0.E0,1.E0,0.E0)); +#9609=AXIS2_PLACEMENT_3D('',#9606,#9607,#9608); +#9610=PLANE('',#9609); +#9612=ORIENTED_EDGE('',*,*,#9611,.T.); +#9614=ORIENTED_EDGE('',*,*,#9613,.T.); +#9616=ORIENTED_EDGE('',*,*,#9615,.T.); +#9618=ORIENTED_EDGE('',*,*,#9617,.F.); +#9620=ORIENTED_EDGE('',*,*,#9619,.T.); +#9622=ORIENTED_EDGE('',*,*,#9621,.T.); +#9623=EDGE_LOOP('',(#9612,#9614,#9616,#9618,#9620,#9622)); +#9624=FACE_OUTER_BOUND('',#9623,.F.); +#9626=CARTESIAN_POINT('',(5.95E0,-2.81E0,0.E0)); +#9627=DIRECTION('',(1.E0,0.E0,0.E0)); +#9628=DIRECTION('',(0.E0,1.E0,0.E0)); +#9629=AXIS2_PLACEMENT_3D('',#9626,#9627,#9628); +#9630=PLANE('',#9629); +#9631=ORIENTED_EDGE('',*,*,#9597,.T.); +#9633=ORIENTED_EDGE('',*,*,#9632,.F.); +#9635=ORIENTED_EDGE('',*,*,#9634,.T.); +#9637=ORIENTED_EDGE('',*,*,#9636,.F.); +#9638=EDGE_LOOP('',(#9631,#9633,#9635,#9637)); +#9639=FACE_OUTER_BOUND('',#9638,.F.); +#9641=CARTESIAN_POINT('',(6.075E0,-2.1775E0,-3.75E-1)); +#9642=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#9643=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#9644=AXIS2_PLACEMENT_3D('',#9641,#9642,#9643); +#9645=PLANE('',#9644); +#9646=ORIENTED_EDGE('',*,*,#9289,.T.); +#9648=ORIENTED_EDGE('',*,*,#9647,.F.); +#9649=ORIENTED_EDGE('',*,*,#9611,.F.); +#9651=ORIENTED_EDGE('',*,*,#9650,.T.); +#9652=EDGE_LOOP('',(#9646,#9648,#9649,#9651)); +#9653=FACE_OUTER_BOUND('',#9652,.F.); +#9655=CARTESIAN_POINT('',(4.55E0,-2.935E0,-3.75E-1)); +#9656=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#9657=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#9658=AXIS2_PLACEMENT_3D('',#9655,#9656,#9657); +#9659=PLANE('',#9658); +#9661=ORIENTED_EDGE('',*,*,#9660,.F.); +#9662=ORIENTED_EDGE('',*,*,#9647,.T.); +#9663=ORIENTED_EDGE('',*,*,#9287,.T.); +#9665=ORIENTED_EDGE('',*,*,#9664,.F.); +#9666=EDGE_LOOP('',(#9661,#9662,#9663,#9665)); +#9667=FACE_OUTER_BOUND('',#9666,.F.); +#9669=CARTESIAN_POINT('',(3.15E0,-2.81E0,0.E0)); +#9670=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9671=DIRECTION('',(1.E0,0.E0,0.E0)); +#9672=AXIS2_PLACEMENT_3D('',#9669,#9670,#9671); +#9673=PLANE('',#9672); +#9674=ORIENTED_EDGE('',*,*,#9660,.T.); +#9676=ORIENTED_EDGE('',*,*,#9675,.T.); +#9678=ORIENTED_EDGE('',*,*,#9677,.T.); +#9679=ORIENTED_EDGE('',*,*,#9613,.F.); +#9680=EDGE_LOOP('',(#9674,#9676,#9678,#9679)); +#9681=FACE_OUTER_BOUND('',#9680,.F.); +#9683=CARTESIAN_POINT('',(3.15E0,-4.5E0,0.E0)); +#9684=DIRECTION('',(1.E0,0.E0,0.E0)); +#9685=DIRECTION('',(0.E0,1.E0,0.E0)); +#9686=AXIS2_PLACEMENT_3D('',#9683,#9684,#9685); +#9687=PLANE('',#9686); +#9689=ORIENTED_EDGE('',*,*,#9688,.T.); +#9691=ORIENTED_EDGE('',*,*,#9690,.T.); +#9693=ORIENTED_EDGE('',*,*,#9692,.T.); +#9695=ORIENTED_EDGE('',*,*,#9694,.T.); +#9697=ORIENTED_EDGE('',*,*,#9696,.F.); +#9699=ORIENTED_EDGE('',*,*,#9698,.T.); +#9701=ORIENTED_EDGE('',*,*,#9700,.T.); +#9702=ORIENTED_EDGE('',*,*,#9675,.F.); +#9703=EDGE_LOOP('',(#9689,#9691,#9693,#9695,#9697,#9699,#9701,#9702)); +#9704=FACE_OUTER_BOUND('',#9703,.F.); +#9706=CARTESIAN_POINT('',(3.275E0,-3.655E0,-3.75E-1)); +#9707=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#9708=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#9709=AXIS2_PLACEMENT_3D('',#9706,#9707,#9708); +#9710=PLANE('',#9709); +#9711=ORIENTED_EDGE('',*,*,#9688,.F.); +#9712=ORIENTED_EDGE('',*,*,#9664,.T.); +#9713=ORIENTED_EDGE('',*,*,#9285,.T.); +#9715=ORIENTED_EDGE('',*,*,#9714,.F.); +#9716=EDGE_LOOP('',(#9711,#9712,#9713,#9715)); +#9717=FACE_OUTER_BOUND('',#9716,.F.); +#9719=CARTESIAN_POINT('',(2.59E0,-4.625E0,-3.75E-1)); +#9720=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#9721=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#9722=AXIS2_PLACEMENT_3D('',#9719,#9720,#9721); +#9723=PLANE('',#9722); +#9725=ORIENTED_EDGE('',*,*,#9724,.F.); +#9726=ORIENTED_EDGE('',*,*,#9714,.T.); +#9727=ORIENTED_EDGE('',*,*,#9283,.T.); +#9729=ORIENTED_EDGE('',*,*,#9728,.F.); +#9730=EDGE_LOOP('',(#9725,#9726,#9727,#9729)); +#9731=FACE_OUTER_BOUND('',#9730,.F.); +#9733=CARTESIAN_POINT('',(-3.15E0,-4.5E0,0.E0)); +#9734=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9735=DIRECTION('',(1.E0,0.E0,0.E0)); +#9736=AXIS2_PLACEMENT_3D('',#9733,#9734,#9735); +#9737=PLANE('',#9736); +#9738=ORIENTED_EDGE('',*,*,#9392,.T.); +#9740=ORIENTED_EDGE('',*,*,#9739,.T.); +#9742=ORIENTED_EDGE('',*,*,#9741,.T.); +#9744=ORIENTED_EDGE('',*,*,#9743,.F.); +#9745=EDGE_LOOP('',(#9738,#9740,#9742,#9744)); +#9746=FACE_OUTER_BOUND('',#9745,.F.); +#9748=CARTESIAN_POINT('',(-3.15E0,-4.5E0,0.E0)); +#9749=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9750=DIRECTION('',(1.E0,0.E0,0.E0)); +#9751=AXIS2_PLACEMENT_3D('',#9748,#9749,#9750); +#9752=PLANE('',#9751); +#9753=ORIENTED_EDGE('',*,*,#9724,.T.); +#9755=ORIENTED_EDGE('',*,*,#9754,.T.); +#9757=ORIENTED_EDGE('',*,*,#9756,.F.); +#9758=ORIENTED_EDGE('',*,*,#9690,.F.); +#9759=EDGE_LOOP('',(#9753,#9755,#9757,#9758)); +#9760=FACE_OUTER_BOUND('',#9759,.F.); +#9762=CARTESIAN_POINT('',(-3.15E0,-2.81E0,0.E0)); +#9763=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9764=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9765=AXIS2_PLACEMENT_3D('',#9762,#9763,#9764); +#9766=PLANE('',#9765); +#9767=ORIENTED_EDGE('',*,*,#9406,.T.); +#9769=ORIENTED_EDGE('',*,*,#9768,.T.); +#9771=ORIENTED_EDGE('',*,*,#9770,.T.); +#9773=ORIENTED_EDGE('',*,*,#9772,.F.); +#9775=ORIENTED_EDGE('',*,*,#9774,.T.); +#9777=ORIENTED_EDGE('',*,*,#9776,.T.); +#9779=ORIENTED_EDGE('',*,*,#9778,.F.); +#9780=ORIENTED_EDGE('',*,*,#9739,.F.); +#9781=EDGE_LOOP('',(#9767,#9769,#9771,#9773,#9775,#9777,#9779,#9780)); +#9782=FACE_OUTER_BOUND('',#9781,.F.); +#9784=CARTESIAN_POINT('',(-5.95E0,-2.81E0,0.E0)); +#9785=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9786=DIRECTION('',(1.E0,0.E0,0.E0)); +#9787=AXIS2_PLACEMENT_3D('',#9784,#9785,#9786); +#9788=PLANE('',#9787); +#9789=ORIENTED_EDGE('',*,*,#9420,.T.); +#9790=ORIENTED_EDGE('',*,*,#9454,.T.); +#9792=ORIENTED_EDGE('',*,*,#9791,.T.); +#9793=ORIENTED_EDGE('',*,*,#9768,.F.); +#9794=EDGE_LOOP('',(#9789,#9790,#9792,#9793)); +#9795=FACE_OUTER_BOUND('',#9794,.F.); +#9797=CARTESIAN_POINT('',(0.E0,0.E0,-8.4E0)); +#9798=DIRECTION('',(0.E0,0.E0,1.E0)); +#9799=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9800=AXIS2_PLACEMENT_3D('',#9797,#9798,#9799); +#9801=PLANE('',#9800); +#9803=ORIENTED_EDGE('',*,*,#9802,.F.); +#9804=ORIENTED_EDGE('',*,*,#9615,.F.); +#9805=ORIENTED_EDGE('',*,*,#9677,.F.); +#9806=ORIENTED_EDGE('',*,*,#9700,.F.); +#9807=EDGE_LOOP('',(#9803,#9804,#9805,#9806)); +#9808=FACE_OUTER_BOUND('',#9807,.F.); +#9810=CARTESIAN_POINT('',(0.E0,0.E0,-8.4E0)); +#9811=DIRECTION('',(0.E0,0.E0,1.E0)); +#9812=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9813=AXIS2_PLACEMENT_3D('',#9810,#9811,#9812); +#9814=PLANE('',#9813); +#9815=ORIENTED_EDGE('',*,*,#9770,.F.); +#9816=ORIENTED_EDGE('',*,*,#9791,.F.); +#9817=ORIENTED_EDGE('',*,*,#9452,.T.); +#9819=ORIENTED_EDGE('',*,*,#9818,.F.); +#9820=EDGE_LOOP('',(#9815,#9816,#9817,#9819)); +#9821=FACE_OUTER_BOUND('',#9820,.F.); +#9823=CARTESIAN_POINT('',(5.95E0,-1.61E0,-9.9E0)); +#9824=DIRECTION('',(0.E0,1.E0,0.E0)); +#9825=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9826=AXIS2_PLACEMENT_3D('',#9823,#9824,#9825); +#9827=PLANE('',#9826); +#9828=ORIENTED_EDGE('',*,*,#9802,.T.); +#9829=ORIENTED_EDGE('',*,*,#9698,.F.); +#9831=ORIENTED_EDGE('',*,*,#9830,.F.); +#9832=ORIENTED_EDGE('',*,*,#9617,.T.); +#9833=EDGE_LOOP('',(#9828,#9829,#9831,#9832)); +#9834=FACE_OUTER_BOUND('',#9833,.F.); +#9836=CARTESIAN_POINT('',(0.E0,0.E0,-9.9E0)); +#9837=DIRECTION('',(0.E0,0.E0,1.E0)); +#9838=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9839=AXIS2_PLACEMENT_3D('',#9836,#9837,#9838); +#9840=PLANE('',#9839); +#9841=ORIENTED_EDGE('',*,*,#9696,.T.); +#9843=ORIENTED_EDGE('',*,*,#9842,.T.); +#9844=ORIENTED_EDGE('',*,*,#9774,.F.); +#9846=ORIENTED_EDGE('',*,*,#9845,.F.); +#9847=ORIENTED_EDGE('',*,*,#9448,.F.); +#9848=ORIENTED_EDGE('',*,*,#9487,.F.); +#9850=ORIENTED_EDGE('',*,*,#9849,.F.); +#9851=ORIENTED_EDGE('',*,*,#9543,.F.); +#9852=ORIENTED_EDGE('',*,*,#9468,.F.); +#9854=ORIENTED_EDGE('',*,*,#9853,.F.); +#9855=ORIENTED_EDGE('',*,*,#9634,.F.); +#9857=ORIENTED_EDGE('',*,*,#9856,.F.); +#9859=ORIENTED_EDGE('',*,*,#9858,.F.); +#9861=ORIENTED_EDGE('',*,*,#9860,.F.); +#9862=ORIENTED_EDGE('',*,*,#9619,.F.); +#9863=ORIENTED_EDGE('',*,*,#9830,.T.); +#9864=EDGE_LOOP('',(#9841,#9843,#9844,#9846,#9847,#9848,#9850,#9851,#9852,#9854, +#9855,#9857,#9859,#9861,#9862,#9863)); +#9865=FACE_OUTER_BOUND('',#9864,.F.); +#9867=ORIENTED_EDGE('',*,*,#9866,.F.); +#9869=ORIENTED_EDGE('',*,*,#9868,.F.); +#9871=ORIENTED_EDGE('',*,*,#9870,.T.); +#9873=ORIENTED_EDGE('',*,*,#9872,.T.); +#9874=EDGE_LOOP('',(#9867,#9869,#9871,#9873)); +#9875=FACE_BOUND('',#9874,.F.); +#9877=ORIENTED_EDGE('',*,*,#9876,.F.); +#9879=ORIENTED_EDGE('',*,*,#9878,.F.); +#9881=ORIENTED_EDGE('',*,*,#9880,.T.); +#9883=ORIENTED_EDGE('',*,*,#9882,.T.); +#9884=EDGE_LOOP('',(#9877,#9879,#9881,#9883)); +#9885=FACE_BOUND('',#9884,.F.); +#9887=ORIENTED_EDGE('',*,*,#9886,.F.); +#9889=ORIENTED_EDGE('',*,*,#9888,.F.); +#9891=ORIENTED_EDGE('',*,*,#9890,.T.); +#9893=ORIENTED_EDGE('',*,*,#9892,.T.); +#9894=EDGE_LOOP('',(#9887,#9889,#9891,#9893)); +#9895=FACE_BOUND('',#9894,.F.); +#9897=ORIENTED_EDGE('',*,*,#9896,.F.); +#9899=ORIENTED_EDGE('',*,*,#9898,.F.); +#9901=ORIENTED_EDGE('',*,*,#9900,.T.); +#9903=ORIENTED_EDGE('',*,*,#9902,.T.); +#9904=EDGE_LOOP('',(#9897,#9899,#9901,#9903)); +#9905=FACE_BOUND('',#9904,.F.); +#9907=ORIENTED_EDGE('',*,*,#9906,.F.); +#9909=ORIENTED_EDGE('',*,*,#9908,.F.); +#9911=ORIENTED_EDGE('',*,*,#9910,.T.); +#9913=ORIENTED_EDGE('',*,*,#9912,.T.); +#9914=EDGE_LOOP('',(#9907,#9909,#9911,#9913)); +#9915=FACE_BOUND('',#9914,.F.); +#9917=ORIENTED_EDGE('',*,*,#9916,.F.); +#9919=ORIENTED_EDGE('',*,*,#9918,.F.); +#9921=ORIENTED_EDGE('',*,*,#9920,.T.); +#9923=ORIENTED_EDGE('',*,*,#9922,.T.); +#9924=EDGE_LOOP('',(#9917,#9919,#9921,#9923)); +#9925=FACE_BOUND('',#9924,.F.); +#9927=ORIENTED_EDGE('',*,*,#9926,.F.); +#9929=ORIENTED_EDGE('',*,*,#9928,.F.); +#9931=ORIENTED_EDGE('',*,*,#9930,.T.); +#9933=ORIENTED_EDGE('',*,*,#9932,.T.); +#9934=EDGE_LOOP('',(#9927,#9929,#9931,#9933)); +#9935=FACE_BOUND('',#9934,.F.); +#9937=ORIENTED_EDGE('',*,*,#9936,.F.); +#9939=ORIENTED_EDGE('',*,*,#9938,.F.); +#9941=ORIENTED_EDGE('',*,*,#9940,.T.); +#9943=ORIENTED_EDGE('',*,*,#9942,.T.); +#9944=EDGE_LOOP('',(#9937,#9939,#9941,#9943)); +#9945=FACE_BOUND('',#9944,.F.); +#9947=CARTESIAN_POINT('',(0.E0,-5.9E0,-9.9E0)); +#9948=DIRECTION('',(0.E0,1.E0,0.E0)); +#9949=DIRECTION('',(-1.E0,0.E0,0.E0)); +#9950=AXIS2_PLACEMENT_3D('',#9947,#9948,#9949); +#9951=PLANE('',#9950); +#9953=ORIENTED_EDGE('',*,*,#9952,.F.); +#9955=ORIENTED_EDGE('',*,*,#9954,.F.); +#9956=ORIENTED_EDGE('',*,*,#9279,.T.); +#9957=ORIENTED_EDGE('',*,*,#9379,.F.); +#9959=ORIENTED_EDGE('',*,*,#9958,.F.); +#9961=ORIENTED_EDGE('',*,*,#9960,.F.); +#9962=ORIENTED_EDGE('',*,*,#9776,.F.); +#9963=ORIENTED_EDGE('',*,*,#9842,.F.); +#9964=ORIENTED_EDGE('',*,*,#9694,.F.); +#9966=ORIENTED_EDGE('',*,*,#9965,.F.); +#9967=EDGE_LOOP('',(#9953,#9955,#9956,#9957,#9959,#9961,#9962,#9963,#9964, +#9966)); +#9968=FACE_OUTER_BOUND('',#9967,.F.); +#9970=CARTESIAN_POINT('',(2.03E0,-4.5E0,0.E0)); +#9971=DIRECTION('',(1.E0,0.E0,0.E0)); +#9972=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9973=AXIS2_PLACEMENT_3D('',#9970,#9971,#9972); +#9974=PLANE('',#9973); +#9976=ORIENTED_EDGE('',*,*,#9975,.T.); +#9977=ORIENTED_EDGE('',*,*,#9952,.T.); +#9979=ORIENTED_EDGE('',*,*,#9978,.F.); +#9980=ORIENTED_EDGE('',*,*,#9754,.F.); +#9981=EDGE_LOOP('',(#9976,#9977,#9979,#9980)); +#9982=FACE_OUTER_BOUND('',#9981,.F.); +#9984=CARTESIAN_POINT('',(2.155E0,-5.2E0,-3.75E-1)); +#9985=DIRECTION('',(-7.071067811865E-1,0.E0,7.071067811865E-1)); +#9986=DIRECTION('',(-7.071067811865E-1,0.E0,-7.071067811865E-1)); +#9987=AXIS2_PLACEMENT_3D('',#9984,#9985,#9986); +#9988=PLANE('',#9987); +#9989=ORIENTED_EDGE('',*,*,#9975,.F.); +#9990=ORIENTED_EDGE('',*,*,#9728,.T.); +#9991=ORIENTED_EDGE('',*,*,#9281,.T.); +#9992=ORIENTED_EDGE('',*,*,#9954,.T.); +#9993=EDGE_LOOP('',(#9989,#9990,#9991,#9992)); +#9994=FACE_OUTER_BOUND('',#9993,.F.); +#9996=CARTESIAN_POINT('',(2.03E0,-4.5E0,-1.65E0)); +#9997=DIRECTION('',(0.E0,0.E0,1.E0)); +#9998=DIRECTION('',(1.E0,0.E0,0.E0)); +#9999=AXIS2_PLACEMENT_3D('',#9996,#9997,#9998); +#10000=PLANE('',#9999); +#10001=ORIENTED_EDGE('',*,*,#9692,.F.); +#10002=ORIENTED_EDGE('',*,*,#9756,.T.); +#10003=ORIENTED_EDGE('',*,*,#9978,.T.); +#10004=ORIENTED_EDGE('',*,*,#9965,.T.); +#10005=EDGE_LOOP('',(#10001,#10002,#10003,#10004)); +#10006=FACE_OUTER_BOUND('',#10005,.F.); +#10008=CARTESIAN_POINT('',(-2.03E0,-4.5E0,-1.65E0)); +#10009=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10010=DIRECTION('',(0.E0,0.E0,1.E0)); +#10011=AXIS2_PLACEMENT_3D('',#10008,#10009,#10010); +#10012=PLANE('',#10011); +#10013=ORIENTED_EDGE('',*,*,#9377,.T.); +#10014=ORIENTED_EDGE('',*,*,#9743,.T.); +#10016=ORIENTED_EDGE('',*,*,#10015,.T.); +#10017=ORIENTED_EDGE('',*,*,#9958,.T.); +#10018=EDGE_LOOP('',(#10013,#10014,#10016,#10017)); +#10019=FACE_OUTER_BOUND('',#10018,.F.); +#10021=CARTESIAN_POINT('',(-3.15E0,-4.5E0,-1.65E0)); +#10022=DIRECTION('',(0.E0,0.E0,1.E0)); +#10023=DIRECTION('',(1.E0,0.E0,0.E0)); +#10024=AXIS2_PLACEMENT_3D('',#10021,#10022,#10023); +#10025=PLANE('',#10024); +#10026=ORIENTED_EDGE('',*,*,#9778,.T.); +#10027=ORIENTED_EDGE('',*,*,#9960,.T.); +#10028=ORIENTED_EDGE('',*,*,#10015,.F.); +#10029=ORIENTED_EDGE('',*,*,#9741,.F.); +#10030=EDGE_LOOP('',(#10026,#10027,#10028,#10029)); +#10031=FACE_OUTER_BOUND('',#10030,.F.); +#10033=CARTESIAN_POINT('',(-3.15E0,-1.61E0,-9.9E0)); +#10034=DIRECTION('',(0.E0,1.E0,0.E0)); +#10035=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10036=AXIS2_PLACEMENT_3D('',#10033,#10034,#10035); +#10037=PLANE('',#10036); +#10038=ORIENTED_EDGE('',*,*,#9818,.T.); +#10039=ORIENTED_EDGE('',*,*,#9450,.F.); +#10040=ORIENTED_EDGE('',*,*,#9845,.T.); +#10041=ORIENTED_EDGE('',*,*,#9772,.T.); +#10042=EDGE_LOOP('',(#10038,#10039,#10040,#10041)); +#10043=FACE_OUTER_BOUND('',#10042,.F.); +#10045=CARTESIAN_POINT('',(-6.81E0,1.255E0,-2.5E-1)); +#10046=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10047=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10048=AXIS2_PLACEMENT_3D('',#10045,#10046,#10047); +#10049=PLANE('',#10048); +#10050=ORIENTED_EDGE('',*,*,#9512,.F.); +#10051=ORIENTED_EDGE('',*,*,#9545,.T.); +#10052=ORIENTED_EDGE('',*,*,#9849,.T.); +#10053=ORIENTED_EDGE('',*,*,#9485,.F.); +#10054=EDGE_LOOP('',(#10050,#10051,#10052,#10053)); +#10055=FACE_OUTER_BOUND('',#10054,.F.); +#10057=CARTESIAN_POINT('',(5.95E0,4.02E0,0.E0)); +#10058=DIRECTION('',(0.E0,1.E0,0.E0)); +#10059=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10060=AXIS2_PLACEMENT_3D('',#10057,#10058,#10059); +#10061=PLANE('',#10060); +#10062=ORIENTED_EDGE('',*,*,#9586,.T.); +#10063=ORIENTED_EDGE('',*,*,#9636,.T.); +#10064=ORIENTED_EDGE('',*,*,#9853,.T.); +#10065=ORIENTED_EDGE('',*,*,#9466,.F.); +#10066=EDGE_LOOP('',(#10062,#10063,#10064,#10065)); +#10067=FACE_OUTER_BOUND('',#10066,.F.); +#10069=ORIENTED_EDGE('',*,*,#10068,.T.); +#10071=ORIENTED_EDGE('',*,*,#10070,.F.); +#10073=ORIENTED_EDGE('',*,*,#10072,.F.); +#10075=ORIENTED_EDGE('',*,*,#10074,.T.); +#10076=EDGE_LOOP('',(#10069,#10071,#10073,#10075)); +#10077=FACE_BOUND('',#10076,.F.); +#10079=ORIENTED_EDGE('',*,*,#10078,.T.); +#10081=ORIENTED_EDGE('',*,*,#10080,.F.); +#10083=ORIENTED_EDGE('',*,*,#10082,.F.); +#10085=ORIENTED_EDGE('',*,*,#10084,.T.); +#10086=EDGE_LOOP('',(#10079,#10081,#10083,#10085)); +#10087=FACE_BOUND('',#10086,.F.); +#10089=ORIENTED_EDGE('',*,*,#10088,.T.); +#10091=ORIENTED_EDGE('',*,*,#10090,.F.); +#10093=ORIENTED_EDGE('',*,*,#10092,.F.); +#10095=ORIENTED_EDGE('',*,*,#10094,.T.); +#10096=EDGE_LOOP('',(#10089,#10091,#10093,#10095)); +#10097=FACE_BOUND('',#10096,.F.); +#10099=ORIENTED_EDGE('',*,*,#10098,.T.); +#10101=ORIENTED_EDGE('',*,*,#10100,.F.); +#10103=ORIENTED_EDGE('',*,*,#10102,.F.); +#10105=ORIENTED_EDGE('',*,*,#10104,.T.); +#10106=EDGE_LOOP('',(#10099,#10101,#10103,#10105)); +#10107=FACE_BOUND('',#10106,.F.); +#10109=ORIENTED_EDGE('',*,*,#10108,.T.); +#10111=ORIENTED_EDGE('',*,*,#10110,.F.); +#10113=ORIENTED_EDGE('',*,*,#10112,.F.); +#10115=ORIENTED_EDGE('',*,*,#10114,.T.); +#10116=EDGE_LOOP('',(#10109,#10111,#10113,#10115)); +#10117=FACE_BOUND('',#10116,.F.); +#10119=ORIENTED_EDGE('',*,*,#10118,.T.); +#10121=ORIENTED_EDGE('',*,*,#10120,.F.); +#10123=ORIENTED_EDGE('',*,*,#10122,.F.); +#10125=ORIENTED_EDGE('',*,*,#10124,.T.); +#10126=EDGE_LOOP('',(#10119,#10121,#10123,#10125)); +#10127=FACE_BOUND('',#10126,.F.); +#10129=ORIENTED_EDGE('',*,*,#10128,.T.); +#10131=ORIENTED_EDGE('',*,*,#10130,.F.); +#10133=ORIENTED_EDGE('',*,*,#10132,.F.); +#10135=ORIENTED_EDGE('',*,*,#10134,.T.); +#10136=EDGE_LOOP('',(#10129,#10131,#10133,#10135)); +#10137=FACE_BOUND('',#10136,.F.); +#10139=ORIENTED_EDGE('',*,*,#10138,.T.); +#10141=ORIENTED_EDGE('',*,*,#10140,.F.); +#10143=ORIENTED_EDGE('',*,*,#10142,.F.); +#10145=ORIENTED_EDGE('',*,*,#10144,.T.); +#10146=EDGE_LOOP('',(#10139,#10141,#10143,#10145)); +#10147=FACE_BOUND('',#10146,.F.); +#10149=CARTESIAN_POINT('',(3.78E0,0.E0,0.E0)); +#10150=DIRECTION('',(1.E0,0.E0,0.E0)); +#10151=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10152=AXIS2_PLACEMENT_3D('',#10149,#10150,#10151); +#10153=PLANE('',#10152); +#10154=ORIENTED_EDGE('',*,*,#9866,.T.); +#10156=ORIENTED_EDGE('',*,*,#10155,.F.); +#10158=ORIENTED_EDGE('',*,*,#10157,.F.); +#10160=ORIENTED_EDGE('',*,*,#10159,.F.); +#10162=ORIENTED_EDGE('',*,*,#10161,.F.); +#10163=ORIENTED_EDGE('',*,*,#10068,.F.); +#10165=ORIENTED_EDGE('',*,*,#10164,.F.); +#10166=EDGE_LOOP('',(#10154,#10156,#10158,#10160,#10162,#10163,#10165)); +#10167=FACE_OUTER_BOUND('',#10166,.F.); +#10169=CARTESIAN_POINT('',(3.78E0,3.742322011748E0,-3.394427810597E0)); +#10170=DIRECTION('',(0.E0,-9.135454576426E-1,4.067366430758E-1)); +#10171=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#10172=AXIS2_PLACEMENT_3D('',#10169,#10170,#10171); +#10173=PLANE('',#10172); +#10174=ORIENTED_EDGE('',*,*,#9872,.F.); +#10176=ORIENTED_EDGE('',*,*,#10175,.F.); +#10178=ORIENTED_EDGE('',*,*,#10177,.F.); +#10179=ORIENTED_EDGE('',*,*,#10155,.T.); +#10180=EDGE_LOOP('',(#10174,#10176,#10178,#10179)); +#10181=FACE_OUTER_BOUND('',#10180,.F.); +#10183=CARTESIAN_POINT('',(3.36E0,0.E0,0.E0)); +#10184=DIRECTION('',(1.E0,0.E0,0.E0)); +#10185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10186=AXIS2_PLACEMENT_3D('',#10183,#10184,#10185); +#10187=PLANE('',#10186); +#10188=ORIENTED_EDGE('',*,*,#9870,.F.); +#10190=ORIENTED_EDGE('',*,*,#10189,.T.); +#10191=ORIENTED_EDGE('',*,*,#10072,.T.); +#10193=ORIENTED_EDGE('',*,*,#10192,.T.); +#10195=ORIENTED_EDGE('',*,*,#10194,.T.); +#10197=ORIENTED_EDGE('',*,*,#10196,.T.); +#10198=ORIENTED_EDGE('',*,*,#10175,.T.); +#10199=EDGE_LOOP('',(#10188,#10190,#10191,#10193,#10195,#10197,#10198)); +#10200=FACE_OUTER_BOUND('',#10199,.F.); +#10202=CARTESIAN_POINT('',(3.78E0,1.228977356156E0,-9.9E0)); +#10203=DIRECTION('',(0.E0,9.135454576426E-1,-4.067366430758E-1)); +#10204=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#10205=AXIS2_PLACEMENT_3D('',#10202,#10203,#10204); +#10206=PLANE('',#10205); +#10207=ORIENTED_EDGE('',*,*,#9868,.T.); +#10208=ORIENTED_EDGE('',*,*,#10164,.T.); +#10209=ORIENTED_EDGE('',*,*,#10074,.F.); +#10210=ORIENTED_EDGE('',*,*,#10189,.F.); +#10211=EDGE_LOOP('',(#10207,#10208,#10209,#10210)); +#10212=FACE_OUTER_BOUND('',#10211,.F.); +#10214=CARTESIAN_POINT('',(3.78E0,4.666000000002E0,-2.246999999992E0)); +#10215=DIRECTION('',(1.E0,0.E0,0.E0)); +#10216=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10217=AXIS2_PLACEMENT_3D('',#10214,#10215,#10216); +#10218=CYLINDRICAL_SURFACE('',#10217,7.E-1); +#10219=ORIENTED_EDGE('',*,*,#10070,.T.); +#10220=ORIENTED_EDGE('',*,*,#10161,.T.); +#10222=ORIENTED_EDGE('',*,*,#10221,.T.); +#10223=ORIENTED_EDGE('',*,*,#10192,.F.); +#10224=EDGE_LOOP('',(#10219,#10220,#10222,#10223)); +#10225=FACE_OUTER_BOUND('',#10224,.F.); +#10227=CARTESIAN_POINT('',(3.78E0,3.976634572893E0,-2.125446275625E0)); +#10228=DIRECTION('',(0.E0,-9.848077530122E-1,1.736481776669E-1)); +#10229=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#10230=AXIS2_PLACEMENT_3D('',#10227,#10228,#10229); +#10231=PLANE('',#10230); +#10232=ORIENTED_EDGE('',*,*,#10159,.T.); +#10234=ORIENTED_EDGE('',*,*,#10233,.T.); +#10235=ORIENTED_EDGE('',*,*,#10194,.F.); +#10236=ORIENTED_EDGE('',*,*,#10221,.F.); +#10237=EDGE_LOOP('',(#10232,#10234,#10235,#10236)); +#10238=FACE_OUTER_BOUND('',#10237,.F.); +#10240=CARTESIAN_POINT('',(3.78E0,3.422581101573E0,-3.252069985520E0)); +#10241=DIRECTION('',(1.E0,0.E0,0.E0)); +#10242=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10243=AXIS2_PLACEMENT_3D('',#10240,#10241,#10242); +#10244=CYLINDRICAL_SURFACE('',#10243,3.5E-1); +#10245=ORIENTED_EDGE('',*,*,#10157,.T.); +#10246=ORIENTED_EDGE('',*,*,#10177,.T.); +#10247=ORIENTED_EDGE('',*,*,#10196,.F.); +#10248=ORIENTED_EDGE('',*,*,#10233,.F.); +#10249=EDGE_LOOP('',(#10245,#10246,#10247,#10248)); +#10250=FACE_OUTER_BOUND('',#10249,.F.); +#10252=CARTESIAN_POINT('',(2.76E0,0.E0,0.E0)); +#10253=DIRECTION('',(1.E0,0.E0,0.E0)); +#10254=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10255=AXIS2_PLACEMENT_3D('',#10252,#10253,#10254); +#10256=PLANE('',#10255); +#10257=ORIENTED_EDGE('',*,*,#9876,.T.); +#10259=ORIENTED_EDGE('',*,*,#10258,.F.); +#10261=ORIENTED_EDGE('',*,*,#10260,.F.); +#10263=ORIENTED_EDGE('',*,*,#10262,.F.); +#10265=ORIENTED_EDGE('',*,*,#10264,.F.); +#10266=ORIENTED_EDGE('',*,*,#10078,.F.); +#10268=ORIENTED_EDGE('',*,*,#10267,.F.); +#10269=EDGE_LOOP('',(#10257,#10259,#10261,#10263,#10265,#10266,#10268)); +#10270=FACE_OUTER_BOUND('',#10269,.F.); +#10272=CARTESIAN_POINT('',(2.76E0,3.742322011748E0,-3.394427810597E0)); +#10273=DIRECTION('',(0.E0,-9.135454576426E-1,4.067366430758E-1)); +#10274=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#10275=AXIS2_PLACEMENT_3D('',#10272,#10273,#10274); +#10276=PLANE('',#10275); +#10277=ORIENTED_EDGE('',*,*,#9882,.F.); +#10279=ORIENTED_EDGE('',*,*,#10278,.F.); +#10281=ORIENTED_EDGE('',*,*,#10280,.F.); +#10282=ORIENTED_EDGE('',*,*,#10258,.T.); +#10283=EDGE_LOOP('',(#10277,#10279,#10281,#10282)); +#10284=FACE_OUTER_BOUND('',#10283,.F.); +#10286=CARTESIAN_POINT('',(2.34E0,0.E0,0.E0)); +#10287=DIRECTION('',(1.E0,0.E0,0.E0)); +#10288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10289=AXIS2_PLACEMENT_3D('',#10286,#10287,#10288); +#10290=PLANE('',#10289); +#10291=ORIENTED_EDGE('',*,*,#9880,.F.); +#10293=ORIENTED_EDGE('',*,*,#10292,.T.); +#10294=ORIENTED_EDGE('',*,*,#10082,.T.); +#10296=ORIENTED_EDGE('',*,*,#10295,.T.); +#10298=ORIENTED_EDGE('',*,*,#10297,.T.); +#10300=ORIENTED_EDGE('',*,*,#10299,.T.); +#10301=ORIENTED_EDGE('',*,*,#10278,.T.); +#10302=EDGE_LOOP('',(#10291,#10293,#10294,#10296,#10298,#10300,#10301)); +#10303=FACE_OUTER_BOUND('',#10302,.F.); +#10305=CARTESIAN_POINT('',(2.76E0,1.228977356156E0,-9.9E0)); +#10306=DIRECTION('',(0.E0,9.135454576426E-1,-4.067366430758E-1)); +#10307=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#10308=AXIS2_PLACEMENT_3D('',#10305,#10306,#10307); +#10309=PLANE('',#10308); +#10310=ORIENTED_EDGE('',*,*,#9878,.T.); +#10311=ORIENTED_EDGE('',*,*,#10267,.T.); +#10312=ORIENTED_EDGE('',*,*,#10084,.F.); +#10313=ORIENTED_EDGE('',*,*,#10292,.F.); +#10314=EDGE_LOOP('',(#10310,#10311,#10312,#10313)); +#10315=FACE_OUTER_BOUND('',#10314,.F.); +#10317=CARTESIAN_POINT('',(2.76E0,4.666000000002E0,-2.246999999992E0)); +#10318=DIRECTION('',(1.E0,0.E0,0.E0)); +#10319=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10320=AXIS2_PLACEMENT_3D('',#10317,#10318,#10319); +#10321=CYLINDRICAL_SURFACE('',#10320,7.E-1); +#10322=ORIENTED_EDGE('',*,*,#10080,.T.); +#10323=ORIENTED_EDGE('',*,*,#10264,.T.); +#10325=ORIENTED_EDGE('',*,*,#10324,.T.); +#10326=ORIENTED_EDGE('',*,*,#10295,.F.); +#10327=EDGE_LOOP('',(#10322,#10323,#10325,#10326)); +#10328=FACE_OUTER_BOUND('',#10327,.F.); +#10330=CARTESIAN_POINT('',(2.76E0,3.976634572893E0,-2.125446275625E0)); +#10331=DIRECTION('',(0.E0,-9.848077530122E-1,1.736481776669E-1)); +#10332=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#10333=AXIS2_PLACEMENT_3D('',#10330,#10331,#10332); +#10334=PLANE('',#10333); +#10335=ORIENTED_EDGE('',*,*,#10262,.T.); +#10337=ORIENTED_EDGE('',*,*,#10336,.T.); +#10338=ORIENTED_EDGE('',*,*,#10297,.F.); +#10339=ORIENTED_EDGE('',*,*,#10324,.F.); +#10340=EDGE_LOOP('',(#10335,#10337,#10338,#10339)); +#10341=FACE_OUTER_BOUND('',#10340,.F.); +#10343=CARTESIAN_POINT('',(2.76E0,3.422581101573E0,-3.252069985520E0)); +#10344=DIRECTION('',(1.E0,0.E0,0.E0)); +#10345=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10346=AXIS2_PLACEMENT_3D('',#10343,#10344,#10345); +#10347=CYLINDRICAL_SURFACE('',#10346,3.5E-1); +#10348=ORIENTED_EDGE('',*,*,#10260,.T.); +#10349=ORIENTED_EDGE('',*,*,#10280,.T.); +#10350=ORIENTED_EDGE('',*,*,#10299,.F.); +#10351=ORIENTED_EDGE('',*,*,#10336,.F.); +#10352=EDGE_LOOP('',(#10348,#10349,#10350,#10351)); +#10353=FACE_OUTER_BOUND('',#10352,.F.); +#10355=CARTESIAN_POINT('',(1.74E0,0.E0,0.E0)); +#10356=DIRECTION('',(1.E0,0.E0,0.E0)); +#10357=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10358=AXIS2_PLACEMENT_3D('',#10355,#10356,#10357); +#10359=PLANE('',#10358); +#10360=ORIENTED_EDGE('',*,*,#9886,.T.); +#10362=ORIENTED_EDGE('',*,*,#10361,.F.); +#10364=ORIENTED_EDGE('',*,*,#10363,.F.); +#10366=ORIENTED_EDGE('',*,*,#10365,.F.); +#10368=ORIENTED_EDGE('',*,*,#10367,.F.); +#10369=ORIENTED_EDGE('',*,*,#10088,.F.); +#10371=ORIENTED_EDGE('',*,*,#10370,.F.); +#10372=EDGE_LOOP('',(#10360,#10362,#10364,#10366,#10368,#10369,#10371)); +#10373=FACE_OUTER_BOUND('',#10372,.F.); +#10375=CARTESIAN_POINT('',(1.74E0,3.742322011748E0,-3.394427810597E0)); +#10376=DIRECTION('',(0.E0,-9.135454576426E-1,4.067366430758E-1)); +#10377=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#10378=AXIS2_PLACEMENT_3D('',#10375,#10376,#10377); +#10379=PLANE('',#10378); +#10380=ORIENTED_EDGE('',*,*,#9892,.F.); +#10382=ORIENTED_EDGE('',*,*,#10381,.F.); +#10384=ORIENTED_EDGE('',*,*,#10383,.F.); +#10385=ORIENTED_EDGE('',*,*,#10361,.T.); +#10386=EDGE_LOOP('',(#10380,#10382,#10384,#10385)); +#10387=FACE_OUTER_BOUND('',#10386,.F.); +#10389=CARTESIAN_POINT('',(1.32E0,0.E0,0.E0)); +#10390=DIRECTION('',(1.E0,0.E0,0.E0)); +#10391=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10392=AXIS2_PLACEMENT_3D('',#10389,#10390,#10391); +#10393=PLANE('',#10392); +#10394=ORIENTED_EDGE('',*,*,#9890,.F.); +#10396=ORIENTED_EDGE('',*,*,#10395,.T.); +#10397=ORIENTED_EDGE('',*,*,#10092,.T.); +#10399=ORIENTED_EDGE('',*,*,#10398,.T.); +#10401=ORIENTED_EDGE('',*,*,#10400,.T.); +#10403=ORIENTED_EDGE('',*,*,#10402,.T.); +#10404=ORIENTED_EDGE('',*,*,#10381,.T.); +#10405=EDGE_LOOP('',(#10394,#10396,#10397,#10399,#10401,#10403,#10404)); +#10406=FACE_OUTER_BOUND('',#10405,.F.); +#10408=CARTESIAN_POINT('',(1.74E0,1.228977356156E0,-9.9E0)); +#10409=DIRECTION('',(0.E0,9.135454576426E-1,-4.067366430758E-1)); +#10410=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#10411=AXIS2_PLACEMENT_3D('',#10408,#10409,#10410); +#10412=PLANE('',#10411); +#10413=ORIENTED_EDGE('',*,*,#9888,.T.); +#10414=ORIENTED_EDGE('',*,*,#10370,.T.); +#10415=ORIENTED_EDGE('',*,*,#10094,.F.); +#10416=ORIENTED_EDGE('',*,*,#10395,.F.); +#10417=EDGE_LOOP('',(#10413,#10414,#10415,#10416)); +#10418=FACE_OUTER_BOUND('',#10417,.F.); +#10420=CARTESIAN_POINT('',(1.74E0,4.666000000002E0,-2.246999999992E0)); +#10421=DIRECTION('',(1.E0,0.E0,0.E0)); +#10422=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10423=AXIS2_PLACEMENT_3D('',#10420,#10421,#10422); +#10424=CYLINDRICAL_SURFACE('',#10423,7.E-1); +#10425=ORIENTED_EDGE('',*,*,#10090,.T.); +#10426=ORIENTED_EDGE('',*,*,#10367,.T.); +#10428=ORIENTED_EDGE('',*,*,#10427,.T.); +#10429=ORIENTED_EDGE('',*,*,#10398,.F.); +#10430=EDGE_LOOP('',(#10425,#10426,#10428,#10429)); +#10431=FACE_OUTER_BOUND('',#10430,.F.); +#10433=CARTESIAN_POINT('',(1.74E0,3.976634572893E0,-2.125446275625E0)); +#10434=DIRECTION('',(0.E0,-9.848077530122E-1,1.736481776669E-1)); +#10435=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#10436=AXIS2_PLACEMENT_3D('',#10433,#10434,#10435); +#10437=PLANE('',#10436); +#10438=ORIENTED_EDGE('',*,*,#10365,.T.); +#10440=ORIENTED_EDGE('',*,*,#10439,.T.); +#10441=ORIENTED_EDGE('',*,*,#10400,.F.); +#10442=ORIENTED_EDGE('',*,*,#10427,.F.); +#10443=EDGE_LOOP('',(#10438,#10440,#10441,#10442)); +#10444=FACE_OUTER_BOUND('',#10443,.F.); +#10446=CARTESIAN_POINT('',(1.74E0,3.422581101573E0,-3.252069985520E0)); +#10447=DIRECTION('',(1.E0,0.E0,0.E0)); +#10448=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10449=AXIS2_PLACEMENT_3D('',#10446,#10447,#10448); +#10450=CYLINDRICAL_SURFACE('',#10449,3.5E-1); +#10451=ORIENTED_EDGE('',*,*,#10363,.T.); +#10452=ORIENTED_EDGE('',*,*,#10383,.T.); +#10453=ORIENTED_EDGE('',*,*,#10402,.F.); +#10454=ORIENTED_EDGE('',*,*,#10439,.F.); +#10455=EDGE_LOOP('',(#10451,#10452,#10453,#10454)); +#10456=FACE_OUTER_BOUND('',#10455,.F.); +#10458=CARTESIAN_POINT('',(7.2E-1,0.E0,0.E0)); +#10459=DIRECTION('',(1.E0,0.E0,0.E0)); +#10460=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10461=AXIS2_PLACEMENT_3D('',#10458,#10459,#10460); +#10462=PLANE('',#10461); +#10463=ORIENTED_EDGE('',*,*,#9896,.T.); +#10465=ORIENTED_EDGE('',*,*,#10464,.F.); +#10467=ORIENTED_EDGE('',*,*,#10466,.F.); +#10469=ORIENTED_EDGE('',*,*,#10468,.F.); +#10471=ORIENTED_EDGE('',*,*,#10470,.F.); +#10472=ORIENTED_EDGE('',*,*,#10098,.F.); +#10474=ORIENTED_EDGE('',*,*,#10473,.F.); +#10475=EDGE_LOOP('',(#10463,#10465,#10467,#10469,#10471,#10472,#10474)); +#10476=FACE_OUTER_BOUND('',#10475,.F.); +#10478=CARTESIAN_POINT('',(7.2E-1,3.742322011748E0,-3.394427810597E0)); +#10479=DIRECTION('',(0.E0,-9.135454576426E-1,4.067366430758E-1)); +#10480=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#10481=AXIS2_PLACEMENT_3D('',#10478,#10479,#10480); +#10482=PLANE('',#10481); +#10483=ORIENTED_EDGE('',*,*,#9902,.F.); +#10485=ORIENTED_EDGE('',*,*,#10484,.F.); +#10487=ORIENTED_EDGE('',*,*,#10486,.F.); +#10488=ORIENTED_EDGE('',*,*,#10464,.T.); +#10489=EDGE_LOOP('',(#10483,#10485,#10487,#10488)); +#10490=FACE_OUTER_BOUND('',#10489,.F.); +#10492=CARTESIAN_POINT('',(3.E-1,0.E0,0.E0)); +#10493=DIRECTION('',(1.E0,0.E0,0.E0)); +#10494=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10495=AXIS2_PLACEMENT_3D('',#10492,#10493,#10494); +#10496=PLANE('',#10495); +#10497=ORIENTED_EDGE('',*,*,#9900,.F.); +#10499=ORIENTED_EDGE('',*,*,#10498,.T.); +#10500=ORIENTED_EDGE('',*,*,#10102,.T.); +#10502=ORIENTED_EDGE('',*,*,#10501,.T.); +#10504=ORIENTED_EDGE('',*,*,#10503,.T.); +#10506=ORIENTED_EDGE('',*,*,#10505,.T.); +#10507=ORIENTED_EDGE('',*,*,#10484,.T.); +#10508=EDGE_LOOP('',(#10497,#10499,#10500,#10502,#10504,#10506,#10507)); +#10509=FACE_OUTER_BOUND('',#10508,.F.); +#10511=CARTESIAN_POINT('',(7.2E-1,1.228977356156E0,-9.9E0)); +#10512=DIRECTION('',(0.E0,9.135454576426E-1,-4.067366430758E-1)); +#10513=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#10514=AXIS2_PLACEMENT_3D('',#10511,#10512,#10513); +#10515=PLANE('',#10514); +#10516=ORIENTED_EDGE('',*,*,#9898,.T.); +#10517=ORIENTED_EDGE('',*,*,#10473,.T.); +#10518=ORIENTED_EDGE('',*,*,#10104,.F.); +#10519=ORIENTED_EDGE('',*,*,#10498,.F.); +#10520=EDGE_LOOP('',(#10516,#10517,#10518,#10519)); +#10521=FACE_OUTER_BOUND('',#10520,.F.); +#10523=CARTESIAN_POINT('',(7.2E-1,4.666000000002E0,-2.246999999992E0)); +#10524=DIRECTION('',(1.E0,0.E0,0.E0)); +#10525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10526=AXIS2_PLACEMENT_3D('',#10523,#10524,#10525); +#10527=CYLINDRICAL_SURFACE('',#10526,7.E-1); +#10528=ORIENTED_EDGE('',*,*,#10100,.T.); +#10529=ORIENTED_EDGE('',*,*,#10470,.T.); +#10531=ORIENTED_EDGE('',*,*,#10530,.T.); +#10532=ORIENTED_EDGE('',*,*,#10501,.F.); +#10533=EDGE_LOOP('',(#10528,#10529,#10531,#10532)); +#10534=FACE_OUTER_BOUND('',#10533,.F.); +#10536=CARTESIAN_POINT('',(7.2E-1,3.976634572893E0,-2.125446275625E0)); +#10537=DIRECTION('',(0.E0,-9.848077530122E-1,1.736481776669E-1)); +#10538=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#10539=AXIS2_PLACEMENT_3D('',#10536,#10537,#10538); +#10540=PLANE('',#10539); +#10541=ORIENTED_EDGE('',*,*,#10468,.T.); +#10543=ORIENTED_EDGE('',*,*,#10542,.T.); +#10544=ORIENTED_EDGE('',*,*,#10503,.F.); +#10545=ORIENTED_EDGE('',*,*,#10530,.F.); +#10546=EDGE_LOOP('',(#10541,#10543,#10544,#10545)); +#10547=FACE_OUTER_BOUND('',#10546,.F.); +#10549=CARTESIAN_POINT('',(7.2E-1,3.422581101573E0,-3.252069985520E0)); +#10550=DIRECTION('',(1.E0,0.E0,0.E0)); +#10551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10552=AXIS2_PLACEMENT_3D('',#10549,#10550,#10551); +#10553=CYLINDRICAL_SURFACE('',#10552,3.5E-1); +#10554=ORIENTED_EDGE('',*,*,#10466,.T.); +#10555=ORIENTED_EDGE('',*,*,#10486,.T.); +#10556=ORIENTED_EDGE('',*,*,#10505,.F.); +#10557=ORIENTED_EDGE('',*,*,#10542,.F.); +#10558=EDGE_LOOP('',(#10554,#10555,#10556,#10557)); +#10559=FACE_OUTER_BOUND('',#10558,.F.); +#10561=CARTESIAN_POINT('',(-3.E-1,0.E0,0.E0)); +#10562=DIRECTION('',(1.E0,0.E0,0.E0)); +#10563=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10564=AXIS2_PLACEMENT_3D('',#10561,#10562,#10563); +#10565=PLANE('',#10564); +#10566=ORIENTED_EDGE('',*,*,#9906,.T.); +#10568=ORIENTED_EDGE('',*,*,#10567,.F.); +#10570=ORIENTED_EDGE('',*,*,#10569,.F.); +#10572=ORIENTED_EDGE('',*,*,#10571,.F.); +#10574=ORIENTED_EDGE('',*,*,#10573,.F.); +#10575=ORIENTED_EDGE('',*,*,#10108,.F.); +#10577=ORIENTED_EDGE('',*,*,#10576,.F.); +#10578=EDGE_LOOP('',(#10566,#10568,#10570,#10572,#10574,#10575,#10577)); +#10579=FACE_OUTER_BOUND('',#10578,.F.); +#10581=CARTESIAN_POINT('',(-3.E-1,3.742322011748E0,-3.394427810597E0)); +#10582=DIRECTION('',(0.E0,-9.135454576426E-1,4.067366430758E-1)); +#10583=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#10584=AXIS2_PLACEMENT_3D('',#10581,#10582,#10583); +#10585=PLANE('',#10584); +#10586=ORIENTED_EDGE('',*,*,#9912,.F.); +#10588=ORIENTED_EDGE('',*,*,#10587,.F.); +#10590=ORIENTED_EDGE('',*,*,#10589,.F.); +#10591=ORIENTED_EDGE('',*,*,#10567,.T.); +#10592=EDGE_LOOP('',(#10586,#10588,#10590,#10591)); +#10593=FACE_OUTER_BOUND('',#10592,.F.); +#10595=CARTESIAN_POINT('',(-7.2E-1,0.E0,0.E0)); +#10596=DIRECTION('',(1.E0,0.E0,0.E0)); +#10597=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10598=AXIS2_PLACEMENT_3D('',#10595,#10596,#10597); +#10599=PLANE('',#10598); +#10600=ORIENTED_EDGE('',*,*,#9910,.F.); +#10602=ORIENTED_EDGE('',*,*,#10601,.T.); +#10603=ORIENTED_EDGE('',*,*,#10112,.T.); +#10605=ORIENTED_EDGE('',*,*,#10604,.T.); +#10607=ORIENTED_EDGE('',*,*,#10606,.T.); +#10609=ORIENTED_EDGE('',*,*,#10608,.T.); +#10610=ORIENTED_EDGE('',*,*,#10587,.T.); +#10611=EDGE_LOOP('',(#10600,#10602,#10603,#10605,#10607,#10609,#10610)); +#10612=FACE_OUTER_BOUND('',#10611,.F.); +#10614=CARTESIAN_POINT('',(-3.E-1,1.228977356156E0,-9.9E0)); +#10615=DIRECTION('',(0.E0,9.135454576426E-1,-4.067366430758E-1)); +#10616=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#10617=AXIS2_PLACEMENT_3D('',#10614,#10615,#10616); +#10618=PLANE('',#10617); +#10619=ORIENTED_EDGE('',*,*,#9908,.T.); +#10620=ORIENTED_EDGE('',*,*,#10576,.T.); +#10621=ORIENTED_EDGE('',*,*,#10114,.F.); +#10622=ORIENTED_EDGE('',*,*,#10601,.F.); +#10623=EDGE_LOOP('',(#10619,#10620,#10621,#10622)); +#10624=FACE_OUTER_BOUND('',#10623,.F.); +#10626=CARTESIAN_POINT('',(-3.E-1,4.666000000002E0,-2.246999999992E0)); +#10627=DIRECTION('',(1.E0,0.E0,0.E0)); +#10628=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10629=AXIS2_PLACEMENT_3D('',#10626,#10627,#10628); +#10630=CYLINDRICAL_SURFACE('',#10629,7.E-1); +#10631=ORIENTED_EDGE('',*,*,#10110,.T.); +#10632=ORIENTED_EDGE('',*,*,#10573,.T.); +#10634=ORIENTED_EDGE('',*,*,#10633,.T.); +#10635=ORIENTED_EDGE('',*,*,#10604,.F.); +#10636=EDGE_LOOP('',(#10631,#10632,#10634,#10635)); +#10637=FACE_OUTER_BOUND('',#10636,.F.); +#10639=CARTESIAN_POINT('',(-3.E-1,3.976634572893E0,-2.125446275625E0)); +#10640=DIRECTION('',(0.E0,-9.848077530122E-1,1.736481776669E-1)); +#10641=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#10642=AXIS2_PLACEMENT_3D('',#10639,#10640,#10641); +#10643=PLANE('',#10642); +#10644=ORIENTED_EDGE('',*,*,#10571,.T.); +#10646=ORIENTED_EDGE('',*,*,#10645,.T.); +#10647=ORIENTED_EDGE('',*,*,#10606,.F.); +#10648=ORIENTED_EDGE('',*,*,#10633,.F.); +#10649=EDGE_LOOP('',(#10644,#10646,#10647,#10648)); +#10650=FACE_OUTER_BOUND('',#10649,.F.); +#10652=CARTESIAN_POINT('',(-3.E-1,3.422581101573E0,-3.252069985520E0)); +#10653=DIRECTION('',(1.E0,0.E0,0.E0)); +#10654=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10655=AXIS2_PLACEMENT_3D('',#10652,#10653,#10654); +#10656=CYLINDRICAL_SURFACE('',#10655,3.5E-1); +#10657=ORIENTED_EDGE('',*,*,#10569,.T.); +#10658=ORIENTED_EDGE('',*,*,#10589,.T.); +#10659=ORIENTED_EDGE('',*,*,#10608,.F.); +#10660=ORIENTED_EDGE('',*,*,#10645,.F.); +#10661=EDGE_LOOP('',(#10657,#10658,#10659,#10660)); +#10662=FACE_OUTER_BOUND('',#10661,.F.); +#10664=CARTESIAN_POINT('',(-1.32E0,0.E0,0.E0)); +#10665=DIRECTION('',(1.E0,0.E0,0.E0)); +#10666=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10667=AXIS2_PLACEMENT_3D('',#10664,#10665,#10666); +#10668=PLANE('',#10667); +#10669=ORIENTED_EDGE('',*,*,#9916,.T.); +#10671=ORIENTED_EDGE('',*,*,#10670,.F.); +#10673=ORIENTED_EDGE('',*,*,#10672,.F.); +#10675=ORIENTED_EDGE('',*,*,#10674,.F.); +#10677=ORIENTED_EDGE('',*,*,#10676,.F.); +#10678=ORIENTED_EDGE('',*,*,#10118,.F.); +#10680=ORIENTED_EDGE('',*,*,#10679,.F.); +#10681=EDGE_LOOP('',(#10669,#10671,#10673,#10675,#10677,#10678,#10680)); +#10682=FACE_OUTER_BOUND('',#10681,.F.); +#10684=CARTESIAN_POINT('',(-1.32E0,3.742322011748E0,-3.394427810597E0)); +#10685=DIRECTION('',(0.E0,-9.135454576426E-1,4.067366430758E-1)); +#10686=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#10687=AXIS2_PLACEMENT_3D('',#10684,#10685,#10686); +#10688=PLANE('',#10687); +#10689=ORIENTED_EDGE('',*,*,#9922,.F.); +#10691=ORIENTED_EDGE('',*,*,#10690,.F.); +#10693=ORIENTED_EDGE('',*,*,#10692,.F.); +#10694=ORIENTED_EDGE('',*,*,#10670,.T.); +#10695=EDGE_LOOP('',(#10689,#10691,#10693,#10694)); +#10696=FACE_OUTER_BOUND('',#10695,.F.); +#10698=CARTESIAN_POINT('',(-1.74E0,0.E0,0.E0)); +#10699=DIRECTION('',(1.E0,0.E0,0.E0)); +#10700=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10701=AXIS2_PLACEMENT_3D('',#10698,#10699,#10700); +#10702=PLANE('',#10701); +#10703=ORIENTED_EDGE('',*,*,#9920,.F.); +#10705=ORIENTED_EDGE('',*,*,#10704,.T.); +#10706=ORIENTED_EDGE('',*,*,#10122,.T.); +#10708=ORIENTED_EDGE('',*,*,#10707,.T.); +#10710=ORIENTED_EDGE('',*,*,#10709,.T.); +#10712=ORIENTED_EDGE('',*,*,#10711,.T.); +#10713=ORIENTED_EDGE('',*,*,#10690,.T.); +#10714=EDGE_LOOP('',(#10703,#10705,#10706,#10708,#10710,#10712,#10713)); +#10715=FACE_OUTER_BOUND('',#10714,.F.); +#10717=CARTESIAN_POINT('',(-1.32E0,1.228977356156E0,-9.9E0)); +#10718=DIRECTION('',(0.E0,9.135454576426E-1,-4.067366430758E-1)); +#10719=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#10720=AXIS2_PLACEMENT_3D('',#10717,#10718,#10719); +#10721=PLANE('',#10720); +#10722=ORIENTED_EDGE('',*,*,#9918,.T.); +#10723=ORIENTED_EDGE('',*,*,#10679,.T.); +#10724=ORIENTED_EDGE('',*,*,#10124,.F.); +#10725=ORIENTED_EDGE('',*,*,#10704,.F.); +#10726=EDGE_LOOP('',(#10722,#10723,#10724,#10725)); +#10727=FACE_OUTER_BOUND('',#10726,.F.); +#10729=CARTESIAN_POINT('',(-1.32E0,4.666000000002E0,-2.246999999992E0)); +#10730=DIRECTION('',(1.E0,0.E0,0.E0)); +#10731=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10732=AXIS2_PLACEMENT_3D('',#10729,#10730,#10731); +#10733=CYLINDRICAL_SURFACE('',#10732,7.E-1); +#10734=ORIENTED_EDGE('',*,*,#10120,.T.); +#10735=ORIENTED_EDGE('',*,*,#10676,.T.); +#10737=ORIENTED_EDGE('',*,*,#10736,.T.); +#10738=ORIENTED_EDGE('',*,*,#10707,.F.); +#10739=EDGE_LOOP('',(#10734,#10735,#10737,#10738)); +#10740=FACE_OUTER_BOUND('',#10739,.F.); +#10742=CARTESIAN_POINT('',(-1.32E0,3.976634572893E0,-2.125446275625E0)); +#10743=DIRECTION('',(0.E0,-9.848077530122E-1,1.736481776669E-1)); +#10744=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#10745=AXIS2_PLACEMENT_3D('',#10742,#10743,#10744); +#10746=PLANE('',#10745); +#10747=ORIENTED_EDGE('',*,*,#10674,.T.); +#10749=ORIENTED_EDGE('',*,*,#10748,.T.); +#10750=ORIENTED_EDGE('',*,*,#10709,.F.); +#10751=ORIENTED_EDGE('',*,*,#10736,.F.); +#10752=EDGE_LOOP('',(#10747,#10749,#10750,#10751)); +#10753=FACE_OUTER_BOUND('',#10752,.F.); +#10755=CARTESIAN_POINT('',(-1.32E0,3.422581101573E0,-3.252069985520E0)); +#10756=DIRECTION('',(1.E0,0.E0,0.E0)); +#10757=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10758=AXIS2_PLACEMENT_3D('',#10755,#10756,#10757); +#10759=CYLINDRICAL_SURFACE('',#10758,3.5E-1); +#10760=ORIENTED_EDGE('',*,*,#10672,.T.); +#10761=ORIENTED_EDGE('',*,*,#10692,.T.); +#10762=ORIENTED_EDGE('',*,*,#10711,.F.); +#10763=ORIENTED_EDGE('',*,*,#10748,.F.); +#10764=EDGE_LOOP('',(#10760,#10761,#10762,#10763)); +#10765=FACE_OUTER_BOUND('',#10764,.F.); +#10767=CARTESIAN_POINT('',(-2.34E0,0.E0,0.E0)); +#10768=DIRECTION('',(1.E0,0.E0,0.E0)); +#10769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10770=AXIS2_PLACEMENT_3D('',#10767,#10768,#10769); +#10771=PLANE('',#10770); +#10772=ORIENTED_EDGE('',*,*,#9926,.T.); +#10774=ORIENTED_EDGE('',*,*,#10773,.F.); +#10776=ORIENTED_EDGE('',*,*,#10775,.F.); +#10778=ORIENTED_EDGE('',*,*,#10777,.F.); +#10780=ORIENTED_EDGE('',*,*,#10779,.F.); +#10781=ORIENTED_EDGE('',*,*,#10128,.F.); +#10783=ORIENTED_EDGE('',*,*,#10782,.F.); +#10784=EDGE_LOOP('',(#10772,#10774,#10776,#10778,#10780,#10781,#10783)); +#10785=FACE_OUTER_BOUND('',#10784,.F.); +#10787=CARTESIAN_POINT('',(-2.34E0,3.742322011748E0,-3.394427810597E0)); +#10788=DIRECTION('',(0.E0,-9.135454576426E-1,4.067366430758E-1)); +#10789=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#10790=AXIS2_PLACEMENT_3D('',#10787,#10788,#10789); +#10791=PLANE('',#10790); +#10792=ORIENTED_EDGE('',*,*,#9932,.F.); +#10794=ORIENTED_EDGE('',*,*,#10793,.F.); +#10796=ORIENTED_EDGE('',*,*,#10795,.F.); +#10797=ORIENTED_EDGE('',*,*,#10773,.T.); +#10798=EDGE_LOOP('',(#10792,#10794,#10796,#10797)); +#10799=FACE_OUTER_BOUND('',#10798,.F.); +#10801=CARTESIAN_POINT('',(-2.76E0,0.E0,0.E0)); +#10802=DIRECTION('',(1.E0,0.E0,0.E0)); +#10803=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10804=AXIS2_PLACEMENT_3D('',#10801,#10802,#10803); +#10805=PLANE('',#10804); +#10806=ORIENTED_EDGE('',*,*,#9930,.F.); +#10808=ORIENTED_EDGE('',*,*,#10807,.T.); +#10809=ORIENTED_EDGE('',*,*,#10132,.T.); +#10811=ORIENTED_EDGE('',*,*,#10810,.T.); +#10813=ORIENTED_EDGE('',*,*,#10812,.T.); +#10815=ORIENTED_EDGE('',*,*,#10814,.T.); +#10816=ORIENTED_EDGE('',*,*,#10793,.T.); +#10817=EDGE_LOOP('',(#10806,#10808,#10809,#10811,#10813,#10815,#10816)); +#10818=FACE_OUTER_BOUND('',#10817,.F.); +#10820=CARTESIAN_POINT('',(-2.34E0,1.228977356156E0,-9.9E0)); +#10821=DIRECTION('',(0.E0,9.135454576426E-1,-4.067366430758E-1)); +#10822=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#10823=AXIS2_PLACEMENT_3D('',#10820,#10821,#10822); +#10824=PLANE('',#10823); +#10825=ORIENTED_EDGE('',*,*,#9928,.T.); +#10826=ORIENTED_EDGE('',*,*,#10782,.T.); +#10827=ORIENTED_EDGE('',*,*,#10134,.F.); +#10828=ORIENTED_EDGE('',*,*,#10807,.F.); +#10829=EDGE_LOOP('',(#10825,#10826,#10827,#10828)); +#10830=FACE_OUTER_BOUND('',#10829,.F.); +#10832=CARTESIAN_POINT('',(-2.34E0,4.666000000002E0,-2.246999999992E0)); +#10833=DIRECTION('',(1.E0,0.E0,0.E0)); +#10834=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10835=AXIS2_PLACEMENT_3D('',#10832,#10833,#10834); +#10836=CYLINDRICAL_SURFACE('',#10835,7.E-1); +#10837=ORIENTED_EDGE('',*,*,#10130,.T.); +#10838=ORIENTED_EDGE('',*,*,#10779,.T.); +#10840=ORIENTED_EDGE('',*,*,#10839,.T.); +#10841=ORIENTED_EDGE('',*,*,#10810,.F.); +#10842=EDGE_LOOP('',(#10837,#10838,#10840,#10841)); +#10843=FACE_OUTER_BOUND('',#10842,.F.); +#10845=CARTESIAN_POINT('',(-2.34E0,3.976634572893E0,-2.125446275625E0)); +#10846=DIRECTION('',(0.E0,-9.848077530122E-1,1.736481776669E-1)); +#10847=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#10848=AXIS2_PLACEMENT_3D('',#10845,#10846,#10847); +#10849=PLANE('',#10848); +#10850=ORIENTED_EDGE('',*,*,#10777,.T.); +#10852=ORIENTED_EDGE('',*,*,#10851,.T.); +#10853=ORIENTED_EDGE('',*,*,#10812,.F.); +#10854=ORIENTED_EDGE('',*,*,#10839,.F.); +#10855=EDGE_LOOP('',(#10850,#10852,#10853,#10854)); +#10856=FACE_OUTER_BOUND('',#10855,.F.); +#10858=CARTESIAN_POINT('',(-2.34E0,3.422581101573E0,-3.252069985520E0)); +#10859=DIRECTION('',(1.E0,0.E0,0.E0)); +#10860=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10861=AXIS2_PLACEMENT_3D('',#10858,#10859,#10860); +#10862=CYLINDRICAL_SURFACE('',#10861,3.5E-1); +#10863=ORIENTED_EDGE('',*,*,#10775,.T.); +#10864=ORIENTED_EDGE('',*,*,#10795,.T.); +#10865=ORIENTED_EDGE('',*,*,#10814,.F.); +#10866=ORIENTED_EDGE('',*,*,#10851,.F.); +#10867=EDGE_LOOP('',(#10863,#10864,#10865,#10866)); +#10868=FACE_OUTER_BOUND('',#10867,.F.); +#10870=CARTESIAN_POINT('',(-3.36E0,0.E0,0.E0)); +#10871=DIRECTION('',(1.E0,0.E0,0.E0)); +#10872=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10873=AXIS2_PLACEMENT_3D('',#10870,#10871,#10872); +#10874=PLANE('',#10873); +#10875=ORIENTED_EDGE('',*,*,#9936,.T.); +#10877=ORIENTED_EDGE('',*,*,#10876,.F.); +#10879=ORIENTED_EDGE('',*,*,#10878,.F.); +#10881=ORIENTED_EDGE('',*,*,#10880,.F.); +#10883=ORIENTED_EDGE('',*,*,#10882,.F.); +#10884=ORIENTED_EDGE('',*,*,#10138,.F.); +#10886=ORIENTED_EDGE('',*,*,#10885,.F.); +#10887=EDGE_LOOP('',(#10875,#10877,#10879,#10881,#10883,#10884,#10886)); +#10888=FACE_OUTER_BOUND('',#10887,.F.); +#10890=CARTESIAN_POINT('',(-3.36E0,3.742322011748E0,-3.394427810597E0)); +#10891=DIRECTION('',(0.E0,-9.135454576426E-1,4.067366430758E-1)); +#10892=DIRECTION('',(0.E0,-4.067366430758E-1,-9.135454576426E-1)); +#10893=AXIS2_PLACEMENT_3D('',#10890,#10891,#10892); +#10894=PLANE('',#10893); +#10895=ORIENTED_EDGE('',*,*,#9942,.F.); +#10897=ORIENTED_EDGE('',*,*,#10896,.F.); +#10899=ORIENTED_EDGE('',*,*,#10898,.F.); +#10900=ORIENTED_EDGE('',*,*,#10876,.T.); +#10901=EDGE_LOOP('',(#10895,#10897,#10899,#10900)); +#10902=FACE_OUTER_BOUND('',#10901,.F.); +#10904=CARTESIAN_POINT('',(-3.78E0,0.E0,0.E0)); +#10905=DIRECTION('',(1.E0,0.E0,0.E0)); +#10906=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10907=AXIS2_PLACEMENT_3D('',#10904,#10905,#10906); +#10908=PLANE('',#10907); +#10909=ORIENTED_EDGE('',*,*,#9940,.F.); +#10911=ORIENTED_EDGE('',*,*,#10910,.T.); +#10912=ORIENTED_EDGE('',*,*,#10142,.T.); +#10914=ORIENTED_EDGE('',*,*,#10913,.T.); +#10916=ORIENTED_EDGE('',*,*,#10915,.T.); +#10918=ORIENTED_EDGE('',*,*,#10917,.T.); +#10919=ORIENTED_EDGE('',*,*,#10896,.T.); +#10920=EDGE_LOOP('',(#10909,#10911,#10912,#10914,#10916,#10918,#10919)); +#10921=FACE_OUTER_BOUND('',#10920,.F.); +#10923=CARTESIAN_POINT('',(-3.36E0,1.228977356156E0,-9.9E0)); +#10924=DIRECTION('',(0.E0,9.135454576426E-1,-4.067366430758E-1)); +#10925=DIRECTION('',(0.E0,4.067366430758E-1,9.135454576426E-1)); +#10926=AXIS2_PLACEMENT_3D('',#10923,#10924,#10925); +#10927=PLANE('',#10926); +#10928=ORIENTED_EDGE('',*,*,#9938,.T.); +#10929=ORIENTED_EDGE('',*,*,#10885,.T.); +#10930=ORIENTED_EDGE('',*,*,#10144,.F.); +#10931=ORIENTED_EDGE('',*,*,#10910,.F.); +#10932=EDGE_LOOP('',(#10928,#10929,#10930,#10931)); +#10933=FACE_OUTER_BOUND('',#10932,.F.); +#10935=CARTESIAN_POINT('',(-3.36E0,4.666000000002E0,-2.246999999992E0)); +#10936=DIRECTION('',(1.E0,0.E0,0.E0)); +#10937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10938=AXIS2_PLACEMENT_3D('',#10935,#10936,#10937); +#10939=CYLINDRICAL_SURFACE('',#10938,7.E-1); +#10940=ORIENTED_EDGE('',*,*,#10140,.T.); +#10941=ORIENTED_EDGE('',*,*,#10882,.T.); +#10943=ORIENTED_EDGE('',*,*,#10942,.T.); +#10944=ORIENTED_EDGE('',*,*,#10913,.F.); +#10945=EDGE_LOOP('',(#10940,#10941,#10943,#10944)); +#10946=FACE_OUTER_BOUND('',#10945,.F.); +#10948=CARTESIAN_POINT('',(-3.36E0,3.976634572893E0,-2.125446275625E0)); +#10949=DIRECTION('',(0.E0,-9.848077530122E-1,1.736481776669E-1)); +#10950=DIRECTION('',(0.E0,-1.736481776669E-1,-9.848077530122E-1)); +#10951=AXIS2_PLACEMENT_3D('',#10948,#10949,#10950); +#10952=PLANE('',#10951); +#10953=ORIENTED_EDGE('',*,*,#10880,.T.); +#10955=ORIENTED_EDGE('',*,*,#10954,.T.); +#10956=ORIENTED_EDGE('',*,*,#10915,.F.); +#10957=ORIENTED_EDGE('',*,*,#10942,.F.); +#10958=EDGE_LOOP('',(#10953,#10955,#10956,#10957)); +#10959=FACE_OUTER_BOUND('',#10958,.F.); +#10961=CARTESIAN_POINT('',(-3.36E0,3.422581101573E0,-3.252069985520E0)); +#10962=DIRECTION('',(1.E0,0.E0,0.E0)); +#10963=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10964=AXIS2_PLACEMENT_3D('',#10961,#10962,#10963); +#10965=CYLINDRICAL_SURFACE('',#10964,3.5E-1); +#10966=ORIENTED_EDGE('',*,*,#10878,.T.); +#10967=ORIENTED_EDGE('',*,*,#10898,.T.); +#10968=ORIENTED_EDGE('',*,*,#10917,.F.); +#10969=ORIENTED_EDGE('',*,*,#10954,.F.); +#10970=EDGE_LOOP('',(#10966,#10967,#10968,#10969)); +#10971=FACE_OUTER_BOUND('',#10970,.F.); +#10973=CARTESIAN_POINT('',(6.81E0,1.255E0,-2.5E-1)); +#10974=DIRECTION('',(0.E0,1.E0,0.E0)); +#10975=DIRECTION('',(-1.E0,0.E0,0.E0)); +#10976=AXIS2_PLACEMENT_3D('',#10973,#10974,#10975); +#10977=PLANE('',#10976); +#10979=ORIENTED_EDGE('',*,*,#10978,.T.); +#10981=ORIENTED_EDGE('',*,*,#10980,.T.); +#10982=ORIENTED_EDGE('',*,*,#9528,.F.); +#10984=ORIENTED_EDGE('',*,*,#10983,.T.); +#10985=ORIENTED_EDGE('',*,*,#9856,.T.); +#10986=ORIENTED_EDGE('',*,*,#9632,.T.); +#10987=EDGE_LOOP('',(#10979,#10981,#10982,#10984,#10985,#10986)); +#10988=FACE_OUTER_BOUND('',#10987,.F.); +#10990=CARTESIAN_POINT('',(6.15E0,1.38E0,-3.75E-1)); +#10991=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#10992=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#10993=AXIS2_PLACEMENT_3D('',#10990,#10991,#10992); +#10994=PLANE('',#10993); +#10995=ORIENTED_EDGE('',*,*,#10978,.F.); +#10996=ORIENTED_EDGE('',*,*,#9601,.T.); +#10997=ORIENTED_EDGE('',*,*,#9358,.T.); +#10999=ORIENTED_EDGE('',*,*,#10998,.T.); +#11000=EDGE_LOOP('',(#10995,#10996,#10997,#10999)); +#11001=FACE_OUTER_BOUND('',#11000,.F.); +#11003=CARTESIAN_POINT('',(6.35E0,-3.59E0,0.E0)); +#11004=DIRECTION('',(1.E0,0.E0,0.E0)); +#11005=DIRECTION('',(0.E0,1.E0,0.E0)); +#11006=AXIS2_PLACEMENT_3D('',#11003,#11004,#11005); +#11007=PLANE('',#11006); +#11008=ORIENTED_EDGE('',*,*,#9530,.F.); +#11009=ORIENTED_EDGE('',*,*,#10980,.F.); +#11010=ORIENTED_EDGE('',*,*,#10998,.F.); +#11011=ORIENTED_EDGE('',*,*,#9356,.T.); +#11013=ORIENTED_EDGE('',*,*,#11012,.F.); +#11014=ORIENTED_EDGE('',*,*,#6533,.F.); +#11016=ORIENTED_EDGE('',*,*,#11015,.F.); +#11018=ORIENTED_EDGE('',*,*,#11017,.T.); +#11020=ORIENTED_EDGE('',*,*,#11019,.T.); +#11021=ORIENTED_EDGE('',*,*,#6529,.F.); +#11023=ORIENTED_EDGE('',*,*,#11022,.T.); +#11024=ORIENTED_EDGE('',*,*,#9293,.T.); +#11026=ORIENTED_EDGE('',*,*,#11025,.F.); +#11028=ORIENTED_EDGE('',*,*,#11027,.T.); +#11029=EDGE_LOOP('',(#11008,#11009,#11010,#11011,#11013,#11014,#11016,#11018, +#11020,#11021,#11023,#11024,#11026,#11028)); +#11030=FACE_OUTER_BOUND('',#11029,.F.); +#11032=CARTESIAN_POINT('',(6.1E0,4.17E0,0.E0)); +#11033=DIRECTION('',(0.E0,0.E0,1.E0)); +#11034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11035=AXIS2_PLACEMENT_3D('',#11032,#11033,#11034); +#11036=CYLINDRICAL_SURFACE('',#11035,2.5E-1); +#11037=ORIENTED_EDGE('',*,*,#11012,.T.); +#11038=ORIENTED_EDGE('',*,*,#9354,.T.); +#11040=ORIENTED_EDGE('',*,*,#11039,.F.); +#11041=ORIENTED_EDGE('',*,*,#6535,.F.); +#11042=EDGE_LOOP('',(#11037,#11038,#11040,#11041)); +#11043=FACE_OUTER_BOUND('',#11042,.F.); +#11045=CARTESIAN_POINT('',(6.1E0,4.42E0,0.E0)); +#11046=DIRECTION('',(0.E0,1.E0,0.E0)); +#11047=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11048=AXIS2_PLACEMENT_3D('',#11045,#11046,#11047); +#11049=PLANE('',#11048); +#11050=ORIENTED_EDGE('',*,*,#11039,.T.); +#11051=ORIENTED_EDGE('',*,*,#9352,.T.); +#11053=ORIENTED_EDGE('',*,*,#11052,.F.); +#11054=ORIENTED_EDGE('',*,*,#6537,.F.); +#11055=EDGE_LOOP('',(#11050,#11051,#11053,#11054)); +#11056=FACE_OUTER_BOUND('',#11055,.F.); +#11058=CARTESIAN_POINT('',(-6.3E0,4.17E0,0.E0)); +#11059=DIRECTION('',(0.E0,0.E0,1.E0)); +#11060=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11061=AXIS2_PLACEMENT_3D('',#11058,#11059,#11060); +#11062=CYLINDRICAL_SURFACE('',#11061,2.5E-1); +#11063=ORIENTED_EDGE('',*,*,#11052,.T.); +#11064=ORIENTED_EDGE('',*,*,#9350,.T.); +#11065=ORIENTED_EDGE('',*,*,#9267,.F.); +#11066=ORIENTED_EDGE('',*,*,#6539,.F.); +#11067=EDGE_LOOP('',(#11063,#11064,#11065,#11066)); +#11068=FACE_OUTER_BOUND('',#11067,.F.); +#11070=CARTESIAN_POINT('',(0.E0,7.9E-1,-9.9E0)); +#11071=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11072=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11073=AXIS2_PLACEMENT_3D('',#11070,#11071,#11072); +#11074=PLANE('',#11073); +#11076=ORIENTED_EDGE('',*,*,#11075,.T.); +#11077=ORIENTED_EDGE('',*,*,#11015,.T.); +#11079=ORIENTED_EDGE('',*,*,#11078,.T.); +#11081=ORIENTED_EDGE('',*,*,#11080,.T.); +#11083=ORIENTED_EDGE('',*,*,#11082,.T.); +#11085=ORIENTED_EDGE('',*,*,#11084,.T.); +#11087=ORIENTED_EDGE('',*,*,#11086,.T.); +#11089=ORIENTED_EDGE('',*,*,#11088,.T.); +#11091=ORIENTED_EDGE('',*,*,#11090,.T.); +#11092=EDGE_LOOP('',(#11076,#11077,#11079,#11081,#11083,#11085,#11087,#11089, +#11091)); +#11093=FACE_OUTER_BOUND('',#11092,.F.); +#11095=CARTESIAN_POINT('',(0.E0,7.9E-1,-9.9E0)); +#11096=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11097=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11098=AXIS2_PLACEMENT_3D('',#11095,#11096,#11097); +#11099=PLANE('',#11098); +#11101=ORIENTED_EDGE('',*,*,#11100,.T.); +#11102=ORIENTED_EDGE('',*,*,#9264,.F.); +#11104=ORIENTED_EDGE('',*,*,#11103,.T.); +#11106=ORIENTED_EDGE('',*,*,#11105,.T.); +#11108=ORIENTED_EDGE('',*,*,#11107,.T.); +#11110=ORIENTED_EDGE('',*,*,#11109,.T.); +#11112=ORIENTED_EDGE('',*,*,#11111,.T.); +#11114=ORIENTED_EDGE('',*,*,#11113,.T.); +#11116=ORIENTED_EDGE('',*,*,#11115,.T.); +#11117=EDGE_LOOP('',(#11101,#11102,#11104,#11106,#11108,#11110,#11112,#11114, +#11116)); +#11118=FACE_OUTER_BOUND('',#11117,.F.); +#11120=CARTESIAN_POINT('',(4.871676684601E0,7.9E-1,-2.642326795287E0)); +#11121=DIRECTION('',(8.554887693245E-1,0.E0,-5.178213645260E-1)); +#11122=DIRECTION('',(5.178213645260E-1,0.E0,8.554887693245E-1)); +#11123=AXIS2_PLACEMENT_3D('',#11120,#11121,#11122); +#11124=PLANE('',#11123); +#11125=ORIENTED_EDGE('',*,*,#11075,.F.); +#11127=ORIENTED_EDGE('',*,*,#11126,.T.); +#11129=ORIENTED_EDGE('',*,*,#11128,.T.); +#11130=ORIENTED_EDGE('',*,*,#11017,.F.); +#11131=EDGE_LOOP('',(#11125,#11127,#11129,#11130)); +#11132=FACE_OUTER_BOUND('',#11131,.F.); +#11134=CARTESIAN_POINT('',(5.E0,7.9E-1,-2.719999999966E0)); +#11135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11136=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11137=AXIS2_PLACEMENT_3D('',#11134,#11135,#11136); +#11138=CYLINDRICAL_SURFACE('',#11137,1.5E-1); +#11139=ORIENTED_EDGE('',*,*,#11090,.F.); +#11141=ORIENTED_EDGE('',*,*,#11140,.T.); +#11143=ORIENTED_EDGE('',*,*,#11142,.T.); +#11144=ORIENTED_EDGE('',*,*,#11126,.F.); +#11145=EDGE_LOOP('',(#11139,#11141,#11143,#11144)); +#11146=FACE_OUTER_BOUND('',#11145,.F.); +#11148=CARTESIAN_POINT('',(5.007913550971E0,7.9E-1,-3.749999999992E0)); +#11149=DIRECTION('',(9.881915750401E-1,0.E0,1.532234023243E-1)); +#11150=DIRECTION('',(-1.532234023243E-1,0.E0,9.881915750401E-1)); +#11151=AXIS2_PLACEMENT_3D('',#11148,#11149,#11150); +#11152=PLANE('',#11151); +#11153=ORIENTED_EDGE('',*,*,#11088,.F.); +#11155=ORIENTED_EDGE('',*,*,#11154,.T.); +#11157=ORIENTED_EDGE('',*,*,#11156,.T.); +#11158=ORIENTED_EDGE('',*,*,#11140,.F.); +#11159=EDGE_LOOP('',(#11153,#11155,#11157,#11158)); +#11160=FACE_OUTER_BOUND('',#11159,.F.); +#11162=CARTESIAN_POINT('',(4.810275235963E0,7.9E-1,-3.780644680457E0)); +#11163=DIRECTION('',(1.532234023243E-1,0.E0,-9.881915750401E-1)); +#11164=DIRECTION('',(9.881915750401E-1,0.E0,1.532234023243E-1)); +#11165=AXIS2_PLACEMENT_3D('',#11162,#11163,#11164); +#11166=PLANE('',#11165); +#11167=ORIENTED_EDGE('',*,*,#11086,.F.); +#11169=ORIENTED_EDGE('',*,*,#11168,.T.); +#11171=ORIENTED_EDGE('',*,*,#11170,.T.); +#11172=ORIENTED_EDGE('',*,*,#11154,.F.); +#11173=EDGE_LOOP('',(#11167,#11169,#11171,#11172)); +#11174=FACE_OUTER_BOUND('',#11173,.F.); +#11176=CARTESIAN_POINT('',(4.654132948736E0,7.9E-1,-2.773628190780E0)); +#11177=DIRECTION('',(-9.881915750401E-1,0.E0,-1.532234023243E-1)); +#11178=DIRECTION('',(1.532234023243E-1,0.E0,-9.881915750401E-1)); +#11179=AXIS2_PLACEMENT_3D('',#11176,#11177,#11178); +#11180=PLANE('',#11179); +#11181=ORIENTED_EDGE('',*,*,#11084,.F.); +#11183=ORIENTED_EDGE('',*,*,#11182,.T.); +#11185=ORIENTED_EDGE('',*,*,#11184,.T.); +#11186=ORIENTED_EDGE('',*,*,#11168,.F.); +#11187=EDGE_LOOP('',(#11181,#11183,#11185,#11186)); +#11188=FACE_OUTER_BOUND('',#11187,.F.); +#11190=CARTESIAN_POINT('',(5.E0,7.9E-1,-2.719999999966E0)); +#11191=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11192=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11193=AXIS2_PLACEMENT_3D('',#11190,#11191,#11192); +#11194=CYLINDRICAL_SURFACE('',#11193,3.5E-1); +#11195=ORIENTED_EDGE('',*,*,#11082,.F.); +#11197=ORIENTED_EDGE('',*,*,#11196,.T.); +#11199=ORIENTED_EDGE('',*,*,#11198,.T.); +#11200=ORIENTED_EDGE('',*,*,#11182,.F.); +#11201=EDGE_LOOP('',(#11195,#11197,#11199,#11200)); +#11202=FACE_OUTER_BOUND('',#11201,.F.); +#11204=CARTESIAN_POINT('',(6.178902246135E0,7.9E-1,-9.643572709479E-2)); +#11205=DIRECTION('',(-8.554887693245E-1,0.E0,5.178213645260E-1)); +#11206=DIRECTION('',(-5.178213645260E-1,0.E0,-8.554887693245E-1)); +#11207=AXIS2_PLACEMENT_3D('',#11204,#11205,#11206); +#11208=PLANE('',#11207); +#11209=ORIENTED_EDGE('',*,*,#11080,.F.); +#11211=ORIENTED_EDGE('',*,*,#11210,.T.); +#11213=ORIENTED_EDGE('',*,*,#11212,.T.); +#11214=ORIENTED_EDGE('',*,*,#11196,.F.); +#11215=EDGE_LOOP('',(#11209,#11211,#11213,#11214)); +#11216=FACE_OUTER_BOUND('',#11215,.F.); +#11218=CARTESIAN_POINT('',(6.35E0,7.9E-1,-2.E-1)); +#11219=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11220=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11221=AXIS2_PLACEMENT_3D('',#11218,#11219,#11220); +#11222=CYLINDRICAL_SURFACE('',#11221,2.E-1); +#11223=ORIENTED_EDGE('',*,*,#11078,.F.); +#11224=ORIENTED_EDGE('',*,*,#6531,.T.); +#11226=ORIENTED_EDGE('',*,*,#11225,.T.); +#11227=ORIENTED_EDGE('',*,*,#11210,.F.); +#11228=EDGE_LOOP('',(#11223,#11224,#11226,#11227)); +#11229=FACE_OUTER_BOUND('',#11228,.F.); +#11231=CARTESIAN_POINT('',(0.E0,-1.21E0,-9.9E0)); +#11232=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11233=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11234=AXIS2_PLACEMENT_3D('',#11231,#11232,#11233); +#11235=PLANE('',#11234); +#11236=ORIENTED_EDGE('',*,*,#11128,.F.); +#11237=ORIENTED_EDGE('',*,*,#11142,.F.); +#11238=ORIENTED_EDGE('',*,*,#11156,.F.); +#11239=ORIENTED_EDGE('',*,*,#11170,.F.); +#11240=ORIENTED_EDGE('',*,*,#11184,.F.); +#11241=ORIENTED_EDGE('',*,*,#11198,.F.); +#11242=ORIENTED_EDGE('',*,*,#11212,.F.); +#11243=ORIENTED_EDGE('',*,*,#11225,.F.); +#11244=ORIENTED_EDGE('',*,*,#11019,.F.); +#11245=EDGE_LOOP('',(#11236,#11237,#11238,#11239,#11240,#11241,#11242,#11243, +#11244)); +#11246=FACE_OUTER_BOUND('',#11245,.F.); +#11248=CARTESIAN_POINT('',(0.E0,-1.21E0,-9.9E0)); +#11249=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11251=AXIS2_PLACEMENT_3D('',#11248,#11249,#11250); +#11252=PLANE('',#11251); +#11254=ORIENTED_EDGE('',*,*,#11253,.F.); +#11256=ORIENTED_EDGE('',*,*,#11255,.F.); +#11258=ORIENTED_EDGE('',*,*,#11257,.F.); +#11260=ORIENTED_EDGE('',*,*,#11259,.F.); +#11262=ORIENTED_EDGE('',*,*,#11261,.F.); +#11264=ORIENTED_EDGE('',*,*,#11263,.F.); +#11266=ORIENTED_EDGE('',*,*,#11265,.F.); +#11268=ORIENTED_EDGE('',*,*,#11267,.F.); +#11269=ORIENTED_EDGE('',*,*,#9260,.T.); +#11270=EDGE_LOOP('',(#11254,#11256,#11258,#11260,#11262,#11264,#11266,#11268, +#11269)); +#11271=FACE_OUTER_BOUND('',#11270,.F.); +#11273=CARTESIAN_POINT('',(-6.55E0,7.9E-1,-2.E-1)); +#11274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11275=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11276=AXIS2_PLACEMENT_3D('',#11273,#11274,#11275); +#11277=CYLINDRICAL_SURFACE('',#11276,2.E-1); +#11278=ORIENTED_EDGE('',*,*,#11100,.F.); +#11280=ORIENTED_EDGE('',*,*,#11279,.T.); +#11281=ORIENTED_EDGE('',*,*,#11253,.T.); +#11282=ORIENTED_EDGE('',*,*,#6543,.F.); +#11283=EDGE_LOOP('',(#11278,#11280,#11281,#11282)); +#11284=FACE_OUTER_BOUND('',#11283,.F.); +#11286=CARTESIAN_POINT('',(-4.806044632274E0,7.9E-1,-2.530025681264E0)); +#11287=DIRECTION('',(8.398724792459E-1,0.E0,5.427837678167E-1)); +#11288=DIRECTION('',(-5.427837678167E-1,0.E0,8.398724792459E-1)); +#11289=AXIS2_PLACEMENT_3D('',#11286,#11287,#11288); +#11290=PLANE('',#11289); +#11291=ORIENTED_EDGE('',*,*,#11115,.F.); +#11293=ORIENTED_EDGE('',*,*,#11292,.T.); +#11294=ORIENTED_EDGE('',*,*,#11255,.T.); +#11295=ORIENTED_EDGE('',*,*,#11279,.F.); +#11296=EDGE_LOOP('',(#11291,#11293,#11294,#11295)); +#11297=FACE_OUTER_BOUND('',#11296,.F.); +#11299=CARTESIAN_POINT('',(-5.100000000010E0,7.9E-1,-2.72E0)); +#11300=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11301=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11302=AXIS2_PLACEMENT_3D('',#11299,#11300,#11301); +#11303=CYLINDRICAL_SURFACE('',#11302,3.5E-1); +#11304=ORIENTED_EDGE('',*,*,#11113,.F.); +#11306=ORIENTED_EDGE('',*,*,#11305,.T.); +#11307=ORIENTED_EDGE('',*,*,#11257,.T.); +#11308=ORIENTED_EDGE('',*,*,#11292,.F.); +#11309=EDGE_LOOP('',(#11304,#11306,#11307,#11308)); +#11310=FACE_OUTER_BOUND('',#11309,.F.); +#11312=CARTESIAN_POINT('',(-4.879157201120E0,7.9E-1,-3.774812626844E0)); +#11313=DIRECTION('',(9.922743263468E-1,0.E0,-1.240631342218E-1)); +#11314=DIRECTION('',(1.240631342218E-1,0.E0,9.922743263468E-1)); +#11315=AXIS2_PLACEMENT_3D('',#11312,#11313,#11314); +#11316=PLANE('',#11315); +#11317=ORIENTED_EDGE('',*,*,#11111,.F.); +#11319=ORIENTED_EDGE('',*,*,#11318,.T.); +#11320=ORIENTED_EDGE('',*,*,#11259,.T.); +#11321=ORIENTED_EDGE('',*,*,#11305,.F.); +#11322=EDGE_LOOP('',(#11317,#11319,#11320,#11321)); +#11323=FACE_OUTER_BOUND('',#11322,.F.); +#11325=CARTESIAN_POINT('',(-5.077612066390E0,7.9E-1,-3.75E0)); +#11326=DIRECTION('',(-1.240631342218E-1,0.E0,-9.922743263468E-1)); +#11327=DIRECTION('',(9.922743263468E-1,0.E0,-1.240631342218E-1)); +#11328=AXIS2_PLACEMENT_3D('',#11325,#11326,#11327); +#11329=PLANE('',#11328); +#11330=ORIENTED_EDGE('',*,*,#11109,.F.); +#11332=ORIENTED_EDGE('',*,*,#11331,.T.); +#11333=ORIENTED_EDGE('',*,*,#11261,.T.); +#11334=ORIENTED_EDGE('',*,*,#11318,.F.); +#11335=EDGE_LOOP('',(#11330,#11332,#11333,#11334)); +#11336=FACE_OUTER_BOUND('',#11335,.F.); +#11338=CARTESIAN_POINT('',(-4.951158851058E0,7.9E-1,-2.738609470133E0)); +#11339=DIRECTION('',(-9.922743263468E-1,0.E0,1.240631342218E-1)); +#11340=DIRECTION('',(-1.240631342218E-1,0.E0,-9.922743263468E-1)); +#11341=AXIS2_PLACEMENT_3D('',#11338,#11339,#11340); +#11342=PLANE('',#11341); +#11343=ORIENTED_EDGE('',*,*,#11107,.F.); +#11345=ORIENTED_EDGE('',*,*,#11344,.T.); +#11346=ORIENTED_EDGE('',*,*,#11263,.T.); +#11347=ORIENTED_EDGE('',*,*,#11331,.F.); +#11348=EDGE_LOOP('',(#11343,#11345,#11346,#11347)); +#11349=FACE_OUTER_BOUND('',#11348,.F.); +#11351=CARTESIAN_POINT('',(-5.100000000010E0,7.9E-1,-2.72E0)); +#11352=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11353=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11354=AXIS2_PLACEMENT_3D('',#11351,#11352,#11353); +#11355=CYLINDRICAL_SURFACE('',#11354,1.5E-1); +#11356=ORIENTED_EDGE('',*,*,#11105,.F.); +#11358=ORIENTED_EDGE('',*,*,#11357,.T.); +#11359=ORIENTED_EDGE('',*,*,#11265,.T.); +#11360=ORIENTED_EDGE('',*,*,#11344,.F.); +#11361=EDGE_LOOP('',(#11356,#11358,#11359,#11360)); +#11362=FACE_OUTER_BOUND('',#11361,.F.); +#11364=CARTESIAN_POINT('',(-6.55E0,7.9E-1,-2.E-1)); +#11365=DIRECTION('',(-8.398724792459E-1,0.E0,-5.427837678167E-1)); +#11366=DIRECTION('',(5.427837678167E-1,0.E0,-8.398724792459E-1)); +#11367=AXIS2_PLACEMENT_3D('',#11364,#11365,#11366); +#11368=PLANE('',#11367); +#11369=ORIENTED_EDGE('',*,*,#11103,.F.); +#11370=ORIENTED_EDGE('',*,*,#9262,.T.); +#11371=ORIENTED_EDGE('',*,*,#11267,.T.); +#11372=ORIENTED_EDGE('',*,*,#11357,.F.); +#11373=EDGE_LOOP('',(#11369,#11370,#11371,#11372)); +#11374=FACE_OUTER_BOUND('',#11373,.F.); +#11376=CARTESIAN_POINT('',(7.05E0,-3.59E0,0.E0)); +#11377=DIRECTION('',(0.E0,1.E0,0.E0)); +#11378=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11379=AXIS2_PLACEMENT_3D('',#11376,#11377,#11378); +#11380=PLANE('',#11379); +#11382=ORIENTED_EDGE('',*,*,#11381,.T.); +#11383=ORIENTED_EDGE('',*,*,#9295,.T.); +#11384=ORIENTED_EDGE('',*,*,#11022,.F.); +#11385=ORIENTED_EDGE('',*,*,#6527,.F.); +#11386=EDGE_LOOP('',(#11382,#11383,#11384,#11385)); +#11387=FACE_OUTER_BOUND('',#11386,.F.); +#11389=CARTESIAN_POINT('',(7.05E0,-5.94E0,0.E0)); +#11390=DIRECTION('',(1.E0,0.E0,0.E0)); +#11391=DIRECTION('',(0.E0,1.E0,0.E0)); +#11392=AXIS2_PLACEMENT_3D('',#11389,#11390,#11391); +#11393=PLANE('',#11392); +#11395=ORIENTED_EDGE('',*,*,#11394,.T.); +#11396=ORIENTED_EDGE('',*,*,#9297,.T.); +#11397=ORIENTED_EDGE('',*,*,#11381,.F.); +#11398=ORIENTED_EDGE('',*,*,#6525,.F.); +#11399=EDGE_LOOP('',(#11395,#11396,#11397,#11398)); +#11400=FACE_OUTER_BOUND('',#11399,.F.); +#11402=CARTESIAN_POINT('',(2.43E0,-5.94E0,0.E0)); +#11403=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11404=DIRECTION('',(1.E0,0.E0,0.E0)); +#11405=AXIS2_PLACEMENT_3D('',#11402,#11403,#11404); +#11406=PLANE('',#11405); +#11408=ORIENTED_EDGE('',*,*,#11407,.T.); +#11409=ORIENTED_EDGE('',*,*,#9299,.T.); +#11410=ORIENTED_EDGE('',*,*,#11394,.F.); +#11411=ORIENTED_EDGE('',*,*,#6523,.F.); +#11412=EDGE_LOOP('',(#11408,#11409,#11410,#11411)); +#11413=FACE_OUTER_BOUND('',#11412,.F.); +#11415=CARTESIAN_POINT('',(2.43E0,-6.75E0,0.E0)); +#11416=DIRECTION('',(1.E0,0.E0,0.E0)); +#11417=DIRECTION('',(0.E0,1.E0,0.E0)); +#11418=AXIS2_PLACEMENT_3D('',#11415,#11416,#11417); +#11419=PLANE('',#11418); +#11420=ORIENTED_EDGE('',*,*,#9112,.F.); +#11421=ORIENTED_EDGE('',*,*,#9135,.T.); +#11422=ORIENTED_EDGE('',*,*,#9301,.T.); +#11423=ORIENTED_EDGE('',*,*,#11407,.F.); +#11424=ORIENTED_EDGE('',*,*,#6521,.F.); +#11425=EDGE_LOOP('',(#11420,#11421,#11422,#11423,#11424)); +#11426=FACE_OUTER_BOUND('',#11425,.F.); +#11428=CARTESIAN_POINT('',(6.15E0,-1.67E0,-3.75E-1)); +#11429=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#11430=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#11431=AXIS2_PLACEMENT_3D('',#11428,#11429,#11430); +#11432=PLANE('',#11431); +#11433=ORIENTED_EDGE('',*,*,#9291,.T.); +#11434=ORIENTED_EDGE('',*,*,#9650,.F.); +#11436=ORIENTED_EDGE('',*,*,#11435,.F.); +#11437=ORIENTED_EDGE('',*,*,#11025,.T.); +#11438=EDGE_LOOP('',(#11433,#11434,#11436,#11437)); +#11439=FACE_OUTER_BOUND('',#11438,.F.); +#11441=CARTESIAN_POINT('',(5.95E0,-1.545E0,-2.5E-1)); +#11442=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11443=DIRECTION('',(1.E0,0.E0,0.E0)); +#11444=AXIS2_PLACEMENT_3D('',#11441,#11442,#11443); +#11445=PLANE('',#11444); +#11446=ORIENTED_EDGE('',*,*,#11435,.T.); +#11447=ORIENTED_EDGE('',*,*,#9621,.F.); +#11448=ORIENTED_EDGE('',*,*,#9860,.T.); +#11450=ORIENTED_EDGE('',*,*,#11449,.F.); +#11451=ORIENTED_EDGE('',*,*,#9524,.F.); +#11452=ORIENTED_EDGE('',*,*,#11027,.F.); +#11453=EDGE_LOOP('',(#11446,#11447,#11448,#11450,#11451,#11452)); +#11454=FACE_OUTER_BOUND('',#11453,.F.); +#11456=CARTESIAN_POINT('',(6.81E0,-1.545E0,-2.5E-1)); +#11457=DIRECTION('',(1.E0,0.E0,0.E0)); +#11458=DIRECTION('',(0.E0,1.E0,0.E0)); +#11459=AXIS2_PLACEMENT_3D('',#11456,#11457,#11458); +#11460=PLANE('',#11459); +#11461=ORIENTED_EDGE('',*,*,#9526,.F.); +#11462=ORIENTED_EDGE('',*,*,#11449,.T.); +#11463=ORIENTED_EDGE('',*,*,#9858,.T.); +#11464=ORIENTED_EDGE('',*,*,#10983,.F.); +#11465=EDGE_LOOP('',(#11461,#11462,#11463,#11464)); +#11466=FACE_OUTER_BOUND('',#11465,.F.); +#11468=CARTESIAN_POINT('',(0.E0,-3.75E0,0.E0)); +#11469=DIRECTION('',(0.E0,1.E0,0.E0)); +#11470=DIRECTION('',(1.E0,0.E0,0.E0)); +#11471=AXIS2_PLACEMENT_3D('',#11468,#11469,#11470); +#11472=PLANE('',#11471); +#11473=ORIENTED_EDGE('',*,*,#9321,.F.); +#11475=ORIENTED_EDGE('',*,*,#11474,.F.); +#11476=EDGE_LOOP('',(#11473,#11475)); +#11477=FACE_OUTER_BOUND('',#11476,.F.); +#11479=CARTESIAN_POINT('',(0.E0,-3.75E0,0.E0)); +#11480=DIRECTION('',(0.E0,1.E0,0.E0)); +#11481=DIRECTION('',(1.E0,0.E0,0.E0)); +#11482=AXIS2_PLACEMENT_3D('',#11479,#11480,#11481); +#11483=PLANE('',#11482); +#11484=ORIENTED_EDGE('',*,*,#9331,.T.); +#11486=ORIENTED_EDGE('',*,*,#11485,.F.); +#11487=EDGE_LOOP('',(#11484,#11486)); +#11488=FACE_OUTER_BOUND('',#11487,.F.); +#11490=CARTESIAN_POINT('',(-5.37E0,-3.75E0,-1.75E0)); +#11491=DIRECTION('',(0.E0,1.E0,0.E0)); +#11492=DIRECTION('',(1.E0,0.E0,0.E0)); +#11493=AXIS2_PLACEMENT_3D('',#11490,#11491,#11492); +#11494=CYLINDRICAL_SURFACE('',#11493,2.E0); +#11495=ORIENTED_EDGE('',*,*,#9323,.T.); +#11496=ORIENTED_EDGE('',*,*,#11474,.T.); +#11497=ORIENTED_EDGE('',*,*,#9327,.F.); +#11499=ORIENTED_EDGE('',*,*,#11498,.F.); +#11500=EDGE_LOOP('',(#11495,#11496,#11497,#11499)); +#11501=FACE_OUTER_BOUND('',#11500,.F.); +#11503=CARTESIAN_POINT('',(0.E0,-5.65E0,0.E0)); +#11504=DIRECTION('',(0.E0,1.E0,0.E0)); +#11505=DIRECTION('',(1.E0,0.E0,0.E0)); +#11506=AXIS2_PLACEMENT_3D('',#11503,#11504,#11505); +#11507=PLANE('',#11506); +#11508=ORIENTED_EDGE('',*,*,#9325,.T.); +#11509=ORIENTED_EDGE('',*,*,#11498,.T.); +#11510=EDGE_LOOP('',(#11508,#11509)); +#11511=FACE_OUTER_BOUND('',#11510,.F.); +#11513=CARTESIAN_POINT('',(0.E0,-5.65E0,0.E0)); +#11514=DIRECTION('',(0.E0,1.E0,0.E0)); +#11515=DIRECTION('',(1.E0,0.E0,0.E0)); +#11516=AXIS2_PLACEMENT_3D('',#11513,#11514,#11515); +#11517=PLANE('',#11516); +#11518=ORIENTED_EDGE('',*,*,#9335,.F.); +#11520=ORIENTED_EDGE('',*,*,#11519,.T.); +#11521=EDGE_LOOP('',(#11518,#11520)); +#11522=FACE_OUTER_BOUND('',#11521,.F.); +#11524=CARTESIAN_POINT('',(5.37E0,-3.75E0,-1.75E0)); +#11525=DIRECTION('',(0.E0,1.E0,0.E0)); +#11526=DIRECTION('',(1.E0,0.E0,0.E0)); +#11527=AXIS2_PLACEMENT_3D('',#11524,#11525,#11526); +#11528=CYLINDRICAL_SURFACE('',#11527,2.E0); +#11529=ORIENTED_EDGE('',*,*,#9333,.T.); +#11530=ORIENTED_EDGE('',*,*,#11485,.T.); +#11531=ORIENTED_EDGE('',*,*,#9337,.F.); +#11532=ORIENTED_EDGE('',*,*,#11519,.F.); +#11533=EDGE_LOOP('',(#11529,#11530,#11531,#11532)); +#11534=FACE_OUTER_BOUND('',#11533,.F.); +#11536=CARTESIAN_POINT('',(-7.75E0,-6.4E0,-2.E-1)); +#11537=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11538=DIRECTION('',(1.E0,0.E0,0.E0)); +#11539=AXIS2_PLACEMENT_3D('',#11536,#11537,#11538); +#11540=PLANE('',#11539); +#11541=ORIENTED_EDGE('',*,*,#7002,.F.); +#11542=ORIENTED_EDGE('',*,*,#7312,.T.); +#11543=ORIENTED_EDGE('',*,*,#6914,.T.); +#11544=ORIENTED_EDGE('',*,*,#9163,.F.); +#11545=EDGE_LOOP('',(#11541,#11542,#11543,#11544)); +#11546=FACE_OUTER_BOUND('',#11545,.F.); +#11548=CARTESIAN_POINT('',(-7.95E0,-6.35E0,-8.55E0)); +#11549=DIRECTION('',(1.E0,0.E0,0.E0)); +#11550=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11551=AXIS2_PLACEMENT_3D('',#11548,#11549,#11550); +#11552=CYLINDRICAL_SURFACE('',#11551,2.E-1); +#11553=ORIENTED_EDGE('',*,*,#7355,.F.); +#11554=ORIENTED_EDGE('',*,*,#9029,.F.); +#11555=ORIENTED_EDGE('',*,*,#6645,.T.); +#11556=ORIENTED_EDGE('',*,*,#7325,.T.); +#11557=EDGE_LOOP('',(#11553,#11554,#11555,#11556)); +#11558=FACE_OUTER_BOUND('',#11557,.F.); +#11560=CARTESIAN_POINT('',(6.85E0,-6.55E0,-1.075E1)); +#11561=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11562=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11563=AXIS2_PLACEMENT_3D('',#11560,#11561,#11562); +#11564=PLANE('',#11563); +#11565=ORIENTED_EDGE('',*,*,#8361,.T.); +#11566=ORIENTED_EDGE('',*,*,#8423,.F.); +#11567=ORIENTED_EDGE('',*,*,#8313,.F.); +#11568=ORIENTED_EDGE('',*,*,#8342,.T.); +#11569=EDGE_LOOP('',(#11565,#11566,#11567,#11568)); +#11570=FACE_OUTER_BOUND('',#11569,.F.); +#11572=CARTESIAN_POINT('',(7.2275E0,-1.005E1,-1.075E1)); +#11573=DIRECTION('',(9.769000173963E-1,-2.136968788054E-1,0.E0)); +#11574=DIRECTION('',(2.136968788054E-1,9.769000173963E-1,0.E0)); +#11575=AXIS2_PLACEMENT_3D('',#11572,#11573,#11574); +#11576=PLANE('',#11575); +#11577=ORIENTED_EDGE('',*,*,#8173,.T.); +#11579=ORIENTED_EDGE('',*,*,#11578,.T.); +#11580=ORIENTED_EDGE('',*,*,#8298,.F.); +#11581=ORIENTED_EDGE('',*,*,#8267,.T.); +#11582=ORIENTED_EDGE('',*,*,#8252,.T.); +#11583=ORIENTED_EDGE('',*,*,#7667,.T.); +#11584=EDGE_LOOP('',(#11577,#11579,#11580,#11581,#11582,#11583)); +#11585=FACE_OUTER_BOUND('',#11584,.F.); +#11587=CARTESIAN_POINT('',(5.965E0,-6.4E0,-1.05E1)); +#11588=DIRECTION('',(0.E0,0.E0,1.E0)); +#11589=DIRECTION('',(1.E0,0.E0,0.E0)); +#11590=AXIS2_PLACEMENT_3D('',#11587,#11588,#11589); +#11591=PLANE('',#11590); +#11592=ORIENTED_EDGE('',*,*,#11578,.F.); +#11593=ORIENTED_EDGE('',*,*,#8171,.T.); +#11594=ORIENTED_EDGE('',*,*,#7119,.T.); +#11595=ORIENTED_EDGE('',*,*,#8300,.F.); +#11596=EDGE_LOOP('',(#11592,#11593,#11594,#11595)); +#11597=FACE_OUTER_BOUND('',#11596,.F.); +#11599=CARTESIAN_POINT('',(5.965E0,-6.4E0,-1.1E1)); +#11600=DIRECTION('',(1.E0,0.E0,0.E0)); +#11601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11602=AXIS2_PLACEMENT_3D('',#11599,#11600,#11601); +#11603=PLANE('',#11602); +#11605=ORIENTED_EDGE('',*,*,#11604,.F.); +#11606=ORIENTED_EDGE('',*,*,#8247,.T.); +#11607=ORIENTED_EDGE('',*,*,#8148,.F.); +#11609=ORIENTED_EDGE('',*,*,#11608,.F.); +#11610=EDGE_LOOP('',(#11605,#11606,#11607,#11609)); +#11611=FACE_OUTER_BOUND('',#11610,.F.); +#11613=CARTESIAN_POINT('',(5.715E0,-1.005E1,-1.22625E1)); +#11614=DIRECTION('',(0.E0,-2.136968788054E-1,-9.769000173963E-1)); +#11615=DIRECTION('',(0.E0,9.769000173963E-1,-2.136968788054E-1)); +#11616=AXIS2_PLACEMENT_3D('',#11613,#11614,#11615); +#11617=PLANE('',#11616); +#11618=ORIENTED_EDGE('',*,*,#8249,.T.); +#11619=ORIENTED_EDGE('',*,*,#11604,.T.); +#11621=ORIENTED_EDGE('',*,*,#11620,.F.); +#11623=ORIENTED_EDGE('',*,*,#11622,.T.); +#11625=ORIENTED_EDGE('',*,*,#11624,.T.); +#11626=ORIENTED_EDGE('',*,*,#7671,.T.); +#11627=EDGE_LOOP('',(#11618,#11619,#11621,#11623,#11625,#11626)); +#11628=FACE_OUTER_BOUND('',#11627,.F.); +#11630=CARTESIAN_POINT('',(5.965E0,-6.4E0,-1.235E1)); +#11631=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11632=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11633=AXIS2_PLACEMENT_3D('',#11630,#11631,#11632); +#11634=PLANE('',#11633); +#11635=ORIENTED_EDGE('',*,*,#11620,.T.); +#11636=ORIENTED_EDGE('',*,*,#11608,.T.); +#11637=ORIENTED_EDGE('',*,*,#8146,.F.); +#11639=ORIENTED_EDGE('',*,*,#11638,.F.); +#11640=EDGE_LOOP('',(#11635,#11636,#11637,#11639)); +#11641=FACE_OUTER_BOUND('',#11640,.F.); +#11643=CARTESIAN_POINT('',(5.465E0,-6.4E0,-1.235E1)); +#11644=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11645=DIRECTION('',(0.E0,0.E0,1.E0)); +#11646=AXIS2_PLACEMENT_3D('',#11643,#11644,#11645); +#11647=PLANE('',#11646); +#11648=ORIENTED_EDGE('',*,*,#11622,.F.); +#11649=ORIENTED_EDGE('',*,*,#11638,.T.); +#11650=ORIENTED_EDGE('',*,*,#8144,.T.); +#11652=ORIENTED_EDGE('',*,*,#11651,.F.); +#11653=EDGE_LOOP('',(#11648,#11649,#11650,#11652)); +#11654=FACE_OUTER_BOUND('',#11653,.F.); +#11656=CARTESIAN_POINT('',(4.165E0,-3.287192E1,-1.23E1)); +#11657=DIRECTION('',(0.E0,1.E0,0.E0)); +#11658=DIRECTION('',(0.E0,0.E0,1.E0)); +#11659=AXIS2_PLACEMENT_3D('',#11656,#11657,#11658); +#11660=CYLINDRICAL_SURFACE('',#11659,1.3E0); +#11662=ORIENTED_EDGE('',*,*,#11661,.F.); +#11663=ORIENTED_EDGE('',*,*,#7673,.T.); +#11664=ORIENTED_EDGE('',*,*,#11624,.F.); +#11665=ORIENTED_EDGE('',*,*,#11651,.T.); +#11666=ORIENTED_EDGE('',*,*,#8142,.T.); +#11667=ORIENTED_EDGE('',*,*,#8055,.T.); +#11668=ORIENTED_EDGE('',*,*,#7105,.T.); +#11670=ORIENTED_EDGE('',*,*,#11669,.F.); +#11671=EDGE_LOOP('',(#11662,#11663,#11664,#11665,#11666,#11667,#11668,#11670)); +#11672=FACE_OUTER_BOUND('',#11671,.F.); +#11674=CARTESIAN_POINT('',(4.2025E0,-1.005E1,-1.075E1)); +#11675=DIRECTION('',(-9.769000173963E-1,-2.136968788054E-1,0.E0)); +#11676=DIRECTION('',(-2.136968788054E-1,9.769000173963E-1,0.E0)); +#11677=AXIS2_PLACEMENT_3D('',#11674,#11675,#11676); +#11678=PLANE('',#11677); +#11679=ORIENTED_EDGE('',*,*,#11661,.T.); +#11681=ORIENTED_EDGE('',*,*,#11680,.T.); +#11683=ORIENTED_EDGE('',*,*,#11682,.F.); +#11685=ORIENTED_EDGE('',*,*,#11684,.T.); +#11686=ORIENTED_EDGE('',*,*,#8072,.T.); +#11687=ORIENTED_EDGE('',*,*,#7675,.T.); +#11688=EDGE_LOOP('',(#11679,#11681,#11683,#11685,#11686,#11687)); +#11689=FACE_OUTER_BOUND('',#11688,.F.); +#11691=CARTESIAN_POINT('',(5.465E0,-6.4E0,-1.1E1)); +#11692=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11693=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11694=AXIS2_PLACEMENT_3D('',#11691,#11692,#11693); +#11695=PLANE('',#11694); +#11696=ORIENTED_EDGE('',*,*,#11680,.F.); +#11697=ORIENTED_EDGE('',*,*,#11669,.T.); +#11698=ORIENTED_EDGE('',*,*,#7103,.T.); +#11700=ORIENTED_EDGE('',*,*,#11699,.F.); +#11701=EDGE_LOOP('',(#11696,#11697,#11698,#11700)); +#11702=FACE_OUTER_BOUND('',#11701,.F.); +#11704=CARTESIAN_POINT('',(4.115E0,-6.4E0,-1.1E1)); +#11705=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11706=DIRECTION('',(0.E0,0.E0,1.E0)); +#11707=AXIS2_PLACEMENT_3D('',#11704,#11705,#11706); +#11708=PLANE('',#11707); +#11709=ORIENTED_EDGE('',*,*,#11682,.T.); +#11710=ORIENTED_EDGE('',*,*,#11699,.T.); +#11711=ORIENTED_EDGE('',*,*,#7101,.T.); +#11713=ORIENTED_EDGE('',*,*,#11712,.F.); +#11714=EDGE_LOOP('',(#11709,#11710,#11711,#11713)); +#11715=FACE_OUTER_BOUND('',#11714,.F.); +#11717=CARTESIAN_POINT('',(4.115E0,-6.4E0,-1.05E1)); +#11718=DIRECTION('',(0.E0,0.E0,1.E0)); +#11719=DIRECTION('',(1.E0,0.E0,0.E0)); +#11720=AXIS2_PLACEMENT_3D('',#11717,#11718,#11719); +#11721=PLANE('',#11720); +#11722=ORIENTED_EDGE('',*,*,#11684,.F.); +#11723=ORIENTED_EDGE('',*,*,#11712,.T.); +#11724=ORIENTED_EDGE('',*,*,#7099,.T.); +#11725=ORIENTED_EDGE('',*,*,#8074,.F.); +#11726=EDGE_LOOP('',(#11722,#11723,#11724,#11725)); +#11727=FACE_OUTER_BOUND('',#11726,.F.); +#11729=CARTESIAN_POINT('',(-5.465E0,-6.4E0,-1.05E1)); +#11730=DIRECTION('',(0.E0,0.E0,1.E0)); +#11731=DIRECTION('',(1.E0,0.E0,0.E0)); +#11732=AXIS2_PLACEMENT_3D('',#11729,#11730,#11731); +#11733=PLANE('',#11732); +#11734=ORIENTED_EDGE('',*,*,#7829,.F.); +#11735=ORIENTED_EDGE('',*,*,#7853,.T.); +#11736=ORIENTED_EDGE('',*,*,#7077,.T.); +#11738=ORIENTED_EDGE('',*,*,#11737,.F.); +#11739=EDGE_LOOP('',(#11734,#11735,#11736,#11738)); +#11740=FACE_OUTER_BOUND('',#11739,.F.); +#11742=CARTESIAN_POINT('',(-4.115E0,-6.4E0,-1.05E1)); +#11743=DIRECTION('',(1.E0,0.E0,0.E0)); +#11744=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11745=AXIS2_PLACEMENT_3D('',#11742,#11743,#11744); +#11746=PLANE('',#11745); +#11747=ORIENTED_EDGE('',*,*,#7831,.T.); +#11748=ORIENTED_EDGE('',*,*,#11737,.T.); +#11749=ORIENTED_EDGE('',*,*,#7075,.T.); +#11751=ORIENTED_EDGE('',*,*,#11750,.F.); +#11752=EDGE_LOOP('',(#11747,#11748,#11749,#11751)); +#11753=FACE_OUTER_BOUND('',#11752,.F.); +#11755=CARTESIAN_POINT('',(-4.115E0,-6.4E0,-1.1E1)); +#11756=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11757=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11758=AXIS2_PLACEMENT_3D('',#11755,#11756,#11757); +#11759=PLANE('',#11758); +#11760=ORIENTED_EDGE('',*,*,#7833,.F.); +#11761=ORIENTED_EDGE('',*,*,#11750,.T.); +#11762=ORIENTED_EDGE('',*,*,#7073,.T.); +#11763=ORIENTED_EDGE('',*,*,#7808,.F.); +#11764=EDGE_LOOP('',(#11760,#11761,#11762,#11763)); +#11765=FACE_OUTER_BOUND('',#11764,.F.); +#11767=CARTESIAN_POINT('',(5.946840728931E0,-6.4E0,-1.7285E1)); +#11768=DIRECTION('',(1.E0,0.E0,0.E0)); +#11769=DIRECTION('',(0.E0,0.E0,1.E0)); +#11770=AXIS2_PLACEMENT_3D('',#11767,#11768,#11769); +#11771=PLANE('',#11770); +#11772=ORIENTED_EDGE('',*,*,#7123,.F.); +#11774=ORIENTED_EDGE('',*,*,#11773,.T.); +#11776=ORIENTED_EDGE('',*,*,#11775,.T.); +#11778=ORIENTED_EDGE('',*,*,#11777,.F.); +#11779=EDGE_LOOP('',(#11772,#11774,#11776,#11778)); +#11780=FACE_OUTER_BOUND('',#11779,.F.); +#11782=CARTESIAN_POINT('',(5.486840728931E0,-6.4E0,-1.7285E1)); +#11783=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11784=DIRECTION('',(1.E0,0.E0,0.E0)); +#11785=AXIS2_PLACEMENT_3D('',#11782,#11783,#11784); +#11786=PLANE('',#11785); +#11787=ORIENTED_EDGE('',*,*,#7129,.F.); +#11789=ORIENTED_EDGE('',*,*,#11788,.T.); +#11791=ORIENTED_EDGE('',*,*,#11790,.T.); +#11792=ORIENTED_EDGE('',*,*,#11773,.F.); +#11793=EDGE_LOOP('',(#11787,#11789,#11791,#11792)); +#11794=FACE_OUTER_BOUND('',#11793,.F.); +#11796=CARTESIAN_POINT('',(5.486840728931E0,-6.4E0,-1.6935E1)); +#11797=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11798=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11799=AXIS2_PLACEMENT_3D('',#11796,#11797,#11798); +#11800=PLANE('',#11799); +#11801=ORIENTED_EDGE('',*,*,#7127,.F.); +#11803=ORIENTED_EDGE('',*,*,#11802,.T.); +#11805=ORIENTED_EDGE('',*,*,#11804,.T.); +#11806=ORIENTED_EDGE('',*,*,#11788,.F.); +#11807=EDGE_LOOP('',(#11801,#11803,#11805,#11806)); +#11808=FACE_OUTER_BOUND('',#11807,.F.); +#11810=CARTESIAN_POINT('',(5.946840728931E0,-6.4E0,-1.6935E1)); +#11811=DIRECTION('',(0.E0,0.E0,1.E0)); +#11812=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11813=AXIS2_PLACEMENT_3D('',#11810,#11811,#11812); +#11814=PLANE('',#11813); +#11815=ORIENTED_EDGE('',*,*,#7125,.F.); +#11816=ORIENTED_EDGE('',*,*,#11777,.T.); +#11818=ORIENTED_EDGE('',*,*,#11817,.T.); +#11819=ORIENTED_EDGE('',*,*,#11802,.F.); +#11820=EDGE_LOOP('',(#11815,#11816,#11818,#11819)); +#11821=FACE_OUTER_BOUND('',#11820,.F.); +#11823=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#11824=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11825=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11826=AXIS2_PLACEMENT_3D('',#11823,#11824,#11825); +#11827=PLANE('',#11826); +#11828=ORIENTED_EDGE('',*,*,#11775,.F.); +#11829=ORIENTED_EDGE('',*,*,#11790,.F.); +#11830=ORIENTED_EDGE('',*,*,#11804,.F.); +#11831=ORIENTED_EDGE('',*,*,#11817,.F.); +#11832=EDGE_LOOP('',(#11828,#11829,#11830,#11831)); +#11833=FACE_OUTER_BOUND('',#11832,.F.); +#11835=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#11836=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11837=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11838=AXIS2_PLACEMENT_3D('',#11835,#11836,#11837); +#11839=PLANE('',#11838); +#11841=ORIENTED_EDGE('',*,*,#11840,.F.); +#11843=ORIENTED_EDGE('',*,*,#11842,.F.); +#11845=ORIENTED_EDGE('',*,*,#11844,.F.); +#11847=ORIENTED_EDGE('',*,*,#11846,.F.); +#11848=EDGE_LOOP('',(#11841,#11843,#11845,#11847)); +#11849=FACE_OUTER_BOUND('',#11848,.F.); +#11851=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#11852=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11853=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11854=AXIS2_PLACEMENT_3D('',#11851,#11852,#11853); +#11855=PLANE('',#11854); +#11857=ORIENTED_EDGE('',*,*,#11856,.F.); +#11859=ORIENTED_EDGE('',*,*,#11858,.F.); +#11861=ORIENTED_EDGE('',*,*,#11860,.F.); +#11863=ORIENTED_EDGE('',*,*,#11862,.F.); +#11864=EDGE_LOOP('',(#11857,#11859,#11861,#11863)); +#11865=FACE_OUTER_BOUND('',#11864,.F.); +#11867=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#11868=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11869=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11870=AXIS2_PLACEMENT_3D('',#11867,#11868,#11869); +#11871=PLANE('',#11870); +#11873=ORIENTED_EDGE('',*,*,#11872,.F.); +#11875=ORIENTED_EDGE('',*,*,#11874,.F.); +#11877=ORIENTED_EDGE('',*,*,#11876,.F.); +#11879=ORIENTED_EDGE('',*,*,#11878,.F.); +#11880=EDGE_LOOP('',(#11873,#11875,#11877,#11879)); +#11881=FACE_OUTER_BOUND('',#11880,.F.); +#11883=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#11884=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11885=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11886=AXIS2_PLACEMENT_3D('',#11883,#11884,#11885); +#11887=PLANE('',#11886); +#11889=ORIENTED_EDGE('',*,*,#11888,.F.); +#11891=ORIENTED_EDGE('',*,*,#11890,.F.); +#11893=ORIENTED_EDGE('',*,*,#11892,.F.); +#11895=ORIENTED_EDGE('',*,*,#11894,.F.); +#11896=EDGE_LOOP('',(#11889,#11891,#11893,#11895)); +#11897=FACE_OUTER_BOUND('',#11896,.F.); +#11899=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#11900=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11901=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11902=AXIS2_PLACEMENT_3D('',#11899,#11900,#11901); +#11903=PLANE('',#11902); +#11905=ORIENTED_EDGE('',*,*,#11904,.F.); +#11907=ORIENTED_EDGE('',*,*,#11906,.F.); +#11909=ORIENTED_EDGE('',*,*,#11908,.F.); +#11911=ORIENTED_EDGE('',*,*,#11910,.F.); +#11912=EDGE_LOOP('',(#11905,#11907,#11909,#11911)); +#11913=FACE_OUTER_BOUND('',#11912,.F.); +#11915=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#11916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11918=AXIS2_PLACEMENT_3D('',#11915,#11916,#11917); +#11919=PLANE('',#11918); +#11921=ORIENTED_EDGE('',*,*,#11920,.F.); +#11923=ORIENTED_EDGE('',*,*,#11922,.F.); +#11925=ORIENTED_EDGE('',*,*,#11924,.F.); +#11927=ORIENTED_EDGE('',*,*,#11926,.F.); +#11928=EDGE_LOOP('',(#11921,#11923,#11925,#11927)); +#11929=FACE_OUTER_BOUND('',#11928,.F.); +#11931=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#11932=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11933=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11934=AXIS2_PLACEMENT_3D('',#11931,#11932,#11933); +#11935=PLANE('',#11934); +#11937=ORIENTED_EDGE('',*,*,#11936,.F.); +#11939=ORIENTED_EDGE('',*,*,#11938,.F.); +#11941=ORIENTED_EDGE('',*,*,#11940,.F.); +#11943=ORIENTED_EDGE('',*,*,#11942,.F.); +#11944=EDGE_LOOP('',(#11937,#11939,#11941,#11943)); +#11945=FACE_OUTER_BOUND('',#11944,.F.); +#11947=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#11948=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11949=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11950=AXIS2_PLACEMENT_3D('',#11947,#11948,#11949); +#11951=PLANE('',#11950); +#11953=ORIENTED_EDGE('',*,*,#11952,.F.); +#11955=ORIENTED_EDGE('',*,*,#11954,.F.); +#11957=ORIENTED_EDGE('',*,*,#11956,.F.); +#11959=ORIENTED_EDGE('',*,*,#11958,.F.); +#11960=EDGE_LOOP('',(#11953,#11955,#11957,#11959)); +#11961=FACE_OUTER_BOUND('',#11960,.F.); +#11963=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#11964=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11965=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11966=AXIS2_PLACEMENT_3D('',#11963,#11964,#11965); +#11967=PLANE('',#11966); +#11969=ORIENTED_EDGE('',*,*,#11968,.F.); +#11971=ORIENTED_EDGE('',*,*,#11970,.F.); +#11973=ORIENTED_EDGE('',*,*,#11972,.F.); +#11975=ORIENTED_EDGE('',*,*,#11974,.F.); +#11976=EDGE_LOOP('',(#11969,#11971,#11973,#11975)); +#11977=FACE_OUTER_BOUND('',#11976,.F.); +#11979=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#11980=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11981=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11982=AXIS2_PLACEMENT_3D('',#11979,#11980,#11981); +#11983=PLANE('',#11982); +#11985=ORIENTED_EDGE('',*,*,#11984,.F.); +#11987=ORIENTED_EDGE('',*,*,#11986,.F.); +#11989=ORIENTED_EDGE('',*,*,#11988,.F.); +#11991=ORIENTED_EDGE('',*,*,#11990,.F.); +#11992=EDGE_LOOP('',(#11985,#11987,#11989,#11991)); +#11993=FACE_OUTER_BOUND('',#11992,.F.); +#11995=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#11996=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11998=AXIS2_PLACEMENT_3D('',#11995,#11996,#11997); +#11999=PLANE('',#11998); +#12001=ORIENTED_EDGE('',*,*,#12000,.F.); +#12003=ORIENTED_EDGE('',*,*,#12002,.F.); +#12005=ORIENTED_EDGE('',*,*,#12004,.F.); +#12007=ORIENTED_EDGE('',*,*,#12006,.F.); +#12008=EDGE_LOOP('',(#12001,#12003,#12005,#12007)); +#12009=FACE_OUTER_BOUND('',#12008,.F.); +#12011=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#12012=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12013=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12014=AXIS2_PLACEMENT_3D('',#12011,#12012,#12013); +#12015=PLANE('',#12014); +#12017=ORIENTED_EDGE('',*,*,#12016,.F.); +#12019=ORIENTED_EDGE('',*,*,#12018,.F.); +#12021=ORIENTED_EDGE('',*,*,#12020,.F.); +#12023=ORIENTED_EDGE('',*,*,#12022,.F.); +#12024=EDGE_LOOP('',(#12017,#12019,#12021,#12023)); +#12025=FACE_OUTER_BOUND('',#12024,.F.); +#12027=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#12028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12029=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12030=AXIS2_PLACEMENT_3D('',#12027,#12028,#12029); +#12031=PLANE('',#12030); +#12033=ORIENTED_EDGE('',*,*,#12032,.F.); +#12035=ORIENTED_EDGE('',*,*,#12034,.F.); +#12037=ORIENTED_EDGE('',*,*,#12036,.F.); +#12039=ORIENTED_EDGE('',*,*,#12038,.F.); +#12040=EDGE_LOOP('',(#12033,#12035,#12037,#12039)); +#12041=FACE_OUTER_BOUND('',#12040,.F.); +#12043=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#12044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12045=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12046=AXIS2_PLACEMENT_3D('',#12043,#12044,#12045); +#12047=PLANE('',#12046); +#12049=ORIENTED_EDGE('',*,*,#12048,.F.); +#12051=ORIENTED_EDGE('',*,*,#12050,.F.); +#12053=ORIENTED_EDGE('',*,*,#12052,.F.); +#12055=ORIENTED_EDGE('',*,*,#12054,.F.); +#12056=EDGE_LOOP('',(#12049,#12051,#12053,#12055)); +#12057=FACE_OUTER_BOUND('',#12056,.F.); +#12059=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#12060=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12061=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12062=AXIS2_PLACEMENT_3D('',#12059,#12060,#12061); +#12063=PLANE('',#12062); +#12065=ORIENTED_EDGE('',*,*,#12064,.F.); +#12067=ORIENTED_EDGE('',*,*,#12066,.F.); +#12069=ORIENTED_EDGE('',*,*,#12068,.F.); +#12071=ORIENTED_EDGE('',*,*,#12070,.F.); +#12072=EDGE_LOOP('',(#12065,#12067,#12069,#12071)); +#12073=FACE_OUTER_BOUND('',#12072,.F.); +#12075=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#12076=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12077=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12078=AXIS2_PLACEMENT_3D('',#12075,#12076,#12077); +#12079=PLANE('',#12078); +#12081=ORIENTED_EDGE('',*,*,#12080,.F.); +#12083=ORIENTED_EDGE('',*,*,#12082,.F.); +#12085=ORIENTED_EDGE('',*,*,#12084,.F.); +#12087=ORIENTED_EDGE('',*,*,#12086,.F.); +#12088=EDGE_LOOP('',(#12081,#12083,#12085,#12087)); +#12089=FACE_OUTER_BOUND('',#12088,.F.); +#12091=CARTESIAN_POINT('',(0.E0,-8.799E0,0.E0)); +#12092=DIRECTION('',(0.E0,-1.E0,0.E0)); +#12093=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12094=AXIS2_PLACEMENT_3D('',#12091,#12092,#12093); +#12095=PLANE('',#12094); +#12097=ORIENTED_EDGE('',*,*,#12096,.F.); +#12099=ORIENTED_EDGE('',*,*,#12098,.F.); +#12101=ORIENTED_EDGE('',*,*,#12100,.F.); +#12103=ORIENTED_EDGE('',*,*,#12102,.F.); +#12104=EDGE_LOOP('',(#12097,#12099,#12101,#12103)); +#12105=FACE_OUTER_BOUND('',#12104,.F.); +#12107=CARTESIAN_POINT('',(5.891527302656E0,-6.4E0,-1.435E1)); +#12108=DIRECTION('',(0.E0,0.E0,1.E0)); +#12109=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12110=AXIS2_PLACEMENT_3D('',#12107,#12108,#12109); +#12111=PLANE('',#12110); +#12112=ORIENTED_EDGE('',*,*,#7133,.F.); +#12114=ORIENTED_EDGE('',*,*,#12113,.T.); +#12115=ORIENTED_EDGE('',*,*,#11840,.T.); +#12117=ORIENTED_EDGE('',*,*,#12116,.F.); +#12118=EDGE_LOOP('',(#12112,#12114,#12115,#12117)); +#12119=FACE_OUTER_BOUND('',#12118,.F.); +#12121=CARTESIAN_POINT('',(5.891527302656E0,-6.4E0,-1.481E1)); +#12122=DIRECTION('',(1.E0,0.E0,0.E0)); +#12123=DIRECTION('',(0.E0,0.E0,1.E0)); +#12124=AXIS2_PLACEMENT_3D('',#12121,#12122,#12123); +#12125=PLANE('',#12124); +#12126=ORIENTED_EDGE('',*,*,#7139,.F.); +#12128=ORIENTED_EDGE('',*,*,#12127,.T.); +#12129=ORIENTED_EDGE('',*,*,#11842,.T.); +#12130=ORIENTED_EDGE('',*,*,#12113,.F.); +#12131=EDGE_LOOP('',(#12126,#12128,#12129,#12130)); +#12132=FACE_OUTER_BOUND('',#12131,.F.); +#12134=CARTESIAN_POINT('',(5.541527302656E0,-6.4E0,-1.481E1)); +#12135=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12136=DIRECTION('',(1.E0,0.E0,0.E0)); +#12137=AXIS2_PLACEMENT_3D('',#12134,#12135,#12136); +#12138=PLANE('',#12137); +#12139=ORIENTED_EDGE('',*,*,#7137,.F.); +#12141=ORIENTED_EDGE('',*,*,#12140,.T.); +#12142=ORIENTED_EDGE('',*,*,#11844,.T.); +#12143=ORIENTED_EDGE('',*,*,#12127,.F.); +#12144=EDGE_LOOP('',(#12139,#12141,#12142,#12143)); +#12145=FACE_OUTER_BOUND('',#12144,.F.); +#12147=CARTESIAN_POINT('',(5.541527302656E0,-6.4E0,-1.435E1)); +#12148=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12150=AXIS2_PLACEMENT_3D('',#12147,#12148,#12149); +#12151=PLANE('',#12150); +#12152=ORIENTED_EDGE('',*,*,#7135,.F.); +#12153=ORIENTED_EDGE('',*,*,#12116,.T.); +#12154=ORIENTED_EDGE('',*,*,#11846,.T.); +#12155=ORIENTED_EDGE('',*,*,#12140,.F.); +#12156=EDGE_LOOP('',(#12152,#12153,#12154,#12155)); +#12157=FACE_OUTER_BOUND('',#12156,.F.); +#12159=CARTESIAN_POINT('',(3.351527302656E0,-6.4E0,-1.308E1)); +#12160=DIRECTION('',(0.E0,0.E0,1.E0)); +#12161=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12162=AXIS2_PLACEMENT_3D('',#12159,#12160,#12161); +#12163=PLANE('',#12162); +#12164=ORIENTED_EDGE('',*,*,#7143,.F.); +#12166=ORIENTED_EDGE('',*,*,#12165,.T.); +#12167=ORIENTED_EDGE('',*,*,#11856,.T.); +#12169=ORIENTED_EDGE('',*,*,#12168,.F.); +#12170=EDGE_LOOP('',(#12164,#12166,#12167,#12169)); +#12171=FACE_OUTER_BOUND('',#12170,.F.); +#12173=CARTESIAN_POINT('',(3.351527302656E0,-6.4E0,-1.354E1)); +#12174=DIRECTION('',(1.E0,0.E0,0.E0)); +#12175=DIRECTION('',(0.E0,0.E0,1.E0)); +#12176=AXIS2_PLACEMENT_3D('',#12173,#12174,#12175); +#12177=PLANE('',#12176); +#12178=ORIENTED_EDGE('',*,*,#7149,.F.); +#12180=ORIENTED_EDGE('',*,*,#12179,.T.); +#12181=ORIENTED_EDGE('',*,*,#11858,.T.); +#12182=ORIENTED_EDGE('',*,*,#12165,.F.); +#12183=EDGE_LOOP('',(#12178,#12180,#12181,#12182)); +#12184=FACE_OUTER_BOUND('',#12183,.F.); +#12186=CARTESIAN_POINT('',(3.001527302656E0,-6.4E0,-1.354E1)); +#12187=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12188=DIRECTION('',(1.E0,0.E0,0.E0)); +#12189=AXIS2_PLACEMENT_3D('',#12186,#12187,#12188); +#12190=PLANE('',#12189); +#12191=ORIENTED_EDGE('',*,*,#7147,.F.); +#12193=ORIENTED_EDGE('',*,*,#12192,.T.); +#12194=ORIENTED_EDGE('',*,*,#11860,.T.); +#12195=ORIENTED_EDGE('',*,*,#12179,.F.); +#12196=EDGE_LOOP('',(#12191,#12193,#12194,#12195)); +#12197=FACE_OUTER_BOUND('',#12196,.F.); +#12199=CARTESIAN_POINT('',(3.001527302656E0,-6.4E0,-1.308E1)); +#12200=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12202=AXIS2_PLACEMENT_3D('',#12199,#12200,#12201); +#12203=PLANE('',#12202); +#12204=ORIENTED_EDGE('',*,*,#7145,.F.); +#12205=ORIENTED_EDGE('',*,*,#12168,.T.); +#12206=ORIENTED_EDGE('',*,*,#11862,.T.); +#12207=ORIENTED_EDGE('',*,*,#12192,.F.); +#12208=EDGE_LOOP('',(#12204,#12205,#12206,#12207)); +#12209=FACE_OUTER_BOUND('',#12208,.F.); +#12211=CARTESIAN_POINT('',(3.406528581622E0,-6.4E0,-1.7285E1)); +#12212=DIRECTION('',(1.E0,0.E0,0.E0)); +#12213=DIRECTION('',(0.E0,0.E0,1.E0)); +#12214=AXIS2_PLACEMENT_3D('',#12211,#12212,#12213); +#12215=PLANE('',#12214); +#12216=ORIENTED_EDGE('',*,*,#7153,.F.); +#12218=ORIENTED_EDGE('',*,*,#12217,.T.); +#12219=ORIENTED_EDGE('',*,*,#11872,.T.); +#12221=ORIENTED_EDGE('',*,*,#12220,.F.); +#12222=EDGE_LOOP('',(#12216,#12218,#12219,#12221)); +#12223=FACE_OUTER_BOUND('',#12222,.F.); +#12225=CARTESIAN_POINT('',(2.946528581622E0,-6.4E0,-1.7285E1)); +#12226=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12227=DIRECTION('',(1.E0,0.E0,0.E0)); +#12228=AXIS2_PLACEMENT_3D('',#12225,#12226,#12227); +#12229=PLANE('',#12228); +#12230=ORIENTED_EDGE('',*,*,#7159,.F.); +#12232=ORIENTED_EDGE('',*,*,#12231,.T.); +#12233=ORIENTED_EDGE('',*,*,#11874,.T.); +#12234=ORIENTED_EDGE('',*,*,#12217,.F.); +#12235=EDGE_LOOP('',(#12230,#12232,#12233,#12234)); +#12236=FACE_OUTER_BOUND('',#12235,.F.); +#12238=CARTESIAN_POINT('',(2.946528581622E0,-6.4E0,-1.6935E1)); +#12239=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12240=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12241=AXIS2_PLACEMENT_3D('',#12238,#12239,#12240); +#12242=PLANE('',#12241); +#12243=ORIENTED_EDGE('',*,*,#7157,.F.); +#12245=ORIENTED_EDGE('',*,*,#12244,.T.); +#12246=ORIENTED_EDGE('',*,*,#11876,.T.); +#12247=ORIENTED_EDGE('',*,*,#12231,.F.); +#12248=EDGE_LOOP('',(#12243,#12245,#12246,#12247)); +#12249=FACE_OUTER_BOUND('',#12248,.F.); +#12251=CARTESIAN_POINT('',(3.406528581622E0,-6.4E0,-1.6935E1)); +#12252=DIRECTION('',(0.E0,0.E0,1.E0)); +#12253=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12254=AXIS2_PLACEMENT_3D('',#12251,#12252,#12253); +#12255=PLANE('',#12254); +#12256=ORIENTED_EDGE('',*,*,#7155,.F.); +#12257=ORIENTED_EDGE('',*,*,#12220,.T.); +#12258=ORIENTED_EDGE('',*,*,#11878,.T.); +#12259=ORIENTED_EDGE('',*,*,#12244,.F.); +#12260=EDGE_LOOP('',(#12256,#12257,#12258,#12259)); +#12261=FACE_OUTER_BOUND('',#12260,.F.); +#12263=CARTESIAN_POINT('',(4.676528581620E0,-6.4E0,-1.9825E1)); +#12264=DIRECTION('',(1.E0,0.E0,0.E0)); +#12265=DIRECTION('',(0.E0,0.E0,1.E0)); +#12266=AXIS2_PLACEMENT_3D('',#12263,#12264,#12265); +#12267=PLANE('',#12266); +#12268=ORIENTED_EDGE('',*,*,#7163,.F.); +#12270=ORIENTED_EDGE('',*,*,#12269,.T.); +#12271=ORIENTED_EDGE('',*,*,#11888,.T.); +#12273=ORIENTED_EDGE('',*,*,#12272,.F.); +#12274=EDGE_LOOP('',(#12268,#12270,#12271,#12273)); +#12275=FACE_OUTER_BOUND('',#12274,.F.); +#12277=CARTESIAN_POINT('',(4.216528581620E0,-6.4E0,-1.9825E1)); +#12278=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12279=DIRECTION('',(1.E0,0.E0,0.E0)); +#12280=AXIS2_PLACEMENT_3D('',#12277,#12278,#12279); +#12281=PLANE('',#12280); +#12282=ORIENTED_EDGE('',*,*,#7169,.F.); +#12284=ORIENTED_EDGE('',*,*,#12283,.T.); +#12285=ORIENTED_EDGE('',*,*,#11890,.T.); +#12286=ORIENTED_EDGE('',*,*,#12269,.F.); +#12287=EDGE_LOOP('',(#12282,#12284,#12285,#12286)); +#12288=FACE_OUTER_BOUND('',#12287,.F.); +#12290=CARTESIAN_POINT('',(4.216528581620E0,-6.4E0,-1.9475E1)); +#12291=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12292=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12293=AXIS2_PLACEMENT_3D('',#12290,#12291,#12292); +#12294=PLANE('',#12293); +#12295=ORIENTED_EDGE('',*,*,#7167,.F.); +#12297=ORIENTED_EDGE('',*,*,#12296,.T.); +#12298=ORIENTED_EDGE('',*,*,#11892,.T.); +#12299=ORIENTED_EDGE('',*,*,#12283,.F.); +#12300=EDGE_LOOP('',(#12295,#12297,#12298,#12299)); +#12301=FACE_OUTER_BOUND('',#12300,.F.); +#12303=CARTESIAN_POINT('',(4.676528581620E0,-6.4E0,-1.9475E1)); +#12304=DIRECTION('',(0.E0,0.E0,1.E0)); +#12305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12306=AXIS2_PLACEMENT_3D('',#12303,#12304,#12305); +#12307=PLANE('',#12306); +#12308=ORIENTED_EDGE('',*,*,#7165,.F.); +#12309=ORIENTED_EDGE('',*,*,#12272,.T.); +#12310=ORIENTED_EDGE('',*,*,#11894,.T.); +#12311=ORIENTED_EDGE('',*,*,#12296,.F.); +#12312=EDGE_LOOP('',(#12308,#12309,#12310,#12311)); +#12313=FACE_OUTER_BOUND('',#12312,.F.); +#12315=CARTESIAN_POINT('',(2.136528581620E0,-6.4E0,-1.9825E1)); +#12316=DIRECTION('',(1.E0,0.E0,0.E0)); +#12317=DIRECTION('',(0.E0,0.E0,1.E0)); +#12318=AXIS2_PLACEMENT_3D('',#12315,#12316,#12317); +#12319=PLANE('',#12318); +#12320=ORIENTED_EDGE('',*,*,#7173,.F.); +#12322=ORIENTED_EDGE('',*,*,#12321,.T.); +#12323=ORIENTED_EDGE('',*,*,#11904,.T.); +#12325=ORIENTED_EDGE('',*,*,#12324,.F.); +#12326=EDGE_LOOP('',(#12320,#12322,#12323,#12325)); +#12327=FACE_OUTER_BOUND('',#12326,.F.); +#12329=CARTESIAN_POINT('',(1.676528581620E0,-6.4E0,-1.9825E1)); +#12330=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12331=DIRECTION('',(1.E0,0.E0,0.E0)); +#12332=AXIS2_PLACEMENT_3D('',#12329,#12330,#12331); +#12333=PLANE('',#12332); +#12334=ORIENTED_EDGE('',*,*,#7179,.F.); +#12336=ORIENTED_EDGE('',*,*,#12335,.T.); +#12337=ORIENTED_EDGE('',*,*,#11906,.T.); +#12338=ORIENTED_EDGE('',*,*,#12321,.F.); +#12339=EDGE_LOOP('',(#12334,#12336,#12337,#12338)); +#12340=FACE_OUTER_BOUND('',#12339,.F.); +#12342=CARTESIAN_POINT('',(1.676528581620E0,-6.4E0,-1.9475E1)); +#12343=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12344=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12345=AXIS2_PLACEMENT_3D('',#12342,#12343,#12344); +#12346=PLANE('',#12345); +#12347=ORIENTED_EDGE('',*,*,#7177,.F.); +#12349=ORIENTED_EDGE('',*,*,#12348,.T.); +#12350=ORIENTED_EDGE('',*,*,#11908,.T.); +#12351=ORIENTED_EDGE('',*,*,#12335,.F.); +#12352=EDGE_LOOP('',(#12347,#12349,#12350,#12351)); +#12353=FACE_OUTER_BOUND('',#12352,.F.); +#12355=CARTESIAN_POINT('',(2.136528581620E0,-6.4E0,-1.9475E1)); +#12356=DIRECTION('',(0.E0,0.E0,1.E0)); +#12357=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12358=AXIS2_PLACEMENT_3D('',#12355,#12356,#12357); +#12359=PLANE('',#12358); +#12360=ORIENTED_EDGE('',*,*,#7175,.F.); +#12361=ORIENTED_EDGE('',*,*,#12324,.T.); +#12362=ORIENTED_EDGE('',*,*,#11910,.T.); +#12363=ORIENTED_EDGE('',*,*,#12348,.F.); +#12364=EDGE_LOOP('',(#12360,#12361,#12362,#12363)); +#12365=FACE_OUTER_BOUND('',#12364,.F.); +#12367=CARTESIAN_POINT('',(8.665285816220E-1,-6.4E0,-1.7285E1)); +#12368=DIRECTION('',(1.E0,0.E0,0.E0)); +#12369=DIRECTION('',(0.E0,0.E0,1.E0)); +#12370=AXIS2_PLACEMENT_3D('',#12367,#12368,#12369); +#12371=PLANE('',#12370); +#12372=ORIENTED_EDGE('',*,*,#7183,.F.); +#12374=ORIENTED_EDGE('',*,*,#12373,.T.); +#12375=ORIENTED_EDGE('',*,*,#11920,.T.); +#12377=ORIENTED_EDGE('',*,*,#12376,.F.); +#12378=EDGE_LOOP('',(#12372,#12374,#12375,#12377)); +#12379=FACE_OUTER_BOUND('',#12378,.F.); +#12381=CARTESIAN_POINT('',(4.065285816220E-1,-6.4E0,-1.7285E1)); +#12382=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12383=DIRECTION('',(1.E0,0.E0,0.E0)); +#12384=AXIS2_PLACEMENT_3D('',#12381,#12382,#12383); +#12385=PLANE('',#12384); +#12386=ORIENTED_EDGE('',*,*,#7189,.F.); +#12388=ORIENTED_EDGE('',*,*,#12387,.T.); +#12389=ORIENTED_EDGE('',*,*,#11922,.T.); +#12390=ORIENTED_EDGE('',*,*,#12373,.F.); +#12391=EDGE_LOOP('',(#12386,#12388,#12389,#12390)); +#12392=FACE_OUTER_BOUND('',#12391,.F.); +#12394=CARTESIAN_POINT('',(4.065285816220E-1,-6.4E0,-1.6935E1)); +#12395=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12396=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12397=AXIS2_PLACEMENT_3D('',#12394,#12395,#12396); +#12398=PLANE('',#12397); +#12399=ORIENTED_EDGE('',*,*,#7187,.F.); +#12401=ORIENTED_EDGE('',*,*,#12400,.T.); +#12402=ORIENTED_EDGE('',*,*,#11924,.T.); +#12403=ORIENTED_EDGE('',*,*,#12387,.F.); +#12404=EDGE_LOOP('',(#12399,#12401,#12402,#12403)); +#12405=FACE_OUTER_BOUND('',#12404,.F.); +#12407=CARTESIAN_POINT('',(8.665285816220E-1,-6.4E0,-1.6935E1)); +#12408=DIRECTION('',(0.E0,0.E0,1.E0)); +#12409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12410=AXIS2_PLACEMENT_3D('',#12407,#12408,#12409); +#12411=PLANE('',#12410); +#12412=ORIENTED_EDGE('',*,*,#7185,.F.); +#12413=ORIENTED_EDGE('',*,*,#12376,.T.); +#12414=ORIENTED_EDGE('',*,*,#11926,.T.); +#12415=ORIENTED_EDGE('',*,*,#12400,.F.); +#12416=EDGE_LOOP('',(#12412,#12413,#12414,#12415)); +#12417=FACE_OUTER_BOUND('',#12416,.F.); +#12419=CARTESIAN_POINT('',(6.89E0,-6.4E0,-6.435E0)); +#12420=DIRECTION('',(0.E0,0.E0,1.E0)); +#12421=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12422=AXIS2_PLACEMENT_3D('',#12419,#12420,#12421); +#12423=PLANE('',#12422); +#12424=ORIENTED_EDGE('',*,*,#7193,.F.); +#12426=ORIENTED_EDGE('',*,*,#12425,.T.); +#12427=ORIENTED_EDGE('',*,*,#11936,.T.); +#12429=ORIENTED_EDGE('',*,*,#12428,.F.); +#12430=EDGE_LOOP('',(#12424,#12426,#12427,#12429)); +#12431=FACE_OUTER_BOUND('',#12430,.F.); +#12433=CARTESIAN_POINT('',(6.89E0,-6.4E0,-6.935E0)); +#12434=DIRECTION('',(1.E0,0.E0,0.E0)); +#12435=DIRECTION('',(0.E0,0.E0,1.E0)); +#12436=AXIS2_PLACEMENT_3D('',#12433,#12434,#12435); +#12437=PLANE('',#12436); +#12438=ORIENTED_EDGE('',*,*,#7199,.F.); +#12440=ORIENTED_EDGE('',*,*,#12439,.T.); +#12441=ORIENTED_EDGE('',*,*,#11938,.T.); +#12442=ORIENTED_EDGE('',*,*,#12425,.F.); +#12443=EDGE_LOOP('',(#12438,#12440,#12441,#12442)); +#12444=FACE_OUTER_BOUND('',#12443,.F.); +#12446=CARTESIAN_POINT('',(6.39E0,-6.4E0,-6.935E0)); +#12447=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12448=DIRECTION('',(1.E0,0.E0,0.E0)); +#12449=AXIS2_PLACEMENT_3D('',#12446,#12447,#12448); +#12450=PLANE('',#12449); +#12451=ORIENTED_EDGE('',*,*,#7197,.F.); +#12453=ORIENTED_EDGE('',*,*,#12452,.T.); +#12454=ORIENTED_EDGE('',*,*,#11940,.T.); +#12455=ORIENTED_EDGE('',*,*,#12439,.F.); +#12456=EDGE_LOOP('',(#12451,#12453,#12454,#12455)); +#12457=FACE_OUTER_BOUND('',#12456,.F.); +#12459=CARTESIAN_POINT('',(6.39E0,-6.4E0,-6.435E0)); +#12460=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12461=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12462=AXIS2_PLACEMENT_3D('',#12459,#12460,#12461); +#12463=PLANE('',#12462); +#12464=ORIENTED_EDGE('',*,*,#7195,.F.); +#12465=ORIENTED_EDGE('',*,*,#12428,.T.); +#12466=ORIENTED_EDGE('',*,*,#11942,.T.); +#12467=ORIENTED_EDGE('',*,*,#12452,.F.); +#12468=EDGE_LOOP('',(#12464,#12465,#12466,#12467)); +#12469=FACE_OUTER_BOUND('',#12468,.F.); +#12471=CARTESIAN_POINT('',(4.35E0,-6.4E0,-6.435E0)); +#12472=DIRECTION('',(0.E0,0.E0,1.E0)); +#12473=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12474=AXIS2_PLACEMENT_3D('',#12471,#12472,#12473); +#12475=PLANE('',#12474); +#12476=ORIENTED_EDGE('',*,*,#7203,.F.); +#12478=ORIENTED_EDGE('',*,*,#12477,.T.); +#12479=ORIENTED_EDGE('',*,*,#11952,.T.); +#12481=ORIENTED_EDGE('',*,*,#12480,.F.); +#12482=EDGE_LOOP('',(#12476,#12478,#12479,#12481)); +#12483=FACE_OUTER_BOUND('',#12482,.F.); +#12485=CARTESIAN_POINT('',(4.35E0,-6.4E0,-6.935E0)); +#12486=DIRECTION('',(1.E0,0.E0,0.E0)); +#12487=DIRECTION('',(0.E0,0.E0,1.E0)); +#12488=AXIS2_PLACEMENT_3D('',#12485,#12486,#12487); +#12489=PLANE('',#12488); +#12490=ORIENTED_EDGE('',*,*,#7209,.F.); +#12492=ORIENTED_EDGE('',*,*,#12491,.T.); +#12493=ORIENTED_EDGE('',*,*,#11954,.T.); +#12494=ORIENTED_EDGE('',*,*,#12477,.F.); +#12495=EDGE_LOOP('',(#12490,#12492,#12493,#12494)); +#12496=FACE_OUTER_BOUND('',#12495,.F.); +#12498=CARTESIAN_POINT('',(3.85E0,-6.4E0,-6.935E0)); +#12499=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12500=DIRECTION('',(1.E0,0.E0,0.E0)); +#12501=AXIS2_PLACEMENT_3D('',#12498,#12499,#12500); +#12502=PLANE('',#12501); +#12503=ORIENTED_EDGE('',*,*,#7207,.F.); +#12505=ORIENTED_EDGE('',*,*,#12504,.T.); +#12506=ORIENTED_EDGE('',*,*,#11956,.T.); +#12507=ORIENTED_EDGE('',*,*,#12491,.F.); +#12508=EDGE_LOOP('',(#12503,#12505,#12506,#12507)); +#12509=FACE_OUTER_BOUND('',#12508,.F.); +#12511=CARTESIAN_POINT('',(3.85E0,-6.4E0,-6.435E0)); +#12512=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12513=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12514=AXIS2_PLACEMENT_3D('',#12511,#12512,#12513); +#12515=PLANE('',#12514); +#12516=ORIENTED_EDGE('',*,*,#7205,.F.); +#12517=ORIENTED_EDGE('',*,*,#12480,.T.); +#12518=ORIENTED_EDGE('',*,*,#11958,.T.); +#12519=ORIENTED_EDGE('',*,*,#12504,.F.); +#12520=EDGE_LOOP('',(#12516,#12517,#12518,#12519)); +#12521=FACE_OUTER_BOUND('',#12520,.F.); +#12523=CARTESIAN_POINT('',(-6.89E0,-6.4E0,-6.435E0)); +#12524=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12526=AXIS2_PLACEMENT_3D('',#12523,#12524,#12525); +#12527=PLANE('',#12526); +#12528=ORIENTED_EDGE('',*,*,#7213,.F.); +#12530=ORIENTED_EDGE('',*,*,#12529,.T.); +#12531=ORIENTED_EDGE('',*,*,#11968,.T.); +#12533=ORIENTED_EDGE('',*,*,#12532,.F.); +#12534=EDGE_LOOP('',(#12528,#12530,#12531,#12533)); +#12535=FACE_OUTER_BOUND('',#12534,.F.); +#12537=CARTESIAN_POINT('',(-6.39E0,-6.4E0,-6.435E0)); +#12538=DIRECTION('',(0.E0,0.E0,1.E0)); +#12539=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12540=AXIS2_PLACEMENT_3D('',#12537,#12538,#12539); +#12541=PLANE('',#12540); +#12542=ORIENTED_EDGE('',*,*,#7219,.F.); +#12544=ORIENTED_EDGE('',*,*,#12543,.T.); +#12545=ORIENTED_EDGE('',*,*,#11970,.T.); +#12546=ORIENTED_EDGE('',*,*,#12529,.F.); +#12547=EDGE_LOOP('',(#12542,#12544,#12545,#12546)); +#12548=FACE_OUTER_BOUND('',#12547,.F.); +#12550=CARTESIAN_POINT('',(-6.39E0,-6.4E0,-6.935E0)); +#12551=DIRECTION('',(1.E0,0.E0,0.E0)); +#12552=DIRECTION('',(0.E0,0.E0,1.E0)); +#12553=AXIS2_PLACEMENT_3D('',#12550,#12551,#12552); +#12554=PLANE('',#12553); +#12555=ORIENTED_EDGE('',*,*,#7217,.F.); +#12557=ORIENTED_EDGE('',*,*,#12556,.T.); +#12558=ORIENTED_EDGE('',*,*,#11972,.T.); +#12559=ORIENTED_EDGE('',*,*,#12543,.F.); +#12560=EDGE_LOOP('',(#12555,#12557,#12558,#12559)); +#12561=FACE_OUTER_BOUND('',#12560,.F.); +#12563=CARTESIAN_POINT('',(-6.89E0,-6.4E0,-6.935E0)); +#12564=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12565=DIRECTION('',(1.E0,0.E0,0.E0)); +#12566=AXIS2_PLACEMENT_3D('',#12563,#12564,#12565); +#12567=PLANE('',#12566); +#12568=ORIENTED_EDGE('',*,*,#7215,.F.); +#12569=ORIENTED_EDGE('',*,*,#12532,.T.); +#12570=ORIENTED_EDGE('',*,*,#11974,.T.); +#12571=ORIENTED_EDGE('',*,*,#12556,.F.); +#12572=EDGE_LOOP('',(#12568,#12569,#12570,#12571)); +#12573=FACE_OUTER_BOUND('',#12572,.F.); +#12575=CARTESIAN_POINT('',(-4.35E0,-6.4E0,-6.435E0)); +#12576=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12577=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12578=AXIS2_PLACEMENT_3D('',#12575,#12576,#12577); +#12579=PLANE('',#12578); +#12580=ORIENTED_EDGE('',*,*,#7223,.F.); +#12582=ORIENTED_EDGE('',*,*,#12581,.T.); +#12583=ORIENTED_EDGE('',*,*,#11984,.T.); +#12585=ORIENTED_EDGE('',*,*,#12584,.F.); +#12586=EDGE_LOOP('',(#12580,#12582,#12583,#12585)); +#12587=FACE_OUTER_BOUND('',#12586,.F.); +#12589=CARTESIAN_POINT('',(-3.85E0,-6.4E0,-6.435E0)); +#12590=DIRECTION('',(0.E0,0.E0,1.E0)); +#12591=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12592=AXIS2_PLACEMENT_3D('',#12589,#12590,#12591); +#12593=PLANE('',#12592); +#12594=ORIENTED_EDGE('',*,*,#7229,.F.); +#12596=ORIENTED_EDGE('',*,*,#12595,.T.); +#12597=ORIENTED_EDGE('',*,*,#11986,.T.); +#12598=ORIENTED_EDGE('',*,*,#12581,.F.); +#12599=EDGE_LOOP('',(#12594,#12596,#12597,#12598)); +#12600=FACE_OUTER_BOUND('',#12599,.F.); +#12602=CARTESIAN_POINT('',(-3.85E0,-6.4E0,-6.935E0)); +#12603=DIRECTION('',(1.E0,0.E0,0.E0)); +#12604=DIRECTION('',(0.E0,0.E0,1.E0)); +#12605=AXIS2_PLACEMENT_3D('',#12602,#12603,#12604); +#12606=PLANE('',#12605); +#12607=ORIENTED_EDGE('',*,*,#7227,.F.); +#12609=ORIENTED_EDGE('',*,*,#12608,.T.); +#12610=ORIENTED_EDGE('',*,*,#11988,.T.); +#12611=ORIENTED_EDGE('',*,*,#12595,.F.); +#12612=EDGE_LOOP('',(#12607,#12609,#12610,#12611)); +#12613=FACE_OUTER_BOUND('',#12612,.F.); +#12615=CARTESIAN_POINT('',(-4.35E0,-6.4E0,-6.935E0)); +#12616=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12617=DIRECTION('',(1.E0,0.E0,0.E0)); +#12618=AXIS2_PLACEMENT_3D('',#12615,#12616,#12617); +#12619=PLANE('',#12618); +#12620=ORIENTED_EDGE('',*,*,#7225,.F.); +#12621=ORIENTED_EDGE('',*,*,#12584,.T.); +#12622=ORIENTED_EDGE('',*,*,#11990,.T.); +#12623=ORIENTED_EDGE('',*,*,#12608,.F.); +#12624=EDGE_LOOP('',(#12620,#12621,#12622,#12623)); +#12625=FACE_OUTER_BOUND('',#12624,.F.); +#12627=CARTESIAN_POINT('',(-4.034714183780E-1,-6.4E0,-1.9825E1)); +#12628=DIRECTION('',(1.E0,0.E0,0.E0)); +#12629=DIRECTION('',(0.E0,0.E0,1.E0)); +#12630=AXIS2_PLACEMENT_3D('',#12627,#12628,#12629); +#12631=PLANE('',#12630); +#12632=ORIENTED_EDGE('',*,*,#7233,.F.); +#12634=ORIENTED_EDGE('',*,*,#12633,.T.); +#12635=ORIENTED_EDGE('',*,*,#12000,.T.); +#12637=ORIENTED_EDGE('',*,*,#12636,.F.); +#12638=EDGE_LOOP('',(#12632,#12634,#12635,#12637)); +#12639=FACE_OUTER_BOUND('',#12638,.F.); +#12641=CARTESIAN_POINT('',(-8.634714183780E-1,-6.4E0,-1.9825E1)); +#12642=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12643=DIRECTION('',(1.E0,0.E0,0.E0)); +#12644=AXIS2_PLACEMENT_3D('',#12641,#12642,#12643); +#12645=PLANE('',#12644); +#12646=ORIENTED_EDGE('',*,*,#7239,.F.); +#12648=ORIENTED_EDGE('',*,*,#12647,.T.); +#12649=ORIENTED_EDGE('',*,*,#12002,.T.); +#12650=ORIENTED_EDGE('',*,*,#12633,.F.); +#12651=EDGE_LOOP('',(#12646,#12648,#12649,#12650)); +#12652=FACE_OUTER_BOUND('',#12651,.F.); +#12654=CARTESIAN_POINT('',(-8.634714183780E-1,-6.4E0,-1.9475E1)); +#12655=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12656=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12657=AXIS2_PLACEMENT_3D('',#12654,#12655,#12656); +#12658=PLANE('',#12657); +#12659=ORIENTED_EDGE('',*,*,#7237,.F.); +#12661=ORIENTED_EDGE('',*,*,#12660,.T.); +#12662=ORIENTED_EDGE('',*,*,#12004,.T.); +#12663=ORIENTED_EDGE('',*,*,#12647,.F.); +#12664=EDGE_LOOP('',(#12659,#12661,#12662,#12663)); +#12665=FACE_OUTER_BOUND('',#12664,.F.); +#12667=CARTESIAN_POINT('',(-4.034714183780E-1,-6.4E0,-1.9475E1)); +#12668=DIRECTION('',(0.E0,0.E0,1.E0)); +#12669=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12670=AXIS2_PLACEMENT_3D('',#12667,#12668,#12669); +#12671=PLANE('',#12670); +#12672=ORIENTED_EDGE('',*,*,#7235,.F.); +#12673=ORIENTED_EDGE('',*,*,#12636,.T.); +#12674=ORIENTED_EDGE('',*,*,#12006,.T.); +#12675=ORIENTED_EDGE('',*,*,#12660,.F.); +#12676=EDGE_LOOP('',(#12672,#12673,#12674,#12675)); +#12677=FACE_OUTER_BOUND('',#12676,.F.); +#12679=CARTESIAN_POINT('',(-4.673471418378E0,-6.4E0,-1.7285E1)); +#12680=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12681=DIRECTION('',(1.E0,0.E0,0.E0)); +#12682=AXIS2_PLACEMENT_3D('',#12679,#12680,#12681); +#12683=PLANE('',#12682); +#12684=ORIENTED_EDGE('',*,*,#7243,.F.); +#12686=ORIENTED_EDGE('',*,*,#12685,.T.); +#12687=ORIENTED_EDGE('',*,*,#12016,.T.); +#12689=ORIENTED_EDGE('',*,*,#12688,.F.); +#12690=EDGE_LOOP('',(#12684,#12686,#12687,#12689)); +#12691=FACE_OUTER_BOUND('',#12690,.F.); +#12693=CARTESIAN_POINT('',(-4.673471418378E0,-6.4E0,-1.6935E1)); +#12694=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12695=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12696=AXIS2_PLACEMENT_3D('',#12693,#12694,#12695); +#12697=PLANE('',#12696); +#12698=ORIENTED_EDGE('',*,*,#7249,.F.); +#12700=ORIENTED_EDGE('',*,*,#12699,.T.); +#12701=ORIENTED_EDGE('',*,*,#12018,.T.); +#12702=ORIENTED_EDGE('',*,*,#12685,.F.); +#12703=EDGE_LOOP('',(#12698,#12700,#12701,#12702)); +#12704=FACE_OUTER_BOUND('',#12703,.F.); +#12706=CARTESIAN_POINT('',(-4.213471418378E0,-6.4E0,-1.6935E1)); +#12707=DIRECTION('',(0.E0,0.E0,1.E0)); +#12708=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12709=AXIS2_PLACEMENT_3D('',#12706,#12707,#12708); +#12710=PLANE('',#12709); +#12711=ORIENTED_EDGE('',*,*,#7247,.F.); +#12713=ORIENTED_EDGE('',*,*,#12712,.T.); +#12714=ORIENTED_EDGE('',*,*,#12020,.T.); +#12715=ORIENTED_EDGE('',*,*,#12699,.F.); +#12716=EDGE_LOOP('',(#12711,#12713,#12714,#12715)); +#12717=FACE_OUTER_BOUND('',#12716,.F.); +#12719=CARTESIAN_POINT('',(-4.213471418378E0,-6.4E0,-1.7285E1)); +#12720=DIRECTION('',(1.E0,0.E0,0.E0)); +#12721=DIRECTION('',(0.E0,0.E0,1.E0)); +#12722=AXIS2_PLACEMENT_3D('',#12719,#12720,#12721); +#12723=PLANE('',#12722); +#12724=ORIENTED_EDGE('',*,*,#7245,.F.); +#12725=ORIENTED_EDGE('',*,*,#12688,.T.); +#12726=ORIENTED_EDGE('',*,*,#12022,.T.); +#12727=ORIENTED_EDGE('',*,*,#12712,.F.); +#12728=EDGE_LOOP('',(#12724,#12725,#12726,#12727)); +#12729=FACE_OUTER_BOUND('',#12728,.F.); +#12731=CARTESIAN_POINT('',(-5.943471418376E0,-6.4E0,-1.9825E1)); +#12732=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12733=DIRECTION('',(1.E0,0.E0,0.E0)); +#12734=AXIS2_PLACEMENT_3D('',#12731,#12732,#12733); +#12735=PLANE('',#12734); +#12736=ORIENTED_EDGE('',*,*,#7253,.F.); +#12738=ORIENTED_EDGE('',*,*,#12737,.T.); +#12739=ORIENTED_EDGE('',*,*,#12032,.T.); +#12741=ORIENTED_EDGE('',*,*,#12740,.F.); +#12742=EDGE_LOOP('',(#12736,#12738,#12739,#12741)); +#12743=FACE_OUTER_BOUND('',#12742,.F.); +#12745=CARTESIAN_POINT('',(-5.943471418376E0,-6.4E0,-1.9475E1)); +#12746=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12747=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12748=AXIS2_PLACEMENT_3D('',#12745,#12746,#12747); +#12749=PLANE('',#12748); +#12750=ORIENTED_EDGE('',*,*,#7259,.F.); +#12752=ORIENTED_EDGE('',*,*,#12751,.T.); +#12753=ORIENTED_EDGE('',*,*,#12034,.T.); +#12754=ORIENTED_EDGE('',*,*,#12737,.F.); +#12755=EDGE_LOOP('',(#12750,#12752,#12753,#12754)); +#12756=FACE_OUTER_BOUND('',#12755,.F.); +#12758=CARTESIAN_POINT('',(-5.483471418376E0,-6.4E0,-1.9475E1)); +#12759=DIRECTION('',(0.E0,0.E0,1.E0)); +#12760=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12761=AXIS2_PLACEMENT_3D('',#12758,#12759,#12760); +#12762=PLANE('',#12761); +#12763=ORIENTED_EDGE('',*,*,#7257,.F.); +#12765=ORIENTED_EDGE('',*,*,#12764,.T.); +#12766=ORIENTED_EDGE('',*,*,#12036,.T.); +#12767=ORIENTED_EDGE('',*,*,#12751,.F.); +#12768=EDGE_LOOP('',(#12763,#12765,#12766,#12767)); +#12769=FACE_OUTER_BOUND('',#12768,.F.); +#12771=CARTESIAN_POINT('',(-5.483471418376E0,-6.4E0,-1.9825E1)); +#12772=DIRECTION('',(1.E0,0.E0,0.E0)); +#12773=DIRECTION('',(0.E0,0.E0,1.E0)); +#12774=AXIS2_PLACEMENT_3D('',#12771,#12772,#12773); +#12775=PLANE('',#12774); +#12776=ORIENTED_EDGE('',*,*,#7255,.F.); +#12777=ORIENTED_EDGE('',*,*,#12740,.T.); +#12778=ORIENTED_EDGE('',*,*,#12038,.T.); +#12779=ORIENTED_EDGE('',*,*,#12764,.F.); +#12780=EDGE_LOOP('',(#12776,#12777,#12778,#12779)); +#12781=FACE_OUTER_BOUND('',#12780,.F.); +#12783=CARTESIAN_POINT('',(-3.403471418376E0,-6.4E0,-1.9825E1)); +#12784=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12785=DIRECTION('',(1.E0,0.E0,0.E0)); +#12786=AXIS2_PLACEMENT_3D('',#12783,#12784,#12785); +#12787=PLANE('',#12786); +#12788=ORIENTED_EDGE('',*,*,#7263,.F.); +#12790=ORIENTED_EDGE('',*,*,#12789,.T.); +#12791=ORIENTED_EDGE('',*,*,#12048,.T.); +#12793=ORIENTED_EDGE('',*,*,#12792,.F.); +#12794=EDGE_LOOP('',(#12788,#12790,#12791,#12793)); +#12795=FACE_OUTER_BOUND('',#12794,.F.); +#12797=CARTESIAN_POINT('',(-3.403471418376E0,-6.4E0,-1.9475E1)); +#12798=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12799=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12800=AXIS2_PLACEMENT_3D('',#12797,#12798,#12799); +#12801=PLANE('',#12800); +#12802=ORIENTED_EDGE('',*,*,#7269,.F.); +#12804=ORIENTED_EDGE('',*,*,#12803,.T.); +#12805=ORIENTED_EDGE('',*,*,#12050,.T.); +#12806=ORIENTED_EDGE('',*,*,#12789,.F.); +#12807=EDGE_LOOP('',(#12802,#12804,#12805,#12806)); +#12808=FACE_OUTER_BOUND('',#12807,.F.); +#12810=CARTESIAN_POINT('',(-2.943471418376E0,-6.4E0,-1.9475E1)); +#12811=DIRECTION('',(0.E0,0.E0,1.E0)); +#12812=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12813=AXIS2_PLACEMENT_3D('',#12810,#12811,#12812); +#12814=PLANE('',#12813); +#12815=ORIENTED_EDGE('',*,*,#7267,.F.); +#12817=ORIENTED_EDGE('',*,*,#12816,.T.); +#12818=ORIENTED_EDGE('',*,*,#12052,.T.); +#12819=ORIENTED_EDGE('',*,*,#12803,.F.); +#12820=EDGE_LOOP('',(#12815,#12817,#12818,#12819)); +#12821=FACE_OUTER_BOUND('',#12820,.F.); +#12823=CARTESIAN_POINT('',(-2.943471418376E0,-6.4E0,-1.9825E1)); +#12824=DIRECTION('',(1.E0,0.E0,0.E0)); +#12825=DIRECTION('',(0.E0,0.E0,1.E0)); +#12826=AXIS2_PLACEMENT_3D('',#12823,#12824,#12825); +#12827=PLANE('',#12826); +#12828=ORIENTED_EDGE('',*,*,#7265,.F.); +#12829=ORIENTED_EDGE('',*,*,#12792,.T.); +#12830=ORIENTED_EDGE('',*,*,#12054,.T.); +#12831=ORIENTED_EDGE('',*,*,#12816,.F.); +#12832=EDGE_LOOP('',(#12828,#12829,#12830,#12831)); +#12833=FACE_OUTER_BOUND('',#12832,.F.); +#12835=CARTESIAN_POINT('',(-2.133471418378E0,-6.4E0,-1.7285E1)); +#12836=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12837=DIRECTION('',(1.E0,0.E0,0.E0)); +#12838=AXIS2_PLACEMENT_3D('',#12835,#12836,#12837); +#12839=PLANE('',#12838); +#12840=ORIENTED_EDGE('',*,*,#7273,.F.); +#12842=ORIENTED_EDGE('',*,*,#12841,.T.); +#12843=ORIENTED_EDGE('',*,*,#12064,.T.); +#12845=ORIENTED_EDGE('',*,*,#12844,.F.); +#12846=EDGE_LOOP('',(#12840,#12842,#12843,#12845)); +#12847=FACE_OUTER_BOUND('',#12846,.F.); +#12849=CARTESIAN_POINT('',(-2.133471418378E0,-6.4E0,-1.6935E1)); +#12850=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12852=AXIS2_PLACEMENT_3D('',#12849,#12850,#12851); +#12853=PLANE('',#12852); +#12854=ORIENTED_EDGE('',*,*,#7279,.F.); +#12856=ORIENTED_EDGE('',*,*,#12855,.T.); +#12857=ORIENTED_EDGE('',*,*,#12066,.T.); +#12858=ORIENTED_EDGE('',*,*,#12841,.F.); +#12859=EDGE_LOOP('',(#12854,#12856,#12857,#12858)); +#12860=FACE_OUTER_BOUND('',#12859,.F.); +#12862=CARTESIAN_POINT('',(-1.673471418378E0,-6.4E0,-1.6935E1)); +#12863=DIRECTION('',(0.E0,0.E0,1.E0)); +#12864=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12865=AXIS2_PLACEMENT_3D('',#12862,#12863,#12864); +#12866=PLANE('',#12865); +#12867=ORIENTED_EDGE('',*,*,#7277,.F.); +#12869=ORIENTED_EDGE('',*,*,#12868,.T.); +#12870=ORIENTED_EDGE('',*,*,#12068,.T.); +#12871=ORIENTED_EDGE('',*,*,#12855,.F.); +#12872=EDGE_LOOP('',(#12867,#12869,#12870,#12871)); +#12873=FACE_OUTER_BOUND('',#12872,.F.); +#12875=CARTESIAN_POINT('',(-1.673471418378E0,-6.4E0,-1.7285E1)); +#12876=DIRECTION('',(1.E0,0.E0,0.E0)); +#12877=DIRECTION('',(0.E0,0.E0,1.E0)); +#12878=AXIS2_PLACEMENT_3D('',#12875,#12876,#12877); +#12879=PLANE('',#12878); +#12880=ORIENTED_EDGE('',*,*,#7275,.F.); +#12881=ORIENTED_EDGE('',*,*,#12844,.T.); +#12882=ORIENTED_EDGE('',*,*,#12070,.T.); +#12883=ORIENTED_EDGE('',*,*,#12868,.F.); +#12884=EDGE_LOOP('',(#12880,#12881,#12882,#12883)); +#12885=FACE_OUTER_BOUND('',#12884,.F.); +#12887=CARTESIAN_POINT('',(-5.891527302656E0,-6.4E0,-1.435E1)); +#12888=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12890=AXIS2_PLACEMENT_3D('',#12887,#12888,#12889); +#12891=PLANE('',#12890); +#12892=ORIENTED_EDGE('',*,*,#7283,.F.); +#12894=ORIENTED_EDGE('',*,*,#12893,.T.); +#12895=ORIENTED_EDGE('',*,*,#12080,.T.); +#12897=ORIENTED_EDGE('',*,*,#12896,.F.); +#12898=EDGE_LOOP('',(#12892,#12894,#12895,#12897)); +#12899=FACE_OUTER_BOUND('',#12898,.F.); +#12901=CARTESIAN_POINT('',(-5.541527302656E0,-6.4E0,-1.435E1)); +#12902=DIRECTION('',(0.E0,0.E0,1.E0)); +#12903=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12904=AXIS2_PLACEMENT_3D('',#12901,#12902,#12903); +#12905=PLANE('',#12904); +#12906=ORIENTED_EDGE('',*,*,#7289,.F.); +#12908=ORIENTED_EDGE('',*,*,#12907,.T.); +#12909=ORIENTED_EDGE('',*,*,#12082,.T.); +#12910=ORIENTED_EDGE('',*,*,#12893,.F.); +#12911=EDGE_LOOP('',(#12906,#12908,#12909,#12910)); +#12912=FACE_OUTER_BOUND('',#12911,.F.); +#12914=CARTESIAN_POINT('',(-5.541527302656E0,-6.4E0,-1.481E1)); +#12915=DIRECTION('',(1.E0,0.E0,0.E0)); +#12916=DIRECTION('',(0.E0,0.E0,1.E0)); +#12917=AXIS2_PLACEMENT_3D('',#12914,#12915,#12916); +#12918=PLANE('',#12917); +#12919=ORIENTED_EDGE('',*,*,#7287,.F.); +#12921=ORIENTED_EDGE('',*,*,#12920,.T.); +#12922=ORIENTED_EDGE('',*,*,#12084,.T.); +#12923=ORIENTED_EDGE('',*,*,#12907,.F.); +#12924=EDGE_LOOP('',(#12919,#12921,#12922,#12923)); +#12925=FACE_OUTER_BOUND('',#12924,.F.); +#12927=CARTESIAN_POINT('',(-5.891527302656E0,-6.4E0,-1.481E1)); +#12928=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12929=DIRECTION('',(1.E0,0.E0,0.E0)); +#12930=AXIS2_PLACEMENT_3D('',#12927,#12928,#12929); +#12931=PLANE('',#12930); +#12932=ORIENTED_EDGE('',*,*,#7285,.F.); +#12933=ORIENTED_EDGE('',*,*,#12896,.T.); +#12934=ORIENTED_EDGE('',*,*,#12086,.T.); +#12935=ORIENTED_EDGE('',*,*,#12920,.F.); +#12936=EDGE_LOOP('',(#12932,#12933,#12934,#12935)); +#12937=FACE_OUTER_BOUND('',#12936,.F.); +#12939=CARTESIAN_POINT('',(-3.351527302656E0,-6.4E0,-1.308E1)); +#12940=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12941=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12942=AXIS2_PLACEMENT_3D('',#12939,#12940,#12941); +#12943=PLANE('',#12942); +#12944=ORIENTED_EDGE('',*,*,#7293,.F.); +#12946=ORIENTED_EDGE('',*,*,#12945,.T.); +#12947=ORIENTED_EDGE('',*,*,#12096,.T.); +#12949=ORIENTED_EDGE('',*,*,#12948,.F.); +#12950=EDGE_LOOP('',(#12944,#12946,#12947,#12949)); +#12951=FACE_OUTER_BOUND('',#12950,.F.); +#12953=CARTESIAN_POINT('',(-3.001527302656E0,-6.4E0,-1.308E1)); +#12954=DIRECTION('',(0.E0,0.E0,1.E0)); +#12955=DIRECTION('',(-1.E0,0.E0,0.E0)); +#12956=AXIS2_PLACEMENT_3D('',#12953,#12954,#12955); +#12957=PLANE('',#12956); +#12958=ORIENTED_EDGE('',*,*,#7299,.F.); +#12960=ORIENTED_EDGE('',*,*,#12959,.T.); +#12961=ORIENTED_EDGE('',*,*,#12098,.T.); +#12962=ORIENTED_EDGE('',*,*,#12945,.F.); +#12963=EDGE_LOOP('',(#12958,#12960,#12961,#12962)); +#12964=FACE_OUTER_BOUND('',#12963,.F.); +#12966=CARTESIAN_POINT('',(-3.001527302656E0,-6.4E0,-1.354E1)); +#12967=DIRECTION('',(1.E0,0.E0,0.E0)); +#12968=DIRECTION('',(0.E0,0.E0,1.E0)); +#12969=AXIS2_PLACEMENT_3D('',#12966,#12967,#12968); +#12970=PLANE('',#12969); +#12971=ORIENTED_EDGE('',*,*,#7297,.F.); +#12973=ORIENTED_EDGE('',*,*,#12972,.T.); +#12974=ORIENTED_EDGE('',*,*,#12100,.T.); +#12975=ORIENTED_EDGE('',*,*,#12959,.F.); +#12976=EDGE_LOOP('',(#12971,#12973,#12974,#12975)); +#12977=FACE_OUTER_BOUND('',#12976,.F.); +#12979=CARTESIAN_POINT('',(-3.351527302656E0,-6.4E0,-1.354E1)); +#12980=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12981=DIRECTION('',(1.E0,0.E0,0.E0)); +#12982=AXIS2_PLACEMENT_3D('',#12979,#12980,#12981); +#12983=PLANE('',#12982); +#12984=ORIENTED_EDGE('',*,*,#7295,.F.); +#12985=ORIENTED_EDGE('',*,*,#12948,.T.); +#12986=ORIENTED_EDGE('',*,*,#12102,.T.); +#12987=ORIENTED_EDGE('',*,*,#12972,.F.); +#12988=EDGE_LOOP('',(#12984,#12985,#12986,#12987)); +#12989=FACE_OUTER_BOUND('',#12988,.F.); +#12991=CLOSED_SHELL('',(#6563,#6578,#6593,#6608,#6620,#6634,#6694,#6709,#6724, +#6737,#6751,#6763,#6782,#6795,#6808,#6820,#6834,#6844,#6854,#6870,#6885,#6906, +#6925,#6944,#6961,#6976,#6994,#7303,#7317,#7332,#7347,#7363,#7379,#7392,#7413, +#7427,#7448,#7463,#7478,#7492,#7504,#7517,#7530,#7544,#7565,#7579,#7592,#7610, +#7635,#7657,#7681,#7702,#7714,#7728,#7742,#7756,#7771,#7786,#7800,#7821,#7839, +#7859,#7876,#7890,#7908,#7928,#7941,#7953,#7967,#7983,#7996,#8008,#8020,#8035, +#8049,#8063,#8084,#8102,#8116,#8136,#8158,#8178,#8191,#8203,#8215,#8228,#8256, +#8271,#8285,#8305,#8323,#8334,#8349,#8366,#8377,#8396,#8409,#8428,#8441,#8499, +#8514,#8529,#8542,#8563,#8576,#8589,#8601,#8613,#8625,#8636,#8649,#8659,#8674, +#8707,#8721,#8735,#8747,#8760,#8772,#8784,#8796,#8808,#8824,#8837,#8850,#8863, +#8876,#8889,#8902,#8915,#8929,#8945,#8958,#8970,#8983,#8995,#9007,#9020,#9033, +#9046,#9060,#9073,#9086,#9103,#9117,#9139,#9152,#9168,#9181,#9196,#9210,#9224, +#9238,#9271,#9341,#9368,#9383,#9397,#9411,#9425,#9439,#9458,#9474,#9492,#9504, +#9518,#9534,#9551,#9564,#9577,#9591,#9605,#9625,#9640,#9654,#9668,#9682,#9705, +#9718,#9732,#9747,#9761,#9783,#9796,#9809,#9822,#9835,#9946,#9969,#9983,#9995, +#10007,#10020,#10032,#10044,#10056,#10148,#10168,#10182,#10201,#10213,#10226, +#10239,#10251,#10271,#10285,#10304,#10316,#10329,#10342,#10354,#10374,#10388, +#10407,#10419,#10432,#10445,#10457,#10477,#10491,#10510,#10522,#10535,#10548, +#10560,#10580,#10594,#10613,#10625,#10638,#10651,#10663,#10683,#10697,#10716, +#10728,#10741,#10754,#10766,#10786,#10800,#10819,#10831,#10844,#10857,#10869, +#10889,#10903,#10922,#10934,#10947,#10960,#10972,#10989,#11002,#11031,#11044, +#11057,#11069,#11094,#11119,#11133,#11147,#11161,#11175,#11189,#11203,#11217, +#11230,#11247,#11272,#11285,#11298,#11311,#11324,#11337,#11350,#11363,#11375, +#11388,#11401,#11414,#11427,#11440,#11455,#11467,#11478,#11489,#11502,#11512, +#11523,#11535,#11547,#11559,#11571,#11586,#11598,#11612,#11629,#11642,#11655, +#11673,#11690,#11703,#11716,#11728,#11741,#11754,#11766,#11781,#11795,#11809, +#11822,#11834,#11850,#11866,#11882,#11898,#11914,#11930,#11946,#11962,#11978, +#11994,#12010,#12026,#12042,#12058,#12074,#12090,#12106,#12120,#12133,#12146, +#12158,#12172,#12185,#12198,#12210,#12224,#12237,#12250,#12262,#12276,#12289, +#12302,#12314,#12328,#12341,#12354,#12366,#12380,#12393,#12406,#12418,#12432, +#12445,#12458,#12470,#12484,#12497,#12510,#12522,#12536,#12549,#12562,#12574, +#12588,#12601,#12614,#12626,#12640,#12653,#12666,#12678,#12692,#12705,#12718, +#12730,#12744,#12757,#12770,#12782,#12796,#12809,#12822,#12834,#12848,#12861, +#12874,#12886,#12900,#12913,#12926,#12938,#12952,#12965,#12978,#12990)); +#12992=MANIFOLD_SOLID_BREP('',#12991); +#12995=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#12994); +#12996=(CONVERSION_BASED_UNIT('DEGREE',#12995)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#12998=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(2.667653482047E-5),#12993, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#13001=APPLICATION_CONTEXT('automotive_design'); +#13002=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#13001); +#13003=PRODUCT_DEFINITION_CONTEXT('part definition',#13001,'design'); +#13004=PRODUCT_CONTEXT('',#13001,'mechanical'); +#13005=PRODUCT('C-2337992-8','C-2337992-8','NOT SPECIFIED',(#13004)); +#13006=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#13005); +#13014=DERIVED_UNIT_ELEMENT(#13013,2.E0); +#13015=DERIVED_UNIT((#13014)); +#13016=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +2.451389082401E3),#13015); +#13021=DERIVED_UNIT_ELEMENT(#13020,3.E0); +#13022=DERIVED_UNIT((#13021)); +#13023=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +3.490999748322E3),#13022); +#13027=CARTESIAN_POINT('centre point',(8.183562930461E-4,1.991148929297E-1, +-1.231661285043E1)); +#13032=DERIVED_UNIT_ELEMENT(#13031,2.E0); +#13033=DERIVED_UNIT((#13032)); +#13034=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +2.451389082401E3),#13033); +#13039=DERIVED_UNIT_ELEMENT(#13038,3.E0); +#13040=DERIVED_UNIT((#13039)); +#13041=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +3.490999748322E3),#13040); +#13045=CARTESIAN_POINT('centre point',(8.183562930461E-4,1.991148929297E-1, +-1.231661285043E1)); +#13050=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#13005)); +#1=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#42=CIRCLE('',#41,2.5E-1); +#51=CIRCLE('',#50,2.5E-1); +#104=CIRCLE('',#103,2.E-1); +#109=CIRCLE('',#108,2.E-1); +#114=CIRCLE('',#113,2.E-1); +#155=CIRCLE('',#154,3.872983346207E-1); +#164=CIRCLE('',#163,3.872983346207E-1); +#169=CIRCLE('',#168,2.E-1); +#174=CIRCLE('',#173,2.E-1); +#187=CIRCLE('',#186,2.E-1); +#192=CIRCLE('',#191,6.5E-1); +#336=B_SPLINE_CURVE_WITH_KNOTS('',3,(#277,#278,#279,#280,#281,#282,#283,#284, +#285,#286,#287,#288,#289,#290,#291,#292,#293,#294,#295,#296,#297,#298,#299,#300, +#301,#302,#303,#304,#305,#306,#307,#308,#309,#310,#311,#312,#313,#314,#315,#316, +#317,#318,#319,#320,#321,#322,#323,#324,#325,#326,#327,#328,#329,#330,#331,#332, +#333,#334,#335),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4), +(0.E0,1.785714285714E-2,3.571428571429E-2,5.357142857143E-2,7.142857142857E-2, +8.928571428571E-2,1.071428571429E-1,1.25E-1,1.428571428571E-1,1.607142857143E-1, +1.785714285714E-1,1.964285714286E-1,2.142857142857E-1,2.321428571429E-1,2.5E-1, +2.678571428571E-1,2.857142857143E-1,3.035714285714E-1,3.214285714286E-1, +3.392857142857E-1,3.571428571429E-1,3.75E-1,3.928571428571E-1,4.107142857143E-1, +4.285714285714E-1,4.464285714286E-1,4.642857142857E-1,4.821428571429E-1,5.E-1, +5.178571428571E-1,5.357142857143E-1,5.535714285714E-1,5.714285714286E-1, +5.892857142857E-1,6.071428571429E-1,6.25E-1,6.428571428571E-1,6.607142857143E-1, +6.785714285714E-1,6.964285714286E-1,7.142857142857E-1,7.321428571429E-1,7.5E-1, +7.678571428571E-1,7.857142857143E-1,8.035714285714E-1,8.214285714286E-1, +8.392857142857E-1,8.571428571429E-1,8.75E-1,8.928571428571E-1,9.107142857143E-1, +9.285714285714E-1,9.464285714286E-1,9.642857142857E-1,9.821428571429E-1,1.E0), +.UNSPECIFIED.); +#396=B_SPLINE_CURVE_WITH_KNOTS('',3,(#337,#338,#339,#340,#341,#342,#343,#344, +#345,#346,#347,#348,#349,#350,#351,#352,#353,#354,#355,#356,#357,#358,#359,#360, +#361,#362,#363,#364,#365,#366,#367,#368,#369,#370,#371,#372,#373,#374,#375,#376, +#377,#378,#379,#380,#381,#382,#383,#384,#385,#386,#387,#388,#389,#390,#391,#392, +#393,#394,#395),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4), +(0.E0,1.785714285714E-2,3.571428571429E-2,5.357142857143E-2,7.142857142857E-2, +8.928571428571E-2,1.071428571429E-1,1.25E-1,1.428571428571E-1,1.607142857143E-1, +1.785714285714E-1,1.964285714286E-1,2.142857142857E-1,2.321428571429E-1,2.5E-1, +2.678571428571E-1,2.857142857143E-1,3.035714285714E-1,3.214285714286E-1, +3.392857142857E-1,3.571428571429E-1,3.75E-1,3.928571428571E-1,4.107142857143E-1, +4.285714285714E-1,4.464285714286E-1,4.642857142857E-1,4.821428571429E-1,5.E-1, +5.178571428571E-1,5.357142857143E-1,5.535714285714E-1,5.714285714286E-1, +5.892857142857E-1,6.071428571429E-1,6.25E-1,6.428571428571E-1,6.607142857143E-1, +6.785714285714E-1,6.964285714286E-1,7.142857142857E-1,7.321428571429E-1,7.5E-1, +7.678571428571E-1,7.857142857143E-1,8.035714285714E-1,8.214285714286E-1, +8.392857142857E-1,8.571428571429E-1,8.75E-1,8.928571428571E-1,9.107142857143E-1, +9.285714285714E-1,9.464285714286E-1,9.642857142857E-1,9.821428571429E-1,1.E0), +.UNSPECIFIED.); +#437=CIRCLE('',#436,2.E-1); +#474=CIRCLE('',#473,2.E-1); +#487=CIRCLE('',#486,6.5E-1); +#512=CIRCLE('',#511,2.E-1); +#525=CIRCLE('',#524,2.E-1); +#530=CIRCLE('',#529,2.E-1); +#635=CIRCLE('',#634,1.3E0); +#652=CIRCLE('',#651,1.3E0); +#669=CIRCLE('',#668,1.3E0); +#686=CIRCLE('',#685,1.3E0); +#703=CIRCLE('',#702,1.3E0); +#720=CIRCLE('',#719,1.3E0); +#737=CIRCLE('',#736,1.3E0); +#754=CIRCLE('',#753,1.3E0); +#1059=CIRCLE('',#1058,2.E-1); +#1072=CIRCLE('',#1071,2.E-1); +#1081=CIRCLE('',#1080,2.E-1); +#1086=CIRCLE('',#1085,2.E-1); +#1111=CIRCLE('',#1110,4.E-1); +#1128=CIRCLE('',#1127,2.E-1); +#1145=CIRCLE('',#1144,4.E-1); +#1150=CIRCLE('',#1149,2.E-1); +#1159=CIRCLE('',#1158,1.6E0); +#1172=CIRCLE('',#1171,1.3E0); +#1185=CIRCLE('',#1184,1.6E0); +#1198=CIRCLE('',#1197,1.3E0); +#1239=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1235,#1236,#1237,#1238),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#1244=CIRCLE('',#1243,1.3E0); +#1249=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1245,#1246,#1247,#1248),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#1286=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1282,#1283,#1284,#1285),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#1291=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1287,#1288,#1289,#1290),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#1296=CIRCLE('',#1295,1.3E0); +#1325=CIRCLE('',#1324,4.25E-1); +#1338=CIRCLE('',#1337,4.25E-1); +#1363=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1359,#1360,#1361,#1362),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#1368=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1364,#1365,#1366,#1367),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#1373=CIRCLE('',#1372,1.3E0); +#1378=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1374,#1375,#1376,#1377),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#1383=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1379,#1380,#1381,#1382),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#1388=CIRCLE('',#1387,1.3E0); +#1465=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1401,#1402,#1403,#1404,#1405,#1406,#1407, +#1408,#1409,#1410,#1411,#1412,#1413,#1414,#1415,#1416,#1417,#1418,#1419,#1420, +#1421,#1422,#1423,#1424,#1425,#1426,#1427,#1428,#1429,#1430,#1431,#1432,#1433, +#1434,#1435,#1436,#1437,#1438,#1439,#1440,#1441,#1442,#1443,#1444,#1445,#1446, +#1447,#1448,#1449,#1450,#1451,#1452,#1453,#1454,#1455,#1456,#1457,#1458,#1459, +#1460,#1461,#1462,#1463,#1464),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.639344262295E-2,3.278688524590E-2,4.918032786885E-2, +6.557377049180E-2,8.196721311475E-2,9.836065573770E-2,1.147540983607E-1, +1.311475409836E-1,1.475409836066E-1,1.639344262295E-1,1.803278688525E-1, +1.967213114754E-1,2.131147540984E-1,2.295081967213E-1,2.459016393443E-1, +2.622950819672E-1,2.786885245902E-1,2.950819672131E-1,3.114754098361E-1, +3.278688524590E-1,3.442622950820E-1,3.606557377049E-1,3.770491803279E-1, +3.934426229508E-1,4.098360655738E-1,4.262295081967E-1,4.426229508197E-1, +4.590163934426E-1,4.754098360656E-1,4.918032786885E-1,5.081967213115E-1, +5.245901639344E-1,5.409836065574E-1,5.573770491803E-1,5.737704918033E-1, +5.901639344262E-1,6.065573770492E-1,6.229508196721E-1,6.393442622951E-1, +6.557377049180E-1,6.721311475410E-1,6.885245901639E-1,7.049180327869E-1, +7.213114754098E-1,7.377049180328E-1,7.540983606557E-1,7.704918032787E-1, +7.868852459016E-1,8.032786885246E-1,8.196721311475E-1,8.360655737705E-1, +8.524590163934E-1,8.688524590164E-1,8.852459016393E-1,9.016393442623E-1, +9.180327868852E-1,9.344262295082E-1,9.508196721311E-1,9.672131147541E-1, +9.836065573770E-1,1.E0),.UNSPECIFIED.); +#1534=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1470,#1471,#1472,#1473,#1474,#1475,#1476, +#1477,#1478,#1479,#1480,#1481,#1482,#1483,#1484,#1485,#1486,#1487,#1488,#1489, +#1490,#1491,#1492,#1493,#1494,#1495,#1496,#1497,#1498,#1499,#1500,#1501,#1502, +#1503,#1504,#1505,#1506,#1507,#1508,#1509,#1510,#1511,#1512,#1513,#1514,#1515, +#1516,#1517,#1518,#1519,#1520,#1521,#1522,#1523,#1524,#1525,#1526,#1527,#1528, +#1529,#1530,#1531,#1532,#1533),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.639344262295E-2,3.278688524590E-2,4.918032786885E-2, +6.557377049180E-2,8.196721311475E-2,9.836065573770E-2,1.147540983607E-1, +1.311475409836E-1,1.475409836066E-1,1.639344262295E-1,1.803278688525E-1, +1.967213114754E-1,2.131147540984E-1,2.295081967213E-1,2.459016393443E-1, +2.622950819672E-1,2.786885245902E-1,2.950819672131E-1,3.114754098361E-1, +3.278688524590E-1,3.442622950820E-1,3.606557377049E-1,3.770491803279E-1, +3.934426229508E-1,4.098360655738E-1,4.262295081967E-1,4.426229508197E-1, +4.590163934426E-1,4.754098360656E-1,4.918032786885E-1,5.081967213115E-1, +5.245901639344E-1,5.409836065574E-1,5.573770491803E-1,5.737704918033E-1, +5.901639344262E-1,6.065573770492E-1,6.229508196721E-1,6.393442622951E-1, +6.557377049180E-1,6.721311475410E-1,6.885245901639E-1,7.049180327869E-1, +7.213114754098E-1,7.377049180328E-1,7.540983606557E-1,7.704918032787E-1, +7.868852459016E-1,8.032786885246E-1,8.196721311475E-1,8.360655737705E-1, +8.524590163934E-1,8.688524590164E-1,8.852459016393E-1,9.016393442623E-1, +9.180327868852E-1,9.344262295082E-1,9.508196721311E-1,9.672131147541E-1, +9.836065573770E-1,1.E0),.UNSPECIFIED.); +#1599=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1535,#1536,#1537,#1538,#1539,#1540,#1541, +#1542,#1543,#1544,#1545,#1546,#1547,#1548,#1549,#1550,#1551,#1552,#1553,#1554, +#1555,#1556,#1557,#1558,#1559,#1560,#1561,#1562,#1563,#1564,#1565,#1566,#1567, +#1568,#1569,#1570,#1571,#1572,#1573,#1574,#1575,#1576,#1577,#1578,#1579,#1580, +#1581,#1582,#1583,#1584,#1585,#1586,#1587,#1588,#1589,#1590,#1591,#1592,#1593, +#1594,#1595,#1596,#1597,#1598),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.639344262295E-2,3.278688524590E-2,4.918032786885E-2, +6.557377049180E-2,8.196721311475E-2,9.836065573770E-2,1.147540983607E-1, +1.311475409836E-1,1.475409836066E-1,1.639344262295E-1,1.803278688525E-1, +1.967213114754E-1,2.131147540984E-1,2.295081967213E-1,2.459016393443E-1, +2.622950819672E-1,2.786885245902E-1,2.950819672131E-1,3.114754098361E-1, +3.278688524590E-1,3.442622950820E-1,3.606557377049E-1,3.770491803279E-1, +3.934426229508E-1,4.098360655738E-1,4.262295081967E-1,4.426229508197E-1, +4.590163934426E-1,4.754098360656E-1,4.918032786885E-1,5.081967213115E-1, +5.245901639344E-1,5.409836065574E-1,5.573770491803E-1,5.737704918033E-1, +5.901639344262E-1,6.065573770492E-1,6.229508196721E-1,6.393442622951E-1, +6.557377049180E-1,6.721311475410E-1,6.885245901639E-1,7.049180327869E-1, +7.213114754098E-1,7.377049180328E-1,7.540983606557E-1,7.704918032787E-1, +7.868852459016E-1,8.032786885246E-1,8.196721311475E-1,8.360655737705E-1, +8.524590163934E-1,8.688524590164E-1,8.852459016393E-1,9.016393442623E-1, +9.180327868852E-1,9.344262295082E-1,9.508196721311E-1,9.672131147541E-1, +9.836065573770E-1,1.E0),.UNSPECIFIED.); +#1664=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1600,#1601,#1602,#1603,#1604,#1605,#1606, +#1607,#1608,#1609,#1610,#1611,#1612,#1613,#1614,#1615,#1616,#1617,#1618,#1619, +#1620,#1621,#1622,#1623,#1624,#1625,#1626,#1627,#1628,#1629,#1630,#1631,#1632, +#1633,#1634,#1635,#1636,#1637,#1638,#1639,#1640,#1641,#1642,#1643,#1644,#1645, +#1646,#1647,#1648,#1649,#1650,#1651,#1652,#1653,#1654,#1655,#1656,#1657,#1658, +#1659,#1660,#1661,#1662,#1663),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.639344262295E-2,3.278688524590E-2,4.918032786885E-2, +6.557377049180E-2,8.196721311475E-2,9.836065573770E-2,1.147540983607E-1, +1.311475409836E-1,1.475409836066E-1,1.639344262295E-1,1.803278688525E-1, +1.967213114754E-1,2.131147540984E-1,2.295081967213E-1,2.459016393443E-1, +2.622950819672E-1,2.786885245902E-1,2.950819672131E-1,3.114754098361E-1, +3.278688524590E-1,3.442622950820E-1,3.606557377049E-1,3.770491803279E-1, +3.934426229508E-1,4.098360655738E-1,4.262295081967E-1,4.426229508197E-1, +4.590163934426E-1,4.754098360656E-1,4.918032786885E-1,5.081967213115E-1, +5.245901639344E-1,5.409836065574E-1,5.573770491803E-1,5.737704918033E-1, +5.901639344262E-1,6.065573770492E-1,6.229508196721E-1,6.393442622951E-1, +6.557377049180E-1,6.721311475410E-1,6.885245901639E-1,7.049180327869E-1, +7.213114754098E-1,7.377049180328E-1,7.540983606557E-1,7.704918032787E-1, +7.868852459016E-1,8.032786885246E-1,8.196721311475E-1,8.360655737705E-1, +8.524590163934E-1,8.688524590164E-1,8.852459016393E-1,9.016393442623E-1, +9.180327868852E-1,9.344262295082E-1,9.508196721311E-1,9.672131147541E-1, +9.836065573770E-1,1.E0),.UNSPECIFIED.); +#1697=CIRCLE('',#1696,4.25E-1); +#1738=CIRCLE('',#1737,4.25E-1); +#1747=CIRCLE('',#1746,4.25E-1); +#1760=CIRCLE('',#1759,4.25E-1); +#1777=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1773,#1774,#1775,#1776),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#1782=CIRCLE('',#1781,1.3E0); +#1787=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1783,#1784,#1785,#1786),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#1864=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1800,#1801,#1802,#1803,#1804,#1805,#1806, +#1807,#1808,#1809,#1810,#1811,#1812,#1813,#1814,#1815,#1816,#1817,#1818,#1819, +#1820,#1821,#1822,#1823,#1824,#1825,#1826,#1827,#1828,#1829,#1830,#1831,#1832, +#1833,#1834,#1835,#1836,#1837,#1838,#1839,#1840,#1841,#1842,#1843,#1844,#1845, +#1846,#1847,#1848,#1849,#1850,#1851,#1852,#1853,#1854,#1855,#1856,#1857,#1858, +#1859,#1860,#1861,#1862,#1863),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.639344262295E-2,3.278688524590E-2,4.918032786885E-2, +6.557377049180E-2,8.196721311475E-2,9.836065573770E-2,1.147540983607E-1, +1.311475409836E-1,1.475409836066E-1,1.639344262295E-1,1.803278688525E-1, +1.967213114754E-1,2.131147540984E-1,2.295081967213E-1,2.459016393443E-1, +2.622950819672E-1,2.786885245902E-1,2.950819672131E-1,3.114754098361E-1, +3.278688524590E-1,3.442622950820E-1,3.606557377049E-1,3.770491803279E-1, +3.934426229508E-1,4.098360655738E-1,4.262295081967E-1,4.426229508197E-1, +4.590163934426E-1,4.754098360656E-1,4.918032786885E-1,5.081967213115E-1, +5.245901639344E-1,5.409836065574E-1,5.573770491803E-1,5.737704918033E-1, +5.901639344262E-1,6.065573770492E-1,6.229508196721E-1,6.393442622951E-1, +6.557377049180E-1,6.721311475410E-1,6.885245901639E-1,7.049180327869E-1, +7.213114754098E-1,7.377049180328E-1,7.540983606557E-1,7.704918032787E-1, +7.868852459016E-1,8.032786885246E-1,8.196721311475E-1,8.360655737705E-1, +8.524590163934E-1,8.688524590164E-1,8.852459016393E-1,9.016393442623E-1, +9.180327868852E-1,9.344262295082E-1,9.508196721311E-1,9.672131147541E-1, +9.836065573770E-1,1.E0),.UNSPECIFIED.); +#1929=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1865,#1866,#1867,#1868,#1869,#1870,#1871, +#1872,#1873,#1874,#1875,#1876,#1877,#1878,#1879,#1880,#1881,#1882,#1883,#1884, +#1885,#1886,#1887,#1888,#1889,#1890,#1891,#1892,#1893,#1894,#1895,#1896,#1897, +#1898,#1899,#1900,#1901,#1902,#1903,#1904,#1905,#1906,#1907,#1908,#1909,#1910, +#1911,#1912,#1913,#1914,#1915,#1916,#1917,#1918,#1919,#1920,#1921,#1922,#1923, +#1924,#1925,#1926,#1927,#1928),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.639344262295E-2,3.278688524590E-2,4.918032786885E-2, +6.557377049180E-2,8.196721311475E-2,9.836065573770E-2,1.147540983607E-1, +1.311475409836E-1,1.475409836066E-1,1.639344262295E-1,1.803278688525E-1, +1.967213114754E-1,2.131147540984E-1,2.295081967213E-1,2.459016393443E-1, +2.622950819672E-1,2.786885245902E-1,2.950819672131E-1,3.114754098361E-1, +3.278688524590E-1,3.442622950820E-1,3.606557377049E-1,3.770491803279E-1, +3.934426229508E-1,4.098360655738E-1,4.262295081967E-1,4.426229508197E-1, +4.590163934426E-1,4.754098360656E-1,4.918032786885E-1,5.081967213115E-1, +5.245901639344E-1,5.409836065574E-1,5.573770491803E-1,5.737704918033E-1, +5.901639344262E-1,6.065573770492E-1,6.229508196721E-1,6.393442622951E-1, +6.557377049180E-1,6.721311475410E-1,6.885245901639E-1,7.049180327869E-1, +7.213114754098E-1,7.377049180328E-1,7.540983606557E-1,7.704918032787E-1, +7.868852459016E-1,8.032786885246E-1,8.196721311475E-1,8.360655737705E-1, +8.524590163934E-1,8.688524590164E-1,8.852459016393E-1,9.016393442623E-1, +9.180327868852E-1,9.344262295082E-1,9.508196721311E-1,9.672131147541E-1, +9.836065573770E-1,1.E0),.UNSPECIFIED.); +#1998=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1934,#1935,#1936,#1937,#1938,#1939,#1940, +#1941,#1942,#1943,#1944,#1945,#1946,#1947,#1948,#1949,#1950,#1951,#1952,#1953, +#1954,#1955,#1956,#1957,#1958,#1959,#1960,#1961,#1962,#1963,#1964,#1965,#1966, +#1967,#1968,#1969,#1970,#1971,#1972,#1973,#1974,#1975,#1976,#1977,#1978,#1979, +#1980,#1981,#1982,#1983,#1984,#1985,#1986,#1987,#1988,#1989,#1990,#1991,#1992, +#1993,#1994,#1995,#1996,#1997),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.639344262295E-2,3.278688524590E-2,4.918032786885E-2, +6.557377049180E-2,8.196721311475E-2,9.836065573770E-2,1.147540983607E-1, +1.311475409836E-1,1.475409836066E-1,1.639344262295E-1,1.803278688525E-1, +1.967213114754E-1,2.131147540984E-1,2.295081967213E-1,2.459016393443E-1, +2.622950819672E-1,2.786885245902E-1,2.950819672131E-1,3.114754098361E-1, +3.278688524590E-1,3.442622950820E-1,3.606557377049E-1,3.770491803279E-1, +3.934426229508E-1,4.098360655738E-1,4.262295081967E-1,4.426229508197E-1, +4.590163934426E-1,4.754098360656E-1,4.918032786885E-1,5.081967213115E-1, +5.245901639344E-1,5.409836065574E-1,5.573770491803E-1,5.737704918033E-1, +5.901639344262E-1,6.065573770492E-1,6.229508196721E-1,6.393442622951E-1, +6.557377049180E-1,6.721311475410E-1,6.885245901639E-1,7.049180327869E-1, +7.213114754098E-1,7.377049180328E-1,7.540983606557E-1,7.704918032787E-1, +7.868852459016E-1,8.032786885246E-1,8.196721311475E-1,8.360655737705E-1, +8.524590163934E-1,8.688524590164E-1,8.852459016393E-1,9.016393442623E-1, +9.180327868852E-1,9.344262295082E-1,9.508196721311E-1,9.672131147541E-1, +9.836065573770E-1,1.E0),.UNSPECIFIED.); +#2063=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1999,#2000,#2001,#2002,#2003,#2004,#2005, +#2006,#2007,#2008,#2009,#2010,#2011,#2012,#2013,#2014,#2015,#2016,#2017,#2018, +#2019,#2020,#2021,#2022,#2023,#2024,#2025,#2026,#2027,#2028,#2029,#2030,#2031, +#2032,#2033,#2034,#2035,#2036,#2037,#2038,#2039,#2040,#2041,#2042,#2043,#2044, +#2045,#2046,#2047,#2048,#2049,#2050,#2051,#2052,#2053,#2054,#2055,#2056,#2057, +#2058,#2059,#2060,#2061,#2062),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,4),(0.E0,1.639344262295E-2,3.278688524590E-2,4.918032786885E-2, +6.557377049180E-2,8.196721311475E-2,9.836065573770E-2,1.147540983607E-1, +1.311475409836E-1,1.475409836066E-1,1.639344262295E-1,1.803278688525E-1, +1.967213114754E-1,2.131147540984E-1,2.295081967213E-1,2.459016393443E-1, +2.622950819672E-1,2.786885245902E-1,2.950819672131E-1,3.114754098361E-1, +3.278688524590E-1,3.442622950820E-1,3.606557377049E-1,3.770491803279E-1, +3.934426229508E-1,4.098360655738E-1,4.262295081967E-1,4.426229508197E-1, +4.590163934426E-1,4.754098360656E-1,4.918032786885E-1,5.081967213115E-1, +5.245901639344E-1,5.409836065574E-1,5.573770491803E-1,5.737704918033E-1, +5.901639344262E-1,6.065573770492E-1,6.229508196721E-1,6.393442622951E-1, +6.557377049180E-1,6.721311475410E-1,6.885245901639E-1,7.049180327869E-1, +7.213114754098E-1,7.377049180328E-1,7.540983606557E-1,7.704918032787E-1, +7.868852459016E-1,8.032786885246E-1,8.196721311475E-1,8.360655737705E-1, +8.524590163934E-1,8.688524590164E-1,8.852459016393E-1,9.016393442623E-1, +9.180327868852E-1,9.344262295082E-1,9.508196721311E-1,9.672131147541E-1, +9.836065573770E-1,1.E0),.UNSPECIFIED.); +#2072=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2068,#2069,#2070,#2071),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#2077=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2073,#2074,#2075,#2076),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#2082=CIRCLE('',#2081,1.3E0); +#2111=CIRCLE('',#2110,4.25E-1); +#2136=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2132,#2133,#2134,#2135),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#2141=CIRCLE('',#2140,1.3E0); +#2146=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2142,#2143,#2144,#2145),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#2187=CIRCLE('',#2186,1.6E0); +#2200=CIRCLE('',#2199,1.3E0); +#2209=CIRCLE('',#2208,1.6E0); +#2218=CIRCLE('',#2217,1.3E0); +#2235=CIRCLE('',#2234,2.E-1); +#2240=CIRCLE('',#2239,4.E-1); +#2245=CIRCLE('',#2244,4.E-1); +#2254=CIRCLE('',#2253,2.E-1); +#2299=CIRCLE('',#2298,3.872983346207E-1); +#2308=CIRCLE('',#2307,3.872983346207E-1); +#2333=CIRCLE('',#2332,6.5E-1); +#2338=CIRCLE('',#2337,2.E-1); +#2351=CIRCLE('',#2350,2.E-1); +#2356=CIRCLE('',#2355,2.E-1); +#2484=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2425,#2426,#2427,#2428,#2429,#2430,#2431, +#2432,#2433,#2434,#2435,#2436,#2437,#2438,#2439,#2440,#2441,#2442,#2443,#2444, +#2445,#2446,#2447,#2448,#2449,#2450,#2451,#2452,#2453,#2454,#2455,#2456,#2457, +#2458,#2459,#2460,#2461,#2462,#2463,#2464,#2465,#2466,#2467,#2468,#2469,#2470, +#2471,#2472,#2473,#2474,#2475,#2476,#2477,#2478,#2479,#2480,#2481,#2482,#2483), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +1.785714285714E-2,3.571428571429E-2,5.357142857143E-2,7.142857142857E-2, +8.928571428571E-2,1.071428571429E-1,1.25E-1,1.428571428571E-1,1.607142857143E-1, +1.785714285714E-1,1.964285714286E-1,2.142857142857E-1,2.321428571429E-1,2.5E-1, +2.678571428571E-1,2.857142857143E-1,3.035714285714E-1,3.214285714286E-1, +3.392857142857E-1,3.571428571429E-1,3.75E-1,3.928571428571E-1,4.107142857143E-1, +4.285714285714E-1,4.464285714286E-1,4.642857142857E-1,4.821428571429E-1,5.E-1, +5.178571428571E-1,5.357142857143E-1,5.535714285714E-1,5.714285714286E-1, +5.892857142857E-1,6.071428571429E-1,6.25E-1,6.428571428571E-1,6.607142857143E-1, +6.785714285714E-1,6.964285714286E-1,7.142857142857E-1,7.321428571429E-1,7.5E-1, +7.678571428571E-1,7.857142857143E-1,8.035714285714E-1,8.214285714286E-1, +8.392857142857E-1,8.571428571429E-1,8.75E-1,8.928571428571E-1,9.107142857143E-1, +9.285714285714E-1,9.464285714286E-1,9.642857142857E-1,9.821428571429E-1,1.E0), +.UNSPECIFIED.); +#2544=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2485,#2486,#2487,#2488,#2489,#2490,#2491, +#2492,#2493,#2494,#2495,#2496,#2497,#2498,#2499,#2500,#2501,#2502,#2503,#2504, +#2505,#2506,#2507,#2508,#2509,#2510,#2511,#2512,#2513,#2514,#2515,#2516,#2517, +#2518,#2519,#2520,#2521,#2522,#2523,#2524,#2525,#2526,#2527,#2528,#2529,#2530, +#2531,#2532,#2533,#2534,#2535,#2536,#2537,#2538,#2539,#2540,#2541,#2542,#2543), +.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, +1.785714285714E-2,3.571428571429E-2,5.357142857143E-2,7.142857142857E-2, +8.928571428571E-2,1.071428571429E-1,1.25E-1,1.428571428571E-1,1.607142857143E-1, +1.785714285714E-1,1.964285714286E-1,2.142857142857E-1,2.321428571429E-1,2.5E-1, +2.678571428571E-1,2.857142857143E-1,3.035714285714E-1,3.214285714286E-1, +3.392857142857E-1,3.571428571429E-1,3.75E-1,3.928571428571E-1,4.107142857143E-1, +4.285714285714E-1,4.464285714286E-1,4.642857142857E-1,4.821428571429E-1,5.E-1, +5.178571428571E-1,5.357142857143E-1,5.535714285714E-1,5.714285714286E-1, +5.892857142857E-1,6.071428571429E-1,6.25E-1,6.428571428571E-1,6.607142857143E-1, +6.785714285714E-1,6.964285714286E-1,7.142857142857E-1,7.321428571429E-1,7.5E-1, +7.678571428571E-1,7.857142857143E-1,8.035714285714E-1,8.214285714286E-1, +8.392857142857E-1,8.571428571429E-1,8.75E-1,8.928571428571E-1,9.107142857143E-1, +9.285714285714E-1,9.464285714286E-1,9.642857142857E-1,9.821428571429E-1,1.E0), +.UNSPECIFIED.); +#2549=CIRCLE('',#2548,2.E-1); +#2554=CIRCLE('',#2553,2.E-1); +#2611=CIRCLE('',#2610,2.E-1); +#2616=CIRCLE('',#2615,2.E-1); +#2621=CIRCLE('',#2620,2.E-1); +#2626=CIRCLE('',#2625,2.E-1); +#2631=CIRCLE('',#2630,2.E-1); +#2636=CIRCLE('',#2635,2.E-1); +#2649=CIRCLE('',#2648,2.E-1); +#2654=CIRCLE('',#2653,2.E-1); +#2659=CIRCLE('',#2658,2.E-1); +#2712=CIRCLE('',#2711,6.5E-1); +#2769=CIRCLE('',#2768,2.E-1); +#2774=CIRCLE('',#2773,2.E-1); +#2823=CIRCLE('',#2822,2.E-1); +#2840=CIRCLE('',#2839,2.E-1); +#2977=CIRCLE('',#2976,2.5E-1); +#2986=CIRCLE('',#2985,2.5E-1); +#3691=CIRCLE('',#3690,3.5E-1); +#3700=CIRCLE('',#3699,7.E-1); +#3717=CIRCLE('',#3716,7.E-1); +#3726=CIRCLE('',#3725,3.5E-1); +#3747=CIRCLE('',#3746,3.5E-1); +#3756=CIRCLE('',#3755,7.E-1); +#3773=CIRCLE('',#3772,7.E-1); +#3782=CIRCLE('',#3781,3.5E-1); +#3803=CIRCLE('',#3802,3.5E-1); +#3812=CIRCLE('',#3811,7.E-1); +#3829=CIRCLE('',#3828,7.E-1); +#3838=CIRCLE('',#3837,3.5E-1); +#3859=CIRCLE('',#3858,3.5E-1); +#3868=CIRCLE('',#3867,7.E-1); +#3885=CIRCLE('',#3884,7.E-1); +#3894=CIRCLE('',#3893,3.5E-1); +#3915=CIRCLE('',#3914,3.5E-1); +#3924=CIRCLE('',#3923,7.E-1); +#3941=CIRCLE('',#3940,7.E-1); +#3950=CIRCLE('',#3949,3.5E-1); +#3971=CIRCLE('',#3970,3.5E-1); +#3980=CIRCLE('',#3979,7.E-1); +#3997=CIRCLE('',#3996,7.E-1); +#4006=CIRCLE('',#4005,3.5E-1); +#4027=CIRCLE('',#4026,3.5E-1); +#4036=CIRCLE('',#4035,7.E-1); +#4053=CIRCLE('',#4052,7.E-1); +#4062=CIRCLE('',#4061,3.5E-1); +#4083=CIRCLE('',#4082,3.5E-1); +#4092=CIRCLE('',#4091,7.E-1); +#4109=CIRCLE('',#4108,7.E-1); +#4118=CIRCLE('',#4117,3.5E-1); +#4187=CIRCLE('',#4186,2.E-1); +#4196=CIRCLE('',#4195,3.5E-1); +#4213=CIRCLE('',#4212,1.5E-1); +#4218=CIRCLE('',#4217,2.E-1); +#4227=CIRCLE('',#4226,1.5E-1); +#4244=CIRCLE('',#4243,3.5E-1); +#4285=CIRCLE('',#4284,1.5E-1); +#4302=CIRCLE('',#4301,3.5E-1); +#4311=CIRCLE('',#4310,2.E-1); +#4316=CIRCLE('',#4315,2.E-1); +#4325=CIRCLE('',#4324,3.5E-1); +#4342=CIRCLE('',#4341,1.5E-1); +#4391=CIRCLE('',#4390,2.E-1); +#4416=CIRCLE('',#4415,2.E0); +#4421=CIRCLE('',#4420,2.E0); +#4426=CIRCLE('',#4425,2.E0); +#4431=CIRCLE('',#4430,2.E0); +#4476=CIRCLE('',#4475,4.25E-1); +#4497=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4493,#4494,#4495,#4496),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#4502=CIRCLE('',#4501,1.3E0); +#4507=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4503,#4504,#4505,#4506),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#6513=EDGE_CURVE('',#5894,#5895,#5,.T.); +#6515=EDGE_CURVE('',#5511,#5894,#2640,.T.); +#6517=EDGE_CURVE('',#5511,#6494,#432,.T.); +#6519=EDGE_CURVE('',#6499,#6494,#9,.T.); +#6521=EDGE_CURVE('',#6499,#5185,#13,.T.); +#6523=EDGE_CURVE('',#5185,#5187,#17,.T.); +#6525=EDGE_CURVE('',#5187,#5189,#21,.T.); +#6527=EDGE_CURVE('',#5189,#5191,#25,.T.); +#6529=EDGE_CURVE('',#5191,#5323,#29,.T.); +#6531=EDGE_CURVE('',#5315,#5323,#33,.T.); +#6533=EDGE_CURVE('',#5315,#5149,#37,.T.); +#6535=EDGE_CURVE('',#5149,#5151,#42,.T.); +#6537=EDGE_CURVE('',#5151,#5153,#46,.T.); +#6539=EDGE_CURVE('',#5153,#5155,#51,.T.); +#6541=EDGE_CURVE('',#5155,#5317,#55,.T.); +#6543=EDGE_CURVE('',#5317,#5325,#59,.T.); +#6545=EDGE_CURVE('',#5325,#5177,#63,.T.); +#6547=EDGE_CURVE('',#5177,#5179,#67,.T.); +#6549=EDGE_CURVE('',#5179,#5181,#71,.T.); +#6551=EDGE_CURVE('',#5181,#5183,#75,.T.); +#6553=EDGE_CURVE('',#6502,#5183,#79,.T.); +#6555=EDGE_CURVE('',#6507,#6502,#83,.T.); +#6557=EDGE_CURVE('',#6507,#5506,#478,.T.); +#6559=EDGE_CURVE('',#5895,#5506,#122,.T.); +#6563=ADVANCED_FACE('',(#6562),#6512,.T.); +#6569=EDGE_CURVE('',#5890,#5891,#87,.T.); +#6571=EDGE_CURVE('',#5890,#5894,#2631,.T.); +#6574=EDGE_CURVE('',#5895,#5891,#109,.T.); +#6578=ADVANCED_FACE('',(#6577),#6568,.T.); +#6585=EDGE_CURVE('',#5891,#5897,#91,.T.); +#6587=EDGE_CURVE('',#5897,#5909,#95,.T.); +#6589=EDGE_CURVE('',#5890,#5909,#99,.T.); +#6593=ADVANCED_FACE('',(#6592),#6583,.T.); +#6600=EDGE_CURVE('',#5891,#5900,#104,.T.); +#6602=EDGE_CURVE('',#5900,#5901,#212,.T.); +#6604=EDGE_CURVE('',#5901,#5897,#2654,.T.); +#6608=ADVANCED_FACE('',(#6607),#6598,.T.); +#6616=EDGE_CURVE('',#5900,#5895,#114,.T.); +#6620=ADVANCED_FACE('',(#6619),#6613,.T.); +#6626=EDGE_CURVE('',#5507,#5900,#118,.T.); +#6630=EDGE_CURVE('',#5506,#5507,#474,.T.); +#6634=ADVANCED_FACE('',(#6633),#6625,.T.); +#6641=EDGE_CURVE('',#5507,#6489,#469,.T.); +#6643=EDGE_CURVE('',#6489,#6485,#169,.T.); +#6645=EDGE_CURVE('',#6487,#6485,#174,.T.); +#6647=EDGE_CURVE('',#5751,#6487,#178,.T.); +#6649=EDGE_CURVE('',#5751,#6483,#182,.T.); +#6651=EDGE_CURVE('',#6481,#6483,#187,.T.); +#6653=EDGE_CURVE('',#5707,#6481,#1098,.T.); +#6655=EDGE_CURVE('',#5707,#5704,#192,.T.); +#6657=EDGE_CURVE('',#5704,#5705,#196,.T.); +#6659=EDGE_CURVE('',#5566,#5705,#416,.T.); +#6661=EDGE_CURVE('',#5566,#5567,#200,.T.); +#6663=EDGE_CURVE('',#5904,#5567,#204,.T.); +#6665=EDGE_CURVE('',#5904,#5901,#208,.T.); +#6670=EDGE_CURVE('',#5786,#5787,#150,.T.); +#6672=EDGE_CURVE('',#5787,#5789,#155,.T.); +#6674=EDGE_CURVE('',#5789,#5791,#159,.T.); +#6676=EDGE_CURVE('',#5791,#5786,#164,.T.); +#6680=EDGE_CURVE('',#5723,#5732,#126,.T.); +#6682=EDGE_CURVE('',#5729,#5723,#130,.T.); +#6684=EDGE_CURVE('',#5727,#5729,#134,.T.); +#6686=EDGE_CURVE('',#5725,#5727,#138,.T.); +#6688=EDGE_CURVE('',#5736,#5725,#142,.T.); +#6690=EDGE_CURVE('',#5736,#5732,#146,.T.); +#6694=ADVANCED_FACE('',(#6669,#6679,#6693),#6639,.T.); +#6700=EDGE_CURVE('',#5761,#5732,#216,.T.); +#6702=EDGE_CURVE('',#5721,#5761,#264,.T.); +#6704=EDGE_CURVE('',#5723,#5721,#220,.T.); +#6709=ADVANCED_FACE('',(#6708),#6699,.T.); +#6715=EDGE_CURVE('',#5763,#5736,#224,.T.); +#6718=EDGE_CURVE('',#5725,#5716,#268,.T.); +#6720=EDGE_CURVE('',#5763,#5716,#248,.T.); +#6724=ADVANCED_FACE('',(#6723),#6714,.T.); +#6730=EDGE_CURVE('',#5732,#5733,#228,.T.); +#6732=EDGE_CURVE('',#5761,#5733,#236,.T.); +#6737=ADVANCED_FACE('',(#6736),#6729,.F.); +#6745=EDGE_CURVE('',#5736,#5737,#232,.T.); +#6747=EDGE_CURVE('',#5737,#5733,#240,.T.); +#6751=ADVANCED_FACE('',(#6750),#6742,.F.); +#6759=EDGE_CURVE('',#5763,#5737,#244,.T.); +#6763=ADVANCED_FACE('',(#6762),#6756,.T.); +#6773=EDGE_CURVE('',#5716,#5717,#252,.T.); +#6775=EDGE_CURVE('',#5717,#5719,#256,.T.); +#6777=EDGE_CURVE('',#5719,#5721,#260,.T.); +#6782=ADVANCED_FACE('',(#6781),#6768,.F.); +#6790=EDGE_CURVE('',#5727,#5717,#272,.T.); +#6795=ADVANCED_FACE('',(#6794),#6787,.T.); +#6803=EDGE_CURVE('',#5729,#5719,#276,.T.); +#6808=ADVANCED_FACE('',(#6807),#6800,.T.); +#6820=ADVANCED_FACE('',(#6819),#6813,.T.); +#6826=EDGE_CURVE('',#5789,#5787,#336,.T.); +#6829=EDGE_CURVE('',#5786,#5791,#396,.T.); +#6834=ADVANCED_FACE('',(#6833),#6825,.T.); +#6844=ADVANCED_FACE('',(#6843),#6839,.T.); +#6854=ADVANCED_FACE('',(#6853),#6849,.T.); +#6860=EDGE_CURVE('',#5621,#5577,#400,.T.); +#6862=EDGE_CURVE('',#5571,#5577,#404,.T.); +#6864=EDGE_CURVE('',#5571,#5562,#408,.T.); +#6866=EDGE_CURVE('',#5562,#5621,#412,.T.); +#6870=ADVANCED_FACE('',(#6869),#6859,.T.); +#6877=EDGE_CURVE('',#5705,#5711,#420,.T.); +#6879=EDGE_CURVE('',#5711,#5623,#424,.T.); +#6881=EDGE_CURVE('',#5566,#5623,#428,.T.); +#6885=ADVANCED_FACE('',(#6884),#6875,.T.); +#6891=EDGE_CURVE('',#6494,#5521,#2778,.T.); +#6894=EDGE_CURVE('',#5510,#5511,#437,.T.); +#6896=EDGE_CURVE('',#6453,#5510,#441,.T.); +#6898=EDGE_CURVE('',#6465,#6453,#445,.T.); +#6900=EDGE_CURVE('',#5527,#6465,#449,.T.); +#6902=EDGE_CURVE('',#5521,#5527,#453,.T.); +#6906=ADVANCED_FACE('',(#6905),#6890,.T.); +#6912=EDGE_CURVE('',#5523,#6507,#2835,.T.); +#6914=EDGE_CURVE('',#5525,#5523,#457,.T.); +#6916=EDGE_CURVE('',#6491,#5525,#461,.T.); +#6918=EDGE_CURVE('',#6489,#6491,#465,.T.); +#6925=ADVANCED_FACE('',(#6924),#6911,.T.); +#6931=EDGE_CURVE('',#6457,#5579,#482,.T.); +#6933=EDGE_CURVE('',#5579,#5576,#487,.T.); +#6935=EDGE_CURVE('',#5576,#5577,#491,.T.); +#6938=EDGE_CURVE('',#5617,#5621,#495,.T.); +#6940=EDGE_CURVE('',#5617,#6457,#499,.T.); +#6944=ADVANCED_FACE('',(#6943),#6930,.T.); +#6951=EDGE_CURVE('',#6457,#6444,#516,.T.); +#6953=EDGE_CURVE('',#6448,#6444,#2732,.T.); +#6955=EDGE_CURVE('',#6448,#5573,#503,.T.); +#6957=EDGE_CURVE('',#5573,#5579,#2728,.T.); +#6961=ADVANCED_FACE('',(#6960),#6949,.T.); +#6967=EDGE_CURVE('',#6456,#6457,#578,.T.); +#6969=EDGE_CURVE('',#6445,#6456,#507,.T.); +#6971=EDGE_CURVE('',#6444,#6445,#512,.T.); +#6976=ADVANCED_FACE('',(#6975),#6966,.F.); +#6982=EDGE_CURVE('',#5665,#6463,#520,.T.); +#6984=EDGE_CURVE('',#6461,#6463,#525,.T.); +#6986=EDGE_CURVE('',#6459,#6461,#530,.T.); +#6988=EDGE_CURVE('',#6459,#5663,#2740,.T.); +#6990=EDGE_CURVE('',#5663,#5665,#534,.T.); +#6994=ADVANCED_FACE('',(#6993),#6981,.F.); +#7000=EDGE_CURVE('',#6479,#5514,#538,.T.); +#7002=EDGE_CURVE('',#5514,#5515,#542,.T.); +#7004=EDGE_CURVE('',#5499,#5515,#546,.T.); +#7006=EDGE_CURVE('',#5498,#5499,#550,.T.); +#7008=EDGE_CURVE('',#5518,#5498,#554,.T.); +#7010=EDGE_CURVE('',#5518,#5519,#558,.T.); +#7012=EDGE_CURVE('',#5519,#6463,#562,.T.); +#7015=EDGE_CURVE('',#5665,#5679,#566,.T.); +#7017=EDGE_CURVE('',#5681,#5679,#570,.T.); +#7019=EDGE_CURVE('',#5681,#6456,#574,.T.); +#7023=EDGE_CURVE('',#5617,#5481,#582,.T.); +#7025=EDGE_CURVE('',#5481,#5483,#586,.T.); +#7027=EDGE_CURVE('',#5483,#5485,#590,.T.); +#7029=EDGE_CURVE('',#5485,#5487,#594,.T.); +#7031=EDGE_CURVE('',#5487,#5489,#598,.T.); +#7033=EDGE_CURVE('',#5489,#5491,#602,.T.); +#7035=EDGE_CURVE('',#5491,#5493,#606,.T.); +#7037=EDGE_CURVE('',#5493,#5495,#610,.T.); +#7039=EDGE_CURVE('',#5619,#5495,#614,.T.); +#7041=EDGE_CURVE('',#6471,#5619,#2699,.T.); +#7043=EDGE_CURVE('',#6473,#6471,#618,.T.); +#7045=EDGE_CURVE('',#6473,#5755,#622,.T.); +#7047=EDGE_CURVE('',#5753,#5755,#626,.T.); +#7049=EDGE_CURVE('',#5765,#5753,#630,.T.); +#7051=EDGE_CURVE('',#6479,#5765,#1090,.T.); +#7055=EDGE_CURVE('',#5423,#5629,#635,.T.); +#7057=EDGE_CURVE('',#5409,#5423,#639,.T.); +#7059=EDGE_CURVE('',#5625,#5409,#643,.T.); +#7061=EDGE_CURVE('',#5633,#5625,#647,.T.); +#7063=EDGE_CURVE('',#5632,#5633,#652,.T.); +#7065=EDGE_CURVE('',#5554,#5632,#656,.T.); +#7067=EDGE_CURVE('',#5554,#5555,#660,.T.); +#7069=EDGE_CURVE('',#5555,#5635,#664,.T.); +#7071=EDGE_CURVE('',#5429,#5635,#669,.T.); +#7073=EDGE_CURVE('',#5413,#5429,#673,.T.); +#7075=EDGE_CURVE('',#5411,#5413,#677,.T.); +#7077=EDGE_CURVE('',#5431,#5411,#681,.T.); +#7079=EDGE_CURVE('',#5637,#5431,#686,.T.); +#7081=EDGE_CURVE('',#5637,#5547,#690,.T.); +#7083=EDGE_CURVE('',#5546,#5547,#694,.T.); +#7085=EDGE_CURVE('',#5629,#5546,#698,.T.); +#7089=EDGE_CURVE('',#5639,#5435,#703,.T.); +#7091=EDGE_CURVE('',#5639,#5551,#707,.T.); +#7093=EDGE_CURVE('',#5550,#5551,#711,.T.); +#7095=EDGE_CURVE('',#5641,#5550,#715,.T.); +#7097=EDGE_CURVE('',#5441,#5641,#720,.T.); +#7099=EDGE_CURVE('',#5417,#5441,#724,.T.); +#7101=EDGE_CURVE('',#5415,#5417,#728,.T.); +#7103=EDGE_CURVE('',#5443,#5415,#732,.T.); +#7105=EDGE_CURVE('',#5643,#5443,#737,.T.); +#7107=EDGE_CURVE('',#5558,#5643,#741,.T.); +#7109=EDGE_CURVE('',#5558,#5559,#745,.T.); +#7111=EDGE_CURVE('',#5559,#5647,#749,.T.); +#7113=EDGE_CURVE('',#5646,#5647,#754,.T.); +#7115=EDGE_CURVE('',#5627,#5646,#758,.T.); +#7117=EDGE_CURVE('',#5419,#5627,#762,.T.); +#7119=EDGE_CURVE('',#5435,#5419,#766,.T.); +#7123=EDGE_CURVE('',#6010,#6011,#770,.T.); +#7125=EDGE_CURVE('',#6011,#6013,#774,.T.); +#7127=EDGE_CURVE('',#6013,#6015,#778,.T.); +#7129=EDGE_CURVE('',#6015,#6010,#782,.T.); +#7133=EDGE_CURVE('',#6018,#6019,#786,.T.); +#7135=EDGE_CURVE('',#6019,#6021,#790,.T.); +#7137=EDGE_CURVE('',#6021,#6023,#794,.T.); +#7139=EDGE_CURVE('',#6023,#6018,#798,.T.); +#7143=EDGE_CURVE('',#6026,#6027,#802,.T.); +#7145=EDGE_CURVE('',#6027,#6029,#806,.T.); +#7147=EDGE_CURVE('',#6029,#6031,#810,.T.); +#7149=EDGE_CURVE('',#6031,#6026,#814,.T.); +#7153=EDGE_CURVE('',#6034,#6035,#818,.T.); +#7155=EDGE_CURVE('',#6035,#6037,#822,.T.); +#7157=EDGE_CURVE('',#6037,#6039,#826,.T.); +#7159=EDGE_CURVE('',#6039,#6034,#830,.T.); +#7163=EDGE_CURVE('',#6042,#6043,#834,.T.); +#7165=EDGE_CURVE('',#6043,#6045,#838,.T.); +#7167=EDGE_CURVE('',#6045,#6047,#842,.T.); +#7169=EDGE_CURVE('',#6047,#6042,#846,.T.); +#7173=EDGE_CURVE('',#6050,#6051,#850,.T.); +#7175=EDGE_CURVE('',#6051,#6053,#854,.T.); +#7177=EDGE_CURVE('',#6053,#6055,#858,.T.); +#7179=EDGE_CURVE('',#6055,#6050,#862,.T.); +#7183=EDGE_CURVE('',#6058,#6059,#866,.T.); +#7185=EDGE_CURVE('',#6059,#6061,#870,.T.); +#7187=EDGE_CURVE('',#6061,#6063,#874,.T.); +#7189=EDGE_CURVE('',#6063,#6058,#878,.T.); +#7193=EDGE_CURVE('',#6066,#6067,#882,.T.); +#7195=EDGE_CURVE('',#6067,#6069,#886,.T.); +#7197=EDGE_CURVE('',#6069,#6071,#890,.T.); +#7199=EDGE_CURVE('',#6071,#6066,#894,.T.); +#7203=EDGE_CURVE('',#6074,#6075,#898,.T.); +#7205=EDGE_CURVE('',#6075,#6077,#902,.T.); +#7207=EDGE_CURVE('',#6077,#6079,#906,.T.); +#7209=EDGE_CURVE('',#6079,#6074,#910,.T.); +#7213=EDGE_CURVE('',#6082,#6083,#914,.T.); +#7215=EDGE_CURVE('',#6083,#6085,#918,.T.); +#7217=EDGE_CURVE('',#6085,#6087,#922,.T.); +#7219=EDGE_CURVE('',#6087,#6082,#926,.T.); +#7223=EDGE_CURVE('',#6090,#6091,#930,.T.); +#7225=EDGE_CURVE('',#6091,#6093,#934,.T.); +#7227=EDGE_CURVE('',#6093,#6095,#938,.T.); +#7229=EDGE_CURVE('',#6095,#6090,#942,.T.); +#7233=EDGE_CURVE('',#6098,#6099,#946,.T.); +#7235=EDGE_CURVE('',#6099,#6101,#950,.T.); +#7237=EDGE_CURVE('',#6101,#6103,#954,.T.); +#7239=EDGE_CURVE('',#6103,#6098,#958,.T.); +#7243=EDGE_CURVE('',#6154,#6155,#962,.T.); +#7245=EDGE_CURVE('',#6155,#6157,#966,.T.); +#7247=EDGE_CURVE('',#6157,#6159,#970,.T.); +#7249=EDGE_CURVE('',#6159,#6154,#974,.T.); +#7253=EDGE_CURVE('',#6162,#6163,#978,.T.); +#7255=EDGE_CURVE('',#6163,#6165,#982,.T.); +#7257=EDGE_CURVE('',#6165,#6167,#986,.T.); +#7259=EDGE_CURVE('',#6167,#6162,#990,.T.); +#7263=EDGE_CURVE('',#6170,#6171,#994,.T.); +#7265=EDGE_CURVE('',#6171,#6173,#998,.T.); +#7267=EDGE_CURVE('',#6173,#6175,#1002,.T.); +#7269=EDGE_CURVE('',#6175,#6170,#1006,.T.); +#7273=EDGE_CURVE('',#6178,#6179,#1010,.T.); +#7275=EDGE_CURVE('',#6179,#6181,#1014,.T.); +#7277=EDGE_CURVE('',#6181,#6183,#1018,.T.); +#7279=EDGE_CURVE('',#6183,#6178,#1022,.T.); +#7283=EDGE_CURVE('',#6186,#6187,#1026,.T.); +#7285=EDGE_CURVE('',#6187,#6189,#1030,.T.); +#7287=EDGE_CURVE('',#6189,#6191,#1034,.T.); +#7289=EDGE_CURVE('',#6191,#6186,#1038,.T.); +#7293=EDGE_CURVE('',#6194,#6195,#1042,.T.); +#7295=EDGE_CURVE('',#6195,#6197,#1046,.T.); +#7297=EDGE_CURVE('',#6197,#6199,#1050,.T.); +#7299=EDGE_CURVE('',#6199,#6194,#1054,.T.); +#7303=ADVANCED_FACE('',(#7054,#7088,#7122,#7132,#7142,#7152,#7162,#7172,#7182, +#7192,#7202,#7212,#7222,#7232,#7242,#7252,#7262,#7272,#7282,#7292,#7302),#6999, +.T.); +#7309=EDGE_CURVE('',#6491,#6479,#1059,.T.); +#7312=EDGE_CURVE('',#5514,#5525,#4435,.T.); +#7317=ADVANCED_FACE('',(#7316),#7308,.T.); +#7323=EDGE_CURVE('',#6477,#6479,#1086,.T.); +#7325=EDGE_CURVE('',#6485,#6477,#1063,.T.); +#7332=ADVANCED_FACE('',(#7331),#7322,.T.); +#7338=EDGE_CURVE('',#6471,#6468,#1067,.T.); +#7340=EDGE_CURVE('',#6468,#6469,#1072,.T.); +#7342=EDGE_CURVE('',#6469,#6473,#1076,.T.); +#7347=ADVANCED_FACE('',(#7346),#7337,.F.); +#7353=EDGE_CURVE('',#5767,#6476,#2748,.T.); +#7355=EDGE_CURVE('',#6476,#6477,#1081,.T.); +#7359=EDGE_CURVE('',#5767,#5765,#1094,.T.); +#7363=ADVANCED_FACE('',(#7362),#7352,.F.); +#7370=EDGE_CURVE('',#6481,#6468,#1102,.T.); +#7373=EDGE_CURVE('',#6471,#5713,#2695,.T.); +#7375=EDGE_CURVE('',#5707,#5713,#2720,.T.); +#7379=ADVANCED_FACE('',(#7378),#7368,.F.); +#7387=EDGE_CURVE('',#6483,#6469,#1106,.T.); +#7392=ADVANCED_FACE('',(#7391),#7384,.F.); +#7401=EDGE_CURVE('',#6483,#5745,#1111,.T.); +#7403=EDGE_CURVE('',#5743,#5745,#1115,.T.); +#7405=EDGE_CURVE('',#5741,#5743,#1119,.T.); +#7407=EDGE_CURVE('',#5740,#5741,#1123,.T.); +#7409=EDGE_CURVE('',#5755,#5740,#1128,.T.); +#7413=ADVANCED_FACE('',(#7412),#7397,.T.); +#7421=EDGE_CURVE('',#5747,#5751,#1145,.T.); +#7423=EDGE_CURVE('',#5747,#5745,#1132,.T.); +#7427=ADVANCED_FACE('',(#7426),#7418,.T.); +#7433=EDGE_CURVE('',#5770,#5771,#1136,.T.); +#7435=EDGE_CURVE('',#5770,#5747,#1140,.T.); +#7438=EDGE_CURVE('',#5751,#5767,#2752,.T.); +#7442=EDGE_CURVE('',#5753,#5749,#1150,.T.); +#7444=EDGE_CURVE('',#5749,#5771,#1154,.T.); +#7448=ADVANCED_FACE('',(#7447),#7432,.F.); +#7455=EDGE_CURVE('',#5773,#5771,#1159,.T.); +#7457=EDGE_CURVE('',#5775,#5773,#1176,.T.); +#7459=EDGE_CURVE('',#5775,#5770,#1185,.T.); +#7463=ADVANCED_FACE('',(#7462),#7453,.F.); +#7471=EDGE_CURVE('',#5749,#5740,#1163,.T.); +#7474=EDGE_CURVE('',#5773,#5741,#1180,.T.); +#7478=ADVANCED_FACE('',(#7477),#7468,.F.); +#7484=EDGE_CURVE('',#5779,#5759,#1167,.T.); +#7486=EDGE_CURVE('',#5759,#5783,#1172,.T.); +#7488=EDGE_CURVE('',#5783,#5779,#1226,.T.); +#7492=ADVANCED_FACE('',(#7491),#7483,.F.); +#7504=ADVANCED_FACE('',(#7503),#7497,.F.); +#7513=EDGE_CURVE('',#5775,#5743,#1189,.T.); +#7517=ADVANCED_FACE('',(#7516),#7509,.F.); +#7530=ADVANCED_FACE('',(#7529),#7522,.F.); +#7536=EDGE_CURVE('',#5777,#5758,#1193,.T.); +#7538=EDGE_CURVE('',#5781,#5777,#1222,.T.); +#7540=EDGE_CURVE('',#5758,#5781,#1198,.T.); +#7544=ADVANCED_FACE('',(#7543),#7535,.F.); +#7550=EDGE_CURVE('',#5339,#5777,#1202,.T.); +#7553=EDGE_CURVE('',#5758,#5759,#1234,.T.); +#7556=EDGE_CURVE('',#5779,#5625,#1206,.T.); +#7559=EDGE_CURVE('',#5341,#5409,#1304,.T.); +#7561=EDGE_CURVE('',#5341,#5339,#1210,.T.); +#7565=ADVANCED_FACE('',(#7564),#7549,.T.); +#7572=EDGE_CURVE('',#5337,#5339,#1214,.T.); +#7574=EDGE_CURVE('',#5337,#5781,#1218,.T.); +#7579=ADVANCED_FACE('',(#7578),#7570,.T.); +#7587=EDGE_CURVE('',#5783,#5633,#1230,.T.); +#7592=ADVANCED_FACE('',(#7591),#7584,.T.); +#7598=EDGE_CURVE('',#5336,#5337,#1239,.T.); +#7602=EDGE_CURVE('',#5341,#5343,#1300,.T.); +#7604=EDGE_CURVE('',#5343,#5344,#1286,.T.); +#7606=EDGE_CURVE('',#5344,#5336,#1253,.T.); +#7610=ADVANCED_FACE('',(#7609),#7597,.T.); +#7622=EDGE_CURVE('',#5336,#5371,#1244,.T.); +#7624=EDGE_CURVE('',#5370,#5371,#1249,.T.); +#7626=EDGE_CURVE('',#5370,#5425,#1713,.T.); +#7628=EDGE_CURVE('',#5425,#5650,#1599,.T.); +#7630=EDGE_CURVE('',#5650,#5632,#1316,.T.); +#7635=ADVANCED_FACE('',(#7634),#7615,.F.); +#7642=EDGE_CURVE('',#5345,#5344,#1296,.T.); +#7644=EDGE_CURVE('',#5353,#5345,#1257,.T.); +#7646=EDGE_CURVE('',#5354,#5353,#1388,.T.); +#7648=EDGE_CURVE('',#5362,#5354,#1261,.T.); +#7650=EDGE_CURVE('',#5363,#5362,#1373,.T.); +#7652=EDGE_CURVE('',#5371,#5363,#1265,.T.); +#7657=ADVANCED_FACE('',(#7656),#7640,.F.); +#7663=EDGE_CURVE('',#5380,#5372,#1269,.T.); +#7665=EDGE_CURVE('',#5381,#5380,#2082,.T.); +#7667=EDGE_CURVE('',#5389,#5381,#1273,.T.); +#7669=EDGE_CURVE('',#5399,#5389,#2141,.T.); +#7671=EDGE_CURVE('',#5407,#5399,#1277,.T.); +#7673=EDGE_CURVE('',#5390,#5407,#4502,.T.); +#7675=EDGE_CURVE('',#5398,#5390,#1281,.T.); +#7677=EDGE_CURVE('',#5372,#5398,#1782,.T.); +#7681=ADVANCED_FACE('',(#7680),#7662,.F.); +#7688=EDGE_CURVE('',#5343,#5423,#1308,.T.); +#7691=EDGE_CURVE('',#5629,#5649,#1312,.T.); +#7693=EDGE_CURVE('',#5649,#5421,#1465,.T.); +#7695=EDGE_CURVE('',#5346,#5421,#1396,.T.); +#7697=EDGE_CURVE('',#5345,#5346,#1291,.T.); +#7702=ADVANCED_FACE('',(#7701),#7686,.F.); +#7714=ADVANCED_FACE('',(#7713),#7707,.T.); +#7722=EDGE_CURVE('',#5546,#5530,#1329,.T.); +#7724=EDGE_CURVE('',#5530,#5649,#1469,.T.); +#7728=ADVANCED_FACE('',(#7727),#7719,.T.); +#7735=EDGE_CURVE('',#5650,#5534,#1668,.T.); +#7737=EDGE_CURVE('',#5554,#5534,#1742,.T.); +#7742=ADVANCED_FACE('',(#7741),#7733,.T.); +#7749=EDGE_CURVE('',#5531,#5547,#1320,.T.); +#7751=EDGE_CURVE('',#5530,#5531,#1325,.T.); +#7756=ADVANCED_FACE('',(#7755),#7747,.T.); +#7763=EDGE_CURVE('',#5451,#5551,#1333,.T.); +#7765=EDGE_CURVE('',#5450,#5451,#1338,.T.); +#7767=EDGE_CURVE('',#5550,#5450,#1342,.T.); +#7771=ADVANCED_FACE('',(#7770),#7761,.T.); +#7777=EDGE_CURVE('',#5635,#5652,#1346,.T.); +#7780=EDGE_CURVE('',#5535,#5555,#1751,.T.); +#7782=EDGE_CURVE('',#5652,#5535,#1350,.T.); +#7786=ADVANCED_FACE('',(#7785),#7776,.T.); +#7792=EDGE_CURVE('',#5653,#5637,#1354,.T.); +#7794=EDGE_CURVE('',#5531,#5653,#1358,.T.); +#7800=ADVANCED_FACE('',(#7799),#7791,.T.); +#7806=EDGE_CURVE('',#5361,#5362,#1363,.T.); +#7808=EDGE_CURVE('',#5361,#5429,#4563,.T.); +#7812=EDGE_CURVE('',#5652,#5427,#1664,.T.); +#7814=EDGE_CURVE('',#5364,#5427,#1721,.T.); +#7816=EDGE_CURVE('',#5363,#5364,#1368,.T.); +#7821=ADVANCED_FACE('',(#7820),#7805,.F.); +#7827=EDGE_CURVE('',#5354,#5355,#1383,.T.); +#7829=EDGE_CURVE('',#5355,#5357,#4539,.T.); +#7831=EDGE_CURVE('',#5359,#5357,#4547,.T.); +#7833=EDGE_CURVE('',#5359,#5361,#4555,.T.); +#7839=ADVANCED_FACE('',(#7838),#7826,.T.); +#7845=EDGE_CURVE('',#5352,#5353,#1378,.T.); +#7847=EDGE_CURVE('',#5352,#5433,#1684,.T.); +#7849=EDGE_CURVE('',#5433,#5653,#1534,.T.); +#7853=EDGE_CURVE('',#5355,#5431,#4543,.T.); +#7859=ADVANCED_FACE('',(#7858),#7844,.F.); +#7866=EDGE_CURVE('',#5346,#5348,#1392,.T.); +#7868=EDGE_CURVE('',#5350,#5348,#1688,.T.); +#7870=EDGE_CURVE('',#5350,#5352,#1672,.T.); +#7876=ADVANCED_FACE('',(#7875),#7864,.T.); +#7884=EDGE_CURVE('',#5421,#5542,#1400,.T.); +#7886=EDGE_CURVE('',#5348,#5542,#1692,.T.); +#7890=ADVANCED_FACE('',(#7889),#7881,.T.); +#7901=EDGE_CURVE('',#5433,#5543,#1680,.T.); +#7903=EDGE_CURVE('',#5542,#5543,#1697,.T.); +#7908=ADVANCED_FACE('',(#7907),#7895,.T.); +#7915=EDGE_CURVE('',#5538,#5425,#1709,.T.); +#7917=EDGE_CURVE('',#5538,#5539,#1738,.T.); +#7919=EDGE_CURVE('',#5539,#5427,#1725,.T.); +#7923=EDGE_CURVE('',#5534,#5535,#1747,.T.); +#7928=ADVANCED_FACE('',(#7927),#7913,.T.); +#7935=EDGE_CURVE('',#5543,#5350,#1676,.T.); +#7941=ADVANCED_FACE('',(#7940),#7933,.T.); +#7953=ADVANCED_FACE('',(#7952),#7946,.T.); +#7959=EDGE_CURVE('',#5368,#5370,#1701,.T.); +#7961=EDGE_CURVE('',#5538,#5368,#1705,.T.); +#7967=ADVANCED_FACE('',(#7966),#7958,.T.); +#7974=EDGE_CURVE('',#5364,#5366,#1717,.T.); +#7976=EDGE_CURVE('',#5368,#5366,#1729,.T.); +#7983=ADVANCED_FACE('',(#7982),#7972,.T.); +#7992=EDGE_CURVE('',#5366,#5539,#1733,.T.); +#7996=ADVANCED_FACE('',(#7995),#7988,.T.); +#8008=ADVANCED_FACE('',(#8007),#8001,.T.); +#8020=ADVANCED_FACE('',(#8019),#8013,.F.); +#8027=EDGE_CURVE('',#5558,#5454,#1755,.T.); +#8029=EDGE_CURVE('',#5454,#5455,#1760,.T.); +#8031=EDGE_CURVE('',#5455,#5559,#1764,.T.); +#8035=ADVANCED_FACE('',(#8034),#8025,.F.); +#8041=EDGE_CURVE('',#5641,#5656,#1768,.T.); +#8045=EDGE_CURVE('',#5450,#5656,#1933,.T.); +#8049=ADVANCED_FACE('',(#8048),#8040,.T.); +#8055=EDGE_CURVE('',#5657,#5643,#1772,.T.); +#8057=EDGE_CURVE('',#5657,#5454,#2067,.T.); +#8063=ADVANCED_FACE('',(#8062),#8054,.T.); +#8069=EDGE_CURVE('',#5372,#5373,#1777,.T.); +#8072=EDGE_CURVE('',#5397,#5398,#1787,.T.); +#8074=EDGE_CURVE('',#5397,#5441,#4535,.T.); +#8078=EDGE_CURVE('',#5656,#5439,#1929,.T.); +#8080=EDGE_CURVE('',#5373,#5439,#1795,.T.); +#8084=ADVANCED_FACE('',(#8083),#8068,.F.); +#8091=EDGE_CURVE('',#5373,#5375,#1791,.T.); +#8093=EDGE_CURVE('',#5377,#5375,#2102,.T.); +#8095=EDGE_CURVE('',#5377,#5379,#2086,.T.); +#8097=EDGE_CURVE('',#5379,#5380,#2072,.T.); +#8102=ADVANCED_FACE('',(#8101),#8089,.T.); +#8110=EDGE_CURVE('',#5439,#5458,#1799,.T.); +#8112=EDGE_CURVE('',#5375,#5458,#2106,.T.); +#8116=ADVANCED_FACE('',(#8115),#8107,.T.); +#8122=EDGE_CURVE('',#5437,#5654,#1864,.T.); +#8124=EDGE_CURVE('',#5437,#5459,#2094,.T.); +#8126=EDGE_CURVE('',#5458,#5459,#2111,.T.); +#8132=EDGE_CURVE('',#5451,#5654,#2119,.T.); +#8136=ADVANCED_FACE('',(#8135),#8121,.T.); +#8142=EDGE_CURVE('',#5445,#5657,#1998,.T.); +#8144=EDGE_CURVE('',#5462,#5445,#4488,.T.); +#8146=EDGE_CURVE('',#5462,#5463,#4476,.T.); +#8148=EDGE_CURVE('',#5463,#5447,#4463,.T.); +#8150=EDGE_CURVE('',#5659,#5447,#2063,.T.); +#8152=EDGE_CURVE('',#5659,#5455,#2127,.T.); +#8158=ADVANCED_FACE('',(#8157),#8141,.T.); +#8165=EDGE_CURVE('',#5379,#5437,#2098,.T.); +#8168=EDGE_CURVE('',#5654,#5639,#2115,.T.); +#8171=EDGE_CURVE('',#5382,#5435,#4451,.T.); +#8173=EDGE_CURVE('',#5381,#5382,#2077,.T.); +#8178=ADVANCED_FACE('',(#8177),#8163,.F.); +#8185=EDGE_CURVE('',#5377,#5459,#2090,.T.); +#8191=ADVANCED_FACE('',(#8190),#8183,.T.); +#8203=ADVANCED_FACE('',(#8202),#8196,.T.); +#8215=ADVANCED_FACE('',(#8214),#8208,.T.); +#8221=EDGE_CURVE('',#5647,#5659,#2123,.T.); +#8228=ADVANCED_FACE('',(#8227),#8220,.T.); +#8234=EDGE_CURVE('',#5388,#5695,#2158,.T.); +#8236=EDGE_CURVE('',#5700,#5695,#2218,.T.); +#8238=EDGE_CURVE('',#5700,#5701,#2131,.T.); +#8240=EDGE_CURVE('',#5701,#5697,#2200,.T.); +#8242=EDGE_CURVE('',#5697,#5646,#2170,.T.); +#8247=EDGE_CURVE('',#5400,#5447,#4459,.T.); +#8249=EDGE_CURVE('',#5399,#5400,#2136,.T.); +#8252=EDGE_CURVE('',#5388,#5389,#2146,.T.); +#8256=ADVANCED_FACE('',(#8255),#8233,.F.); +#8262=EDGE_CURVE('',#5386,#5691,#2150,.T.); +#8264=EDGE_CURVE('',#5691,#5695,#2154,.T.); +#8267=EDGE_CURVE('',#5386,#5388,#2162,.T.); +#8271=ADVANCED_FACE('',(#8270),#8261,.T.); +#8277=EDGE_CURVE('',#5693,#5627,#2166,.T.); +#8281=EDGE_CURVE('',#5693,#5697,#2174,.T.); +#8285=ADVANCED_FACE('',(#8284),#8276,.T.); +#8292=EDGE_CURVE('',#5693,#5701,#2195,.T.); +#8295=EDGE_CURVE('',#5691,#5700,#2213,.T.); +#8298=EDGE_CURVE('',#5386,#5384,#2178,.T.); +#8300=EDGE_CURVE('',#5384,#5419,#2182,.T.); +#8305=ADVANCED_FACE('',(#8304),#8290,.T.); +#8311=EDGE_CURVE('',#5689,#5687,#2187,.T.); +#8313=EDGE_CURVE('',#5689,#5669,#4439,.T.); +#8315=EDGE_CURVE('',#5668,#5669,#2258,.T.); +#8317=EDGE_CURVE('',#5677,#5668,#2191,.T.); +#8319=EDGE_CURVE('',#5677,#5687,#2230,.T.); +#8323=ADVANCED_FACE('',(#8322),#8310,.T.); +#8334=ADVANCED_FACE('',(#8333),#8328,.T.); +#8340=EDGE_CURVE('',#5685,#5683,#2209,.T.); +#8342=EDGE_CURVE('',#5689,#5685,#4443,.T.); +#8345=EDGE_CURVE('',#5687,#5683,#2226,.T.); +#8349=ADVANCED_FACE('',(#8348),#8339,.F.); +#8355=EDGE_CURVE('',#5683,#5675,#2222,.T.); +#8357=EDGE_CURVE('',#5675,#5673,#2270,.T.); +#8359=EDGE_CURVE('',#5671,#5673,#2266,.T.); +#8361=EDGE_CURVE('',#5685,#5671,#2204,.T.); +#8366=ADVANCED_FACE('',(#8365),#8354,.T.); +#8377=ADVANCED_FACE('',(#8376),#8371,.T.); +#8386=EDGE_CURVE('',#5679,#5677,#2235,.T.); +#8390=EDGE_CURVE('',#5662,#5663,#2736,.T.); +#8392=EDGE_CURVE('',#5675,#5662,#2240,.T.); +#8396=ADVANCED_FACE('',(#8395),#8382,.T.); +#8405=EDGE_CURVE('',#5681,#5668,#2254,.T.); +#8409=ADVANCED_FACE('',(#8408),#8401,.F.); +#8415=EDGE_CURVE('',#5673,#6449,#2245,.T.); +#8417=EDGE_CURVE('',#6449,#6445,#2249,.T.); +#8423=EDGE_CURVE('',#5669,#5671,#2262,.T.); +#8428=ADVANCED_FACE('',(#8427),#8414,.F.); +#8437=EDGE_CURVE('',#6449,#5662,#2342,.T.); +#8441=ADVANCED_FACE('',(#8440),#8433,.T.); +#8447=EDGE_CURVE('',#5885,#5883,#2316,.T.); +#8449=EDGE_CURVE('',#5883,#5563,#2320,.T.); +#8451=EDGE_CURVE('',#5562,#5563,#2324,.T.); +#8454=EDGE_CURVE('',#5570,#5571,#2328,.T.); +#8456=EDGE_CURVE('',#5573,#5570,#2333,.T.); +#8459=EDGE_CURVE('',#6448,#6449,#2338,.T.); +#8462=EDGE_CURVE('',#5662,#6441,#2346,.T.); +#8464=EDGE_CURVE('',#6441,#6451,#2351,.T.); +#8466=EDGE_CURVE('',#6453,#6451,#2356,.T.); +#8469=EDGE_CURVE('',#5911,#5510,#2644,.T.); +#8471=EDGE_CURVE('',#5911,#5885,#2360,.T.); +#8475=EDGE_CURVE('',#5582,#5583,#2299,.T.); +#8477=EDGE_CURVE('',#5583,#5585,#2303,.T.); +#8479=EDGE_CURVE('',#5585,#5587,#2308,.T.); +#8481=EDGE_CURVE('',#5587,#5582,#2312,.T.); +#8485=EDGE_CURVE('',#5603,#5609,#2274,.T.); +#8487=EDGE_CURVE('',#5611,#5609,#2278,.T.); +#8489=EDGE_CURVE('',#5611,#5598,#2282,.T.); +#8491=EDGE_CURVE('',#5598,#5599,#2286,.T.); +#8493=EDGE_CURVE('',#5599,#5601,#2290,.T.); +#8495=EDGE_CURVE('',#5601,#5603,#2294,.T.); +#8499=ADVANCED_FACE('',(#8474,#8484,#8498),#8446,.T.); +#8505=EDGE_CURVE('',#5606,#5609,#2364,.T.); +#8508=EDGE_CURVE('',#5603,#5595,#2368,.T.); +#8510=EDGE_CURVE('',#5595,#5606,#2384,.T.); +#8514=ADVANCED_FACE('',(#8513),#8504,.F.); +#8520=EDGE_CURVE('',#5615,#5611,#2372,.T.); +#8522=EDGE_CURVE('',#5615,#5590,#2400,.T.); +#8524=EDGE_CURVE('',#5598,#5590,#2420,.T.); +#8529=ADVANCED_FACE('',(#8528),#8519,.F.); +#8535=EDGE_CURVE('',#5606,#5607,#2380,.T.); +#8537=EDGE_CURVE('',#5609,#5607,#2376,.T.); +#8542=ADVANCED_FACE('',(#8541),#8534,.T.); +#8550=EDGE_CURVE('',#5593,#5595,#2388,.T.); +#8552=EDGE_CURVE('',#5591,#5593,#2392,.T.); +#8554=EDGE_CURVE('',#5590,#5591,#2396,.T.); +#8557=EDGE_CURVE('',#5615,#5613,#2404,.T.); +#8559=EDGE_CURVE('',#5613,#5607,#2408,.T.); +#8563=ADVANCED_FACE('',(#8562),#8547,.T.); +#8570=EDGE_CURVE('',#5601,#5593,#2412,.T.); +#8576=ADVANCED_FACE('',(#8575),#8568,.F.); +#8583=EDGE_CURVE('',#5599,#5591,#2416,.T.); +#8589=ADVANCED_FACE('',(#8588),#8581,.F.); +#8601=ADVANCED_FACE('',(#8600),#8594,.F.); +#8609=EDGE_CURVE('',#5611,#5613,#2424,.T.); +#8613=ADVANCED_FACE('',(#8612),#8606,.F.); +#8625=ADVANCED_FACE('',(#8624),#8618,.T.); +#8632=EDGE_CURVE('',#5583,#5582,#2544,.T.); +#8636=ADVANCED_FACE('',(#8635),#8630,.T.); +#8642=EDGE_CURVE('',#5587,#5585,#2484,.T.); +#8649=ADVANCED_FACE('',(#8648),#8641,.T.); +#8659=ADVANCED_FACE('',(#8658),#8654,.T.); +#8665=EDGE_CURVE('',#5882,#5883,#2549,.T.); +#8668=EDGE_CURVE('',#5885,#5887,#2621,.T.); +#8670=EDGE_CURVE('',#5887,#5882,#2594,.T.); +#8674=ADVANCED_FACE('',(#8673),#8664,.T.); +#8681=EDGE_CURVE('',#5882,#5905,#2606,.T.); +#8683=EDGE_CURVE('',#5904,#5905,#2554,.T.); +#8686=EDGE_CURVE('',#5479,#5567,#2558,.T.); +#8688=EDGE_CURVE('',#5477,#5479,#2562,.T.); +#8690=EDGE_CURVE('',#5475,#5477,#2566,.T.); +#8692=EDGE_CURVE('',#5473,#5475,#2570,.T.); +#8694=EDGE_CURVE('',#5471,#5473,#2574,.T.); +#8696=EDGE_CURVE('',#5469,#5471,#2578,.T.); +#8698=EDGE_CURVE('',#5467,#5469,#2582,.T.); +#8700=EDGE_CURVE('',#5466,#5467,#2586,.T.); +#8702=EDGE_CURVE('',#5563,#5466,#2590,.T.); +#8707=ADVANCED_FACE('',(#8706),#8679,.T.); +#8714=EDGE_CURVE('',#5907,#5887,#2598,.T.); +#8716=EDGE_CURVE('',#5905,#5907,#2602,.T.); +#8721=ADVANCED_FACE('',(#8720),#8712,.F.); +#8728=EDGE_CURVE('',#5887,#5909,#2616,.T.); +#8731=EDGE_CURVE('',#5897,#5907,#2649,.T.); +#8735=ADVANCED_FACE('',(#8734),#8726,.T.); +#8741=EDGE_CURVE('',#5909,#5885,#2611,.T.); +#8747=ADVANCED_FACE('',(#8746),#8740,.T.); +#8754=EDGE_CURVE('',#5911,#5890,#2636,.T.); +#8760=ADVANCED_FACE('',(#8759),#8752,.T.); +#8766=EDGE_CURVE('',#5894,#5911,#2626,.T.); +#8772=ADVANCED_FACE('',(#8771),#8765,.T.); +#8784=ADVANCED_FACE('',(#8783),#8777,.T.); +#8792=EDGE_CURVE('',#5907,#5901,#2659,.T.); +#8796=ADVANCED_FACE('',(#8795),#8789,.T.); +#8808=ADVANCED_FACE('',(#8807),#8801,.T.); +#8814=EDGE_CURVE('',#5495,#5479,#2663,.T.); +#8819=EDGE_CURVE('',#5619,#5623,#2703,.T.); +#8824=ADVANCED_FACE('',(#8823),#8813,.F.); +#8830=EDGE_CURVE('',#5493,#5477,#2667,.T.); +#8837=ADVANCED_FACE('',(#8836),#8829,.F.); +#8843=EDGE_CURVE('',#5491,#5475,#2671,.T.); +#8850=ADVANCED_FACE('',(#8849),#8842,.F.); +#8856=EDGE_CURVE('',#5489,#5473,#2675,.T.); +#8863=ADVANCED_FACE('',(#8862),#8855,.F.); +#8869=EDGE_CURVE('',#5487,#5471,#2679,.T.); +#8876=ADVANCED_FACE('',(#8875),#8868,.F.); +#8882=EDGE_CURVE('',#5485,#5469,#2683,.T.); +#8889=ADVANCED_FACE('',(#8888),#8881,.F.); +#8895=EDGE_CURVE('',#5483,#5467,#2687,.T.); +#8902=ADVANCED_FACE('',(#8901),#8894,.F.); +#8908=EDGE_CURVE('',#5481,#5466,#2691,.T.); +#8915=ADVANCED_FACE('',(#8914),#8907,.F.); +#8929=ADVANCED_FACE('',(#8928),#8920,.F.); +#8939=EDGE_CURVE('',#5710,#5711,#2707,.T.); +#8941=EDGE_CURVE('',#5713,#5710,#2712,.T.); +#8945=ADVANCED_FACE('',(#8944),#8934,.T.); +#8952=EDGE_CURVE('',#5704,#5710,#2716,.T.); +#8958=ADVANCED_FACE('',(#8957),#8950,.F.); +#8970=ADVANCED_FACE('',(#8969),#8963,.T.); +#8979=EDGE_CURVE('',#5570,#5576,#2724,.T.); +#8983=ADVANCED_FACE('',(#8982),#8975,.T.); +#8995=ADVANCED_FACE('',(#8994),#8988,.T.); +#9007=ADVANCED_FACE('',(#9006),#9000,.F.); +#9016=EDGE_CURVE('',#6459,#6441,#2744,.T.); +#9020=ADVANCED_FACE('',(#9019),#9012,.T.); +#9029=EDGE_CURVE('',#6487,#6476,#2756,.T.); +#9033=ADVANCED_FACE('',(#9032),#9025,.T.); +#9042=EDGE_CURVE('',#6451,#6461,#2760,.T.); +#9046=ADVANCED_FACE('',(#9045),#9038,.F.); +#9055=EDGE_CURVE('',#6465,#6463,#2769,.T.); +#9060=ADVANCED_FACE('',(#9059),#9051,.T.); +#9067=EDGE_CURVE('',#5519,#5527,#2764,.T.); +#9073=ADVANCED_FACE('',(#9072),#9065,.T.); +#9080=EDGE_CURVE('',#5518,#5521,#2782,.T.); +#9086=ADVANCED_FACE('',(#9085),#9078,.T.); +#9092=EDGE_CURVE('',#6494,#6495,#2774,.T.); +#9097=EDGE_CURVE('',#5503,#5498,#2818,.T.); +#9099=EDGE_CURVE('',#6495,#5503,#2790,.T.); +#9103=ADVANCED_FACE('',(#9102),#9091,.F.); +#9110=EDGE_CURVE('',#6497,#6495,#2786,.T.); +#9112=EDGE_CURVE('',#6497,#6499,#4391,.T.); +#9117=ADVANCED_FACE('',(#9116),#9108,.T.); +#9125=EDGE_CURVE('',#5502,#5503,#2794,.T.); +#9127=EDGE_CURVE('',#6505,#5502,#2798,.T.); +#9129=EDGE_CURVE('',#6505,#6503,#2802,.T.); +#9131=EDGE_CURVE('',#6503,#5173,#2806,.T.); +#9133=EDGE_CURVE('',#5175,#5173,#2810,.T.); +#9135=EDGE_CURVE('',#6497,#5175,#2814,.T.); +#9139=ADVANCED_FACE('',(#9138),#9122,.T.); +#9148=EDGE_CURVE('',#5502,#5499,#2827,.T.); +#9152=ADVANCED_FACE('',(#9151),#9144,.F.); +#9158=EDGE_CURVE('',#6505,#6507,#2823,.T.); +#9163=EDGE_CURVE('',#5515,#5523,#2831,.T.); +#9168=ADVANCED_FACE('',(#9167),#9157,.F.); +#9174=EDGE_CURVE('',#6502,#6503,#2840,.T.); +#9181=ADVANCED_FACE('',(#9180),#9173,.T.); +#9189=EDGE_CURVE('',#5183,#5163,#2844,.T.); +#9191=EDGE_CURVE('',#5163,#5173,#2928,.T.); +#9196=ADVANCED_FACE('',(#9195),#9186,.F.); +#9202=EDGE_CURVE('',#5181,#5161,#2848,.T.); +#9204=EDGE_CURVE('',#5161,#5163,#2932,.T.); +#9210=ADVANCED_FACE('',(#9209),#9201,.F.); +#9216=EDGE_CURVE('',#5179,#5159,#2852,.T.); +#9218=EDGE_CURVE('',#5159,#5161,#2936,.T.); +#9224=ADVANCED_FACE('',(#9223),#9215,.F.); +#9230=EDGE_CURVE('',#5177,#5158,#2856,.T.); +#9232=EDGE_CURVE('',#5158,#5159,#2940,.T.); +#9238=ADVANCED_FACE('',(#9237),#9229,.F.); +#9244=EDGE_CURVE('',#5147,#5854,#2972,.T.); +#9246=EDGE_CURVE('',#5858,#5854,#3146,.T.); +#9248=EDGE_CURVE('',#5858,#5879,#3138,.T.); +#9250=EDGE_CURVE('',#5879,#5821,#2860,.T.); +#9252=EDGE_CURVE('',#5817,#5821,#3098,.T.); +#9254=EDGE_CURVE('',#5819,#5817,#3106,.T.); +#9256=EDGE_CURVE('',#5819,#5158,#2944,.T.); +#9260=EDGE_CURVE('',#5327,#5325,#2864,.T.); +#9262=EDGE_CURVE('',#5319,#5327,#2868,.T.); +#9264=EDGE_CURVE('',#5319,#5317,#2872,.T.); +#9267=EDGE_CURVE('',#5155,#5147,#2876,.T.); +#9271=ADVANCED_FACE('',(#9270),#9243,.F.); +#9277=EDGE_CURVE('',#5794,#5795,#2880,.T.); +#9279=EDGE_CURVE('',#5849,#5794,#3490,.T.); +#9281=EDGE_CURVE('',#5845,#5849,#2884,.T.); +#9283=EDGE_CURVE('',#5841,#5845,#2888,.T.); +#9285=EDGE_CURVE('',#5837,#5841,#2892,.T.); +#9287=EDGE_CURVE('',#5831,#5837,#2896,.T.); +#9289=EDGE_CURVE('',#5825,#5831,#2900,.T.); +#9291=EDGE_CURVE('',#5824,#5825,#2904,.T.); +#9293=EDGE_CURVE('',#5171,#5824,#2908,.T.); +#9295=EDGE_CURVE('',#5169,#5171,#2912,.T.); +#9297=EDGE_CURVE('',#5167,#5169,#2916,.T.); +#9299=EDGE_CURVE('',#5166,#5167,#2920,.T.); +#9301=EDGE_CURVE('',#5175,#5166,#2924,.T.); +#9309=EDGE_CURVE('',#5815,#5819,#2948,.T.); +#9311=EDGE_CURVE('',#5809,#5815,#2952,.T.); +#9313=EDGE_CURVE('',#5805,#5809,#2956,.T.); +#9315=EDGE_CURVE('',#5801,#5805,#2960,.T.); +#9317=EDGE_CURVE('',#5795,#5801,#2964,.T.); +#9321=EDGE_CURVE('',#6426,#6427,#3010,.T.); +#9323=EDGE_CURVE('',#6431,#6427,#3014,.T.); +#9325=EDGE_CURVE('',#6430,#6431,#3018,.T.); +#9327=EDGE_CURVE('',#6430,#6426,#3022,.T.); +#9331=EDGE_CURVE('',#6434,#6435,#3026,.T.); +#9333=EDGE_CURVE('',#6438,#6434,#3030,.T.); +#9335=EDGE_CURVE('',#6438,#6439,#3034,.T.); +#9337=EDGE_CURVE('',#6439,#6435,#3038,.T.); +#9341=ADVANCED_FACE('',(#9320,#9330,#9340),#9276,.T.); +#9347=EDGE_CURVE('',#5854,#5855,#2968,.T.); +#9350=EDGE_CURVE('',#5145,#5147,#2977,.T.); +#9352=EDGE_CURVE('',#5143,#5145,#2981,.T.); +#9354=EDGE_CURVE('',#5142,#5143,#2986,.T.); +#9356=EDGE_CURVE('',#5875,#5142,#2990,.T.); +#9358=EDGE_CURVE('',#5871,#5875,#2994,.T.); +#9360=EDGE_CURVE('',#5865,#5871,#2998,.T.); +#9362=EDGE_CURVE('',#5861,#5865,#3002,.T.); +#9364=EDGE_CURVE('',#5855,#5861,#3006,.T.); +#9368=ADVANCED_FACE('',(#9367),#9346,.T.); +#9375=EDGE_CURVE('',#5799,#5795,#3042,.T.); +#9377=EDGE_CURVE('',#5798,#5799,#3530,.T.); +#9379=EDGE_CURVE('',#5798,#5794,#3046,.T.); +#9383=ADVANCED_FACE('',(#9382),#9373,.T.); +#9390=EDGE_CURVE('',#5803,#5801,#3050,.T.); +#9392=EDGE_CURVE('',#5799,#5803,#3234,.T.); +#9397=ADVANCED_FACE('',(#9396),#9388,.T.); +#9404=EDGE_CURVE('',#5807,#5805,#3054,.T.); +#9406=EDGE_CURVE('',#5803,#5807,#3262,.T.); +#9411=ADVANCED_FACE('',(#9410),#9402,.T.); +#9418=EDGE_CURVE('',#5811,#5809,#3058,.T.); +#9420=EDGE_CURVE('',#5807,#5811,#3274,.T.); +#9425=ADVANCED_FACE('',(#9424),#9416,.T.); +#9431=EDGE_CURVE('',#5811,#5813,#3066,.T.); +#9435=EDGE_CURVE('',#5813,#5815,#3062,.T.); +#9439=ADVANCED_FACE('',(#9438),#9430,.T.); +#9446=EDGE_CURVE('',#5223,#5813,#3070,.T.); +#9448=EDGE_CURVE('',#5223,#5331,#3310,.T.); +#9450=EDGE_CURVE('',#5331,#5207,#3074,.T.); +#9452=EDGE_CURVE('',#5335,#5207,#3078,.T.); +#9454=EDGE_CURVE('',#5811,#5335,#3278,.T.); +#9458=ADVANCED_FACE('',(#9457),#9444,.F.); +#9464=EDGE_CURVE('',#5859,#5863,#3082,.T.); +#9466=EDGE_CURVE('',#5863,#5195,#3086,.T.); +#9468=EDGE_CURVE('',#5195,#5221,#3326,.T.); +#9470=EDGE_CURVE('',#5221,#5859,#3090,.T.); +#9474=ADVANCED_FACE('',(#9473),#9463,.F.); +#9480=EDGE_CURVE('',#5813,#5817,#3094,.T.); +#9483=EDGE_CURVE('',#5215,#5821,#3118,.T.); +#9485=EDGE_CURVE('',#5215,#5219,#3102,.T.); +#9487=EDGE_CURVE('',#5219,#5223,#3314,.T.); +#9492=ADVANCED_FACE('',(#9491),#9479,.F.); +#9504=ADVANCED_FACE('',(#9503),#9497,.T.); +#9510=EDGE_CURVE('',#5879,#5214,#3110,.T.); +#9512=EDGE_CURVE('',#5214,#5215,#3114,.T.); +#9518=ADVANCED_FACE('',(#9517),#9509,.F.); +#9524=EDGE_CURVE('',#5851,#5226,#3122,.T.); +#9526=EDGE_CURVE('',#5226,#5227,#3126,.T.); +#9528=EDGE_CURVE('',#5227,#5877,#3130,.T.); +#9530=EDGE_CURVE('',#5877,#5851,#4150,.T.); +#9534=ADVANCED_FACE('',(#9533),#9523,.F.); +#9540=EDGE_CURVE('',#5858,#5859,#3134,.T.); +#9543=EDGE_CURVE('',#5221,#5218,#3322,.T.); +#9545=EDGE_CURVE('',#5214,#5218,#3546,.T.); +#9551=ADVANCED_FACE('',(#9550),#9539,.F.); +#9558=EDGE_CURVE('',#5859,#5855,#3142,.T.); +#9564=ADVANCED_FACE('',(#9563),#9556,.T.); +#9571=EDGE_CURVE('',#5863,#5861,#3150,.T.); +#9577=ADVANCED_FACE('',(#9576),#9569,.T.); +#9584=EDGE_CURVE('',#5867,#5865,#3154,.T.); +#9586=EDGE_CURVE('',#5863,#5867,#3550,.T.); +#9591=ADVANCED_FACE('',(#9590),#9582,.T.); +#9597=EDGE_CURVE('',#5867,#5869,#3182,.T.); +#9601=EDGE_CURVE('',#5869,#5871,#3158,.T.); +#9605=ADVANCED_FACE('',(#9604),#9596,.T.); +#9611=EDGE_CURVE('',#5829,#5833,#3162,.T.); +#9613=EDGE_CURVE('',#5833,#5333,#3166,.T.); +#9615=EDGE_CURVE('',#5333,#5198,#3170,.T.); +#9617=EDGE_CURVE('',#5329,#5198,#3174,.T.); +#9619=EDGE_CURVE('',#5329,#5233,#3350,.T.); +#9621=EDGE_CURVE('',#5233,#5829,#3178,.T.); +#9625=ADVANCED_FACE('',(#9624),#9610,.F.); +#9632=EDGE_CURVE('',#5235,#5869,#3186,.T.); +#9634=EDGE_CURVE('',#5235,#5194,#3334,.T.); +#9636=EDGE_CURVE('',#5867,#5194,#3554,.T.); +#9640=ADVANCED_FACE('',(#9639),#9630,.F.); +#9647=EDGE_CURVE('',#5833,#5831,#3190,.T.); +#9650=EDGE_CURVE('',#5829,#5825,#4395,.T.); +#9654=ADVANCED_FACE('',(#9653),#9645,.T.); +#9660=EDGE_CURVE('',#5833,#5835,#3198,.T.); +#9664=EDGE_CURVE('',#5835,#5837,#3194,.T.); +#9668=ADVANCED_FACE('',(#9667),#9659,.T.); +#9675=EDGE_CURVE('',#5835,#5201,#3202,.T.); +#9677=EDGE_CURVE('',#5201,#5333,#3206,.T.); +#9682=ADVANCED_FACE('',(#9681),#9673,.F.); +#9688=EDGE_CURVE('',#5835,#5839,#3210,.T.); +#9690=EDGE_CURVE('',#5839,#5247,#3214,.T.); +#9692=EDGE_CURVE('',#5247,#5238,#3218,.T.); +#9694=EDGE_CURVE('',#5238,#5239,#3510,.T.); +#9696=EDGE_CURVE('',#5209,#5239,#3302,.T.); +#9698=EDGE_CURVE('',#5209,#5199,#3222,.T.); +#9700=EDGE_CURVE('',#5199,#5201,#3290,.T.); +#9705=ADVANCED_FACE('',(#9704),#9687,.F.); +#9714=EDGE_CURVE('',#5839,#5841,#3226,.T.); +#9718=ADVANCED_FACE('',(#9717),#9710,.T.); +#9724=EDGE_CURVE('',#5839,#5843,#3250,.T.); +#9728=EDGE_CURVE('',#5843,#5845,#3230,.T.); +#9732=ADVANCED_FACE('',(#9731),#9723,.T.); +#9739=EDGE_CURVE('',#5803,#5251,#3238,.T.); +#9741=EDGE_CURVE('',#5251,#5253,#3242,.T.); +#9743=EDGE_CURVE('',#5799,#5253,#3246,.T.); +#9747=ADVANCED_FACE('',(#9746),#9737,.F.); +#9754=EDGE_CURVE('',#5843,#5255,#3254,.T.); +#9756=EDGE_CURVE('',#5247,#5255,#3258,.T.); +#9761=ADVANCED_FACE('',(#9760),#9752,.F.); +#9768=EDGE_CURVE('',#5807,#5204,#3266,.T.); +#9770=EDGE_CURVE('',#5204,#5205,#3294,.T.); +#9772=EDGE_CURVE('',#5211,#5205,#3542,.T.); +#9774=EDGE_CURVE('',#5211,#5241,#3270,.T.); +#9776=EDGE_CURVE('',#5241,#5243,#3502,.T.); +#9778=EDGE_CURVE('',#5251,#5243,#3538,.T.); +#9783=ADVANCED_FACE('',(#9782),#9766,.F.); +#9791=EDGE_CURVE('',#5335,#5204,#3282,.T.); +#9796=ADVANCED_FACE('',(#9795),#9788,.F.); +#9802=EDGE_CURVE('',#5198,#5199,#3286,.T.); +#9809=ADVANCED_FACE('',(#9808),#9801,.T.); +#9818=EDGE_CURVE('',#5205,#5207,#3298,.T.); +#9822=ADVANCED_FACE('',(#9821),#9814,.T.); +#9830=EDGE_CURVE('',#5329,#5209,#3354,.T.); +#9835=ADVANCED_FACE('',(#9834),#9827,.T.); +#9842=EDGE_CURVE('',#5239,#5241,#3506,.T.); +#9845=EDGE_CURVE('',#5331,#5211,#3306,.T.); +#9849=EDGE_CURVE('',#5218,#5219,#3318,.T.); +#9853=EDGE_CURVE('',#5194,#5195,#3330,.T.); +#9856=EDGE_CURVE('',#5231,#5235,#3338,.T.); +#9858=EDGE_CURVE('',#5230,#5231,#3342,.T.); +#9860=EDGE_CURVE('',#5233,#5230,#3346,.T.); +#9866=EDGE_CURVE('',#6202,#6203,#3358,.T.); +#9868=EDGE_CURVE('',#6206,#6202,#3362,.T.); +#9870=EDGE_CURVE('',#6206,#6207,#3366,.T.); +#9872=EDGE_CURVE('',#6207,#6203,#3370,.T.); +#9876=EDGE_CURVE('',#6242,#6243,#3374,.T.); +#9878=EDGE_CURVE('',#6246,#6242,#3378,.T.); +#9880=EDGE_CURVE('',#6246,#6247,#3382,.T.); +#9882=EDGE_CURVE('',#6247,#6243,#3386,.T.); +#9886=EDGE_CURVE('',#6270,#6271,#3390,.T.); +#9888=EDGE_CURVE('',#6274,#6270,#3394,.T.); +#9890=EDGE_CURVE('',#6274,#6275,#3398,.T.); +#9892=EDGE_CURVE('',#6275,#6271,#3402,.T.); +#9896=EDGE_CURVE('',#6298,#6299,#3406,.T.); +#9898=EDGE_CURVE('',#6302,#6298,#3410,.T.); +#9900=EDGE_CURVE('',#6302,#6303,#3414,.T.); +#9902=EDGE_CURVE('',#6303,#6299,#3418,.T.); +#9906=EDGE_CURVE('',#6326,#6327,#3422,.T.); +#9908=EDGE_CURVE('',#6330,#6326,#3426,.T.); +#9910=EDGE_CURVE('',#6330,#6331,#3430,.T.); +#9912=EDGE_CURVE('',#6331,#6327,#3434,.T.); +#9916=EDGE_CURVE('',#6354,#6355,#3438,.T.); +#9918=EDGE_CURVE('',#6358,#6354,#3442,.T.); +#9920=EDGE_CURVE('',#6358,#6359,#3446,.T.); +#9922=EDGE_CURVE('',#6359,#6355,#3450,.T.); +#9926=EDGE_CURVE('',#6382,#6383,#3454,.T.); +#9928=EDGE_CURVE('',#6386,#6382,#3458,.T.); +#9930=EDGE_CURVE('',#6386,#6387,#3462,.T.); +#9932=EDGE_CURVE('',#6387,#6383,#3466,.T.); +#9936=EDGE_CURVE('',#6410,#6411,#3470,.T.); +#9938=EDGE_CURVE('',#6414,#6410,#3474,.T.); +#9940=EDGE_CURVE('',#6414,#6415,#3478,.T.); +#9942=EDGE_CURVE('',#6415,#6411,#3482,.T.); +#9946=ADVANCED_FACE('',(#9865,#9875,#9885,#9895,#9905,#9915,#9925,#9935,#9945), +#9840,.T.); +#9952=EDGE_CURVE('',#5847,#5245,#3486,.T.); +#9954=EDGE_CURVE('',#5849,#5847,#3522,.T.); +#9958=EDGE_CURVE('',#5249,#5798,#3494,.T.); +#9960=EDGE_CURVE('',#5243,#5249,#3498,.T.); +#9965=EDGE_CURVE('',#5245,#5238,#3514,.T.); +#9969=ADVANCED_FACE('',(#9968),#9951,.T.); +#9975=EDGE_CURVE('',#5843,#5847,#3518,.T.); +#9978=EDGE_CURVE('',#5255,#5245,#3526,.T.); +#9983=ADVANCED_FACE('',(#9982),#9974,.F.); +#9995=ADVANCED_FACE('',(#9994),#9988,.T.); +#10007=ADVANCED_FACE('',(#10006),#10000,.F.); +#10015=EDGE_CURVE('',#5253,#5249,#3534,.T.); +#10020=ADVANCED_FACE('',(#10019),#10012,.F.); +#10032=ADVANCED_FACE('',(#10031),#10025,.F.); +#10044=ADVANCED_FACE('',(#10043),#10037,.T.); +#10056=ADVANCED_FACE('',(#10055),#10049,.F.); +#10068=EDGE_CURVE('',#6210,#6211,#3558,.T.); +#10070=EDGE_CURVE('',#6215,#6211,#3562,.T.); +#10072=EDGE_CURVE('',#6214,#6215,#3566,.T.); +#10074=EDGE_CURVE('',#6214,#6210,#3570,.T.); +#10078=EDGE_CURVE('',#6250,#6251,#3574,.T.); +#10080=EDGE_CURVE('',#6255,#6251,#3578,.T.); +#10082=EDGE_CURVE('',#6254,#6255,#3582,.T.); +#10084=EDGE_CURVE('',#6254,#6250,#3586,.T.); +#10088=EDGE_CURVE('',#6278,#6279,#3590,.T.); +#10090=EDGE_CURVE('',#6283,#6279,#3594,.T.); +#10092=EDGE_CURVE('',#6282,#6283,#3598,.T.); +#10094=EDGE_CURVE('',#6282,#6278,#3602,.T.); +#10098=EDGE_CURVE('',#6306,#6307,#3606,.T.); +#10100=EDGE_CURVE('',#6311,#6307,#3610,.T.); +#10102=EDGE_CURVE('',#6310,#6311,#3614,.T.); +#10104=EDGE_CURVE('',#6310,#6306,#3618,.T.); +#10108=EDGE_CURVE('',#6334,#6335,#3622,.T.); +#10110=EDGE_CURVE('',#6339,#6335,#3626,.T.); +#10112=EDGE_CURVE('',#6338,#6339,#3630,.T.); +#10114=EDGE_CURVE('',#6338,#6334,#3634,.T.); +#10118=EDGE_CURVE('',#6362,#6363,#3638,.T.); +#10120=EDGE_CURVE('',#6367,#6363,#3642,.T.); +#10122=EDGE_CURVE('',#6366,#6367,#3646,.T.); +#10124=EDGE_CURVE('',#6366,#6362,#3650,.T.); +#10128=EDGE_CURVE('',#6390,#6391,#3654,.T.); +#10130=EDGE_CURVE('',#6395,#6391,#3658,.T.); +#10132=EDGE_CURVE('',#6394,#6395,#3662,.T.); +#10134=EDGE_CURVE('',#6394,#6390,#3666,.T.); +#10138=EDGE_CURVE('',#6418,#6419,#3670,.T.); +#10140=EDGE_CURVE('',#6423,#6419,#3674,.T.); +#10142=EDGE_CURVE('',#6422,#6423,#3678,.T.); +#10144=EDGE_CURVE('',#6422,#6418,#3682,.T.); +#10148=ADVANCED_FACE('',(#10067,#10077,#10087,#10097,#10107,#10117,#10127, +#10137,#10147),#10061,.F.); +#10155=EDGE_CURVE('',#6221,#6203,#3686,.T.); +#10157=EDGE_CURVE('',#6219,#6221,#3691,.T.); +#10159=EDGE_CURVE('',#6218,#6219,#3695,.T.); +#10161=EDGE_CURVE('',#6211,#6218,#3700,.T.); +#10164=EDGE_CURVE('',#6202,#6210,#3704,.T.); +#10168=ADVANCED_FACE('',(#10167),#10153,.T.); +#10175=EDGE_CURVE('',#6227,#6207,#3730,.T.); +#10177=EDGE_CURVE('',#6221,#6227,#3708,.T.); +#10182=ADVANCED_FACE('',(#10181),#10173,.T.); +#10189=EDGE_CURVE('',#6206,#6214,#3712,.T.); +#10192=EDGE_CURVE('',#6215,#6224,#3717,.T.); +#10194=EDGE_CURVE('',#6224,#6225,#3721,.T.); +#10196=EDGE_CURVE('',#6225,#6227,#3726,.T.); +#10201=ADVANCED_FACE('',(#10200),#10187,.F.); +#10213=ADVANCED_FACE('',(#10212),#10206,.T.); +#10221=EDGE_CURVE('',#6218,#6224,#3734,.T.); +#10226=ADVANCED_FACE('',(#10225),#10218,.T.); +#10233=EDGE_CURVE('',#6219,#6225,#3738,.T.); +#10239=ADVANCED_FACE('',(#10238),#10231,.T.); +#10251=ADVANCED_FACE('',(#10250),#10244,.F.); +#10258=EDGE_CURVE('',#6233,#6243,#3742,.T.); +#10260=EDGE_CURVE('',#6231,#6233,#3747,.T.); +#10262=EDGE_CURVE('',#6230,#6231,#3751,.T.); +#10264=EDGE_CURVE('',#6251,#6230,#3756,.T.); +#10267=EDGE_CURVE('',#6242,#6250,#3760,.T.); +#10271=ADVANCED_FACE('',(#10270),#10256,.T.); +#10278=EDGE_CURVE('',#6239,#6247,#3786,.T.); +#10280=EDGE_CURVE('',#6233,#6239,#3764,.T.); +#10285=ADVANCED_FACE('',(#10284),#10276,.T.); +#10292=EDGE_CURVE('',#6246,#6254,#3768,.T.); +#10295=EDGE_CURVE('',#6255,#6236,#3773,.T.); +#10297=EDGE_CURVE('',#6236,#6237,#3777,.T.); +#10299=EDGE_CURVE('',#6237,#6239,#3782,.T.); +#10304=ADVANCED_FACE('',(#10303),#10290,.F.); +#10316=ADVANCED_FACE('',(#10315),#10309,.T.); +#10324=EDGE_CURVE('',#6230,#6236,#3790,.T.); +#10329=ADVANCED_FACE('',(#10328),#10321,.T.); +#10336=EDGE_CURVE('',#6231,#6237,#3794,.T.); +#10342=ADVANCED_FACE('',(#10341),#10334,.T.); +#10354=ADVANCED_FACE('',(#10353),#10347,.F.); +#10361=EDGE_CURVE('',#6261,#6271,#3798,.T.); +#10363=EDGE_CURVE('',#6259,#6261,#3803,.T.); +#10365=EDGE_CURVE('',#6258,#6259,#3807,.T.); +#10367=EDGE_CURVE('',#6279,#6258,#3812,.T.); +#10370=EDGE_CURVE('',#6270,#6278,#3816,.T.); +#10374=ADVANCED_FACE('',(#10373),#10359,.T.); +#10381=EDGE_CURVE('',#6267,#6275,#3842,.T.); +#10383=EDGE_CURVE('',#6261,#6267,#3820,.T.); +#10388=ADVANCED_FACE('',(#10387),#10379,.T.); +#10395=EDGE_CURVE('',#6274,#6282,#3824,.T.); +#10398=EDGE_CURVE('',#6283,#6264,#3829,.T.); +#10400=EDGE_CURVE('',#6264,#6265,#3833,.T.); +#10402=EDGE_CURVE('',#6265,#6267,#3838,.T.); +#10407=ADVANCED_FACE('',(#10406),#10393,.F.); +#10419=ADVANCED_FACE('',(#10418),#10412,.T.); +#10427=EDGE_CURVE('',#6258,#6264,#3846,.T.); +#10432=ADVANCED_FACE('',(#10431),#10424,.T.); +#10439=EDGE_CURVE('',#6259,#6265,#3850,.T.); +#10445=ADVANCED_FACE('',(#10444),#10437,.T.); +#10457=ADVANCED_FACE('',(#10456),#10450,.F.); +#10464=EDGE_CURVE('',#6289,#6299,#3854,.T.); +#10466=EDGE_CURVE('',#6287,#6289,#3859,.T.); +#10468=EDGE_CURVE('',#6286,#6287,#3863,.T.); +#10470=EDGE_CURVE('',#6307,#6286,#3868,.T.); +#10473=EDGE_CURVE('',#6298,#6306,#3872,.T.); +#10477=ADVANCED_FACE('',(#10476),#10462,.T.); +#10484=EDGE_CURVE('',#6295,#6303,#3898,.T.); +#10486=EDGE_CURVE('',#6289,#6295,#3876,.T.); +#10491=ADVANCED_FACE('',(#10490),#10482,.T.); +#10498=EDGE_CURVE('',#6302,#6310,#3880,.T.); +#10501=EDGE_CURVE('',#6311,#6292,#3885,.T.); +#10503=EDGE_CURVE('',#6292,#6293,#3889,.T.); +#10505=EDGE_CURVE('',#6293,#6295,#3894,.T.); +#10510=ADVANCED_FACE('',(#10509),#10496,.F.); +#10522=ADVANCED_FACE('',(#10521),#10515,.T.); +#10530=EDGE_CURVE('',#6286,#6292,#3902,.T.); +#10535=ADVANCED_FACE('',(#10534),#10527,.T.); +#10542=EDGE_CURVE('',#6287,#6293,#3906,.T.); +#10548=ADVANCED_FACE('',(#10547),#10540,.T.); +#10560=ADVANCED_FACE('',(#10559),#10553,.F.); +#10567=EDGE_CURVE('',#6317,#6327,#3910,.T.); +#10569=EDGE_CURVE('',#6315,#6317,#3915,.T.); +#10571=EDGE_CURVE('',#6314,#6315,#3919,.T.); +#10573=EDGE_CURVE('',#6335,#6314,#3924,.T.); +#10576=EDGE_CURVE('',#6326,#6334,#3928,.T.); +#10580=ADVANCED_FACE('',(#10579),#10565,.T.); +#10587=EDGE_CURVE('',#6323,#6331,#3954,.T.); +#10589=EDGE_CURVE('',#6317,#6323,#3932,.T.); +#10594=ADVANCED_FACE('',(#10593),#10585,.T.); +#10601=EDGE_CURVE('',#6330,#6338,#3936,.T.); +#10604=EDGE_CURVE('',#6339,#6320,#3941,.T.); +#10606=EDGE_CURVE('',#6320,#6321,#3945,.T.); +#10608=EDGE_CURVE('',#6321,#6323,#3950,.T.); +#10613=ADVANCED_FACE('',(#10612),#10599,.F.); +#10625=ADVANCED_FACE('',(#10624),#10618,.T.); +#10633=EDGE_CURVE('',#6314,#6320,#3958,.T.); +#10638=ADVANCED_FACE('',(#10637),#10630,.T.); +#10645=EDGE_CURVE('',#6315,#6321,#3962,.T.); +#10651=ADVANCED_FACE('',(#10650),#10643,.T.); +#10663=ADVANCED_FACE('',(#10662),#10656,.F.); +#10670=EDGE_CURVE('',#6345,#6355,#3966,.T.); +#10672=EDGE_CURVE('',#6343,#6345,#3971,.T.); +#10674=EDGE_CURVE('',#6342,#6343,#3975,.T.); +#10676=EDGE_CURVE('',#6363,#6342,#3980,.T.); +#10679=EDGE_CURVE('',#6354,#6362,#3984,.T.); +#10683=ADVANCED_FACE('',(#10682),#10668,.T.); +#10690=EDGE_CURVE('',#6351,#6359,#4010,.T.); +#10692=EDGE_CURVE('',#6345,#6351,#3988,.T.); +#10697=ADVANCED_FACE('',(#10696),#10688,.T.); +#10704=EDGE_CURVE('',#6358,#6366,#3992,.T.); +#10707=EDGE_CURVE('',#6367,#6348,#3997,.T.); +#10709=EDGE_CURVE('',#6348,#6349,#4001,.T.); +#10711=EDGE_CURVE('',#6349,#6351,#4006,.T.); +#10716=ADVANCED_FACE('',(#10715),#10702,.F.); +#10728=ADVANCED_FACE('',(#10727),#10721,.T.); +#10736=EDGE_CURVE('',#6342,#6348,#4014,.T.); +#10741=ADVANCED_FACE('',(#10740),#10733,.T.); +#10748=EDGE_CURVE('',#6343,#6349,#4018,.T.); +#10754=ADVANCED_FACE('',(#10753),#10746,.T.); +#10766=ADVANCED_FACE('',(#10765),#10759,.F.); +#10773=EDGE_CURVE('',#6373,#6383,#4022,.T.); +#10775=EDGE_CURVE('',#6371,#6373,#4027,.T.); +#10777=EDGE_CURVE('',#6370,#6371,#4031,.T.); +#10779=EDGE_CURVE('',#6391,#6370,#4036,.T.); +#10782=EDGE_CURVE('',#6382,#6390,#4040,.T.); +#10786=ADVANCED_FACE('',(#10785),#10771,.T.); +#10793=EDGE_CURVE('',#6379,#6387,#4066,.T.); +#10795=EDGE_CURVE('',#6373,#6379,#4044,.T.); +#10800=ADVANCED_FACE('',(#10799),#10791,.T.); +#10807=EDGE_CURVE('',#6386,#6394,#4048,.T.); +#10810=EDGE_CURVE('',#6395,#6376,#4053,.T.); +#10812=EDGE_CURVE('',#6376,#6377,#4057,.T.); +#10814=EDGE_CURVE('',#6377,#6379,#4062,.T.); +#10819=ADVANCED_FACE('',(#10818),#10805,.F.); +#10831=ADVANCED_FACE('',(#10830),#10824,.T.); +#10839=EDGE_CURVE('',#6370,#6376,#4070,.T.); +#10844=ADVANCED_FACE('',(#10843),#10836,.T.); +#10851=EDGE_CURVE('',#6371,#6377,#4074,.T.); +#10857=ADVANCED_FACE('',(#10856),#10849,.T.); +#10869=ADVANCED_FACE('',(#10868),#10862,.F.); +#10876=EDGE_CURVE('',#6401,#6411,#4078,.T.); +#10878=EDGE_CURVE('',#6399,#6401,#4083,.T.); +#10880=EDGE_CURVE('',#6398,#6399,#4087,.T.); +#10882=EDGE_CURVE('',#6419,#6398,#4092,.T.); +#10885=EDGE_CURVE('',#6410,#6418,#4096,.T.); +#10889=ADVANCED_FACE('',(#10888),#10874,.T.); +#10896=EDGE_CURVE('',#6407,#6415,#4122,.T.); +#10898=EDGE_CURVE('',#6401,#6407,#4100,.T.); +#10903=ADVANCED_FACE('',(#10902),#10894,.T.); +#10910=EDGE_CURVE('',#6414,#6422,#4104,.T.); +#10913=EDGE_CURVE('',#6423,#6404,#4109,.T.); +#10915=EDGE_CURVE('',#6404,#6405,#4113,.T.); +#10917=EDGE_CURVE('',#6405,#6407,#4118,.T.); +#10922=ADVANCED_FACE('',(#10921),#10908,.F.); +#10934=ADVANCED_FACE('',(#10933),#10927,.T.); +#10942=EDGE_CURVE('',#6398,#6404,#4126,.T.); +#10947=ADVANCED_FACE('',(#10946),#10939,.T.); +#10954=EDGE_CURVE('',#6399,#6405,#4130,.T.); +#10960=ADVANCED_FACE('',(#10959),#10952,.T.); +#10972=ADVANCED_FACE('',(#10971),#10965,.F.); +#10978=EDGE_CURVE('',#5869,#5873,#4134,.T.); +#10980=EDGE_CURVE('',#5873,#5877,#4138,.T.); +#10983=EDGE_CURVE('',#5227,#5231,#4142,.T.); +#10989=ADVANCED_FACE('',(#10988),#10977,.F.); +#10998=EDGE_CURVE('',#5875,#5873,#4146,.T.); +#11002=ADVANCED_FACE('',(#11001),#10994,.T.); +#11012=EDGE_CURVE('',#5149,#5142,#4170,.T.); +#11015=EDGE_CURVE('',#5313,#5315,#4154,.T.); +#11017=EDGE_CURVE('',#5313,#5321,#4158,.T.); +#11019=EDGE_CURVE('',#5321,#5323,#4162,.T.); +#11022=EDGE_CURVE('',#5191,#5171,#4166,.T.); +#11025=EDGE_CURVE('',#5828,#5824,#4399,.T.); +#11027=EDGE_CURVE('',#5828,#5851,#4407,.T.); +#11031=ADVANCED_FACE('',(#11030),#11007,.F.); +#11039=EDGE_CURVE('',#5151,#5143,#4174,.T.); +#11044=ADVANCED_FACE('',(#11043),#11036,.F.); +#11052=EDGE_CURVE('',#5153,#5145,#4178,.T.); +#11057=ADVANCED_FACE('',(#11056),#11049,.F.); +#11069=ADVANCED_FACE('',(#11068),#11062,.F.); +#11075=EDGE_CURVE('',#5261,#5313,#4182,.T.); +#11078=EDGE_CURVE('',#5315,#5264,#4187,.T.); +#11080=EDGE_CURVE('',#5264,#5265,#4191,.T.); +#11082=EDGE_CURVE('',#5265,#5267,#4196,.T.); +#11084=EDGE_CURVE('',#5267,#5269,#4200,.T.); +#11086=EDGE_CURVE('',#5269,#5258,#4204,.T.); +#11088=EDGE_CURVE('',#5258,#5259,#4208,.T.); +#11090=EDGE_CURVE('',#5259,#5261,#4213,.T.); +#11094=ADVANCED_FACE('',(#11093),#11074,.F.); +#11100=EDGE_CURVE('',#5281,#5317,#4218,.T.); +#11103=EDGE_CURVE('',#5319,#5283,#4222,.T.); +#11105=EDGE_CURVE('',#5283,#5272,#4227,.T.); +#11107=EDGE_CURVE('',#5272,#5273,#4231,.T.); +#11109=EDGE_CURVE('',#5273,#5275,#4235,.T.); +#11111=EDGE_CURVE('',#5275,#5277,#4239,.T.); +#11113=EDGE_CURVE('',#5277,#5279,#4244,.T.); +#11115=EDGE_CURVE('',#5279,#5281,#4248,.T.); +#11119=ADVANCED_FACE('',(#11118),#11099,.F.); +#11126=EDGE_CURVE('',#5261,#5289,#4252,.T.); +#11128=EDGE_CURVE('',#5289,#5321,#4280,.T.); +#11133=ADVANCED_FACE('',(#11132),#11124,.T.); +#11140=EDGE_CURVE('',#5259,#5287,#4256,.T.); +#11142=EDGE_CURVE('',#5287,#5289,#4285,.T.); +#11147=ADVANCED_FACE('',(#11146),#11138,.F.); +#11154=EDGE_CURVE('',#5258,#5286,#4260,.T.); +#11156=EDGE_CURVE('',#5286,#5287,#4289,.T.); +#11161=ADVANCED_FACE('',(#11160),#11152,.T.); +#11168=EDGE_CURVE('',#5269,#5297,#4264,.T.); +#11170=EDGE_CURVE('',#5297,#5286,#4293,.T.); +#11175=ADVANCED_FACE('',(#11174),#11166,.T.); +#11182=EDGE_CURVE('',#5267,#5295,#4268,.T.); +#11184=EDGE_CURVE('',#5295,#5297,#4297,.T.); +#11189=ADVANCED_FACE('',(#11188),#11180,.T.); +#11196=EDGE_CURVE('',#5265,#5293,#4272,.T.); +#11198=EDGE_CURVE('',#5293,#5295,#4302,.T.); +#11203=ADVANCED_FACE('',(#11202),#11194,.T.); +#11210=EDGE_CURVE('',#5264,#5292,#4276,.T.); +#11212=EDGE_CURVE('',#5292,#5293,#4306,.T.); +#11217=ADVANCED_FACE('',(#11216),#11208,.T.); +#11225=EDGE_CURVE('',#5323,#5292,#4311,.T.); +#11230=ADVANCED_FACE('',(#11229),#11222,.T.); +#11247=ADVANCED_FACE('',(#11246),#11235,.T.); +#11253=EDGE_CURVE('',#5309,#5325,#4316,.T.); +#11255=EDGE_CURVE('',#5307,#5309,#4320,.T.); +#11257=EDGE_CURVE('',#5305,#5307,#4325,.T.); +#11259=EDGE_CURVE('',#5303,#5305,#4329,.T.); +#11261=EDGE_CURVE('',#5301,#5303,#4333,.T.); +#11263=EDGE_CURVE('',#5300,#5301,#4337,.T.); +#11265=EDGE_CURVE('',#5311,#5300,#4342,.T.); +#11267=EDGE_CURVE('',#5327,#5311,#4346,.T.); +#11272=ADVANCED_FACE('',(#11271),#11252,.T.); +#11279=EDGE_CURVE('',#5281,#5309,#4350,.T.); +#11285=ADVANCED_FACE('',(#11284),#11277,.T.); +#11292=EDGE_CURVE('',#5279,#5307,#4354,.T.); +#11298=ADVANCED_FACE('',(#11297),#11290,.T.); +#11305=EDGE_CURVE('',#5277,#5305,#4358,.T.); +#11311=ADVANCED_FACE('',(#11310),#11303,.T.); +#11318=EDGE_CURVE('',#5275,#5303,#4362,.T.); +#11324=ADVANCED_FACE('',(#11323),#11316,.T.); +#11331=EDGE_CURVE('',#5273,#5301,#4366,.T.); +#11337=ADVANCED_FACE('',(#11336),#11329,.T.); +#11344=EDGE_CURVE('',#5272,#5300,#4370,.T.); +#11350=ADVANCED_FACE('',(#11349),#11342,.T.); +#11357=EDGE_CURVE('',#5283,#5311,#4374,.T.); +#11363=ADVANCED_FACE('',(#11362),#11355,.F.); +#11375=ADVANCED_FACE('',(#11374),#11368,.T.); +#11381=EDGE_CURVE('',#5189,#5169,#4378,.T.); +#11388=ADVANCED_FACE('',(#11387),#11380,.F.); +#11394=EDGE_CURVE('',#5187,#5167,#4382,.T.); +#11401=ADVANCED_FACE('',(#11400),#11393,.F.); +#11407=EDGE_CURVE('',#5185,#5166,#4386,.T.); +#11414=ADVANCED_FACE('',(#11413),#11406,.F.); +#11427=ADVANCED_FACE('',(#11426),#11419,.F.); +#11435=EDGE_CURVE('',#5828,#5829,#4403,.T.); +#11440=ADVANCED_FACE('',(#11439),#11432,.T.); +#11449=EDGE_CURVE('',#5226,#5230,#4411,.T.); +#11455=ADVANCED_FACE('',(#11454),#11445,.F.); +#11467=ADVANCED_FACE('',(#11466),#11460,.F.); +#11474=EDGE_CURVE('',#6427,#6426,#4416,.T.); +#11478=ADVANCED_FACE('',(#11477),#11472,.T.); +#11485=EDGE_CURVE('',#6434,#6435,#4421,.T.); +#11489=ADVANCED_FACE('',(#11488),#11483,.T.); +#11498=EDGE_CURVE('',#6431,#6430,#4426,.T.); +#11502=ADVANCED_FACE('',(#11501),#11494,.T.); +#11512=ADVANCED_FACE('',(#11511),#11507,.F.); +#11519=EDGE_CURVE('',#6438,#6439,#4431,.T.); +#11523=ADVANCED_FACE('',(#11522),#11517,.F.); +#11535=ADVANCED_FACE('',(#11534),#11528,.T.); +#11547=ADVANCED_FACE('',(#11546),#11540,.T.); +#11559=ADVANCED_FACE('',(#11558),#11552,.F.); +#11571=ADVANCED_FACE('',(#11570),#11564,.T.); +#11578=EDGE_CURVE('',#5382,#5384,#4447,.T.); +#11586=ADVANCED_FACE('',(#11585),#11576,.T.); +#11598=ADVANCED_FACE('',(#11597),#11591,.T.); +#11604=EDGE_CURVE('',#5400,#5402,#4455,.T.); +#11608=EDGE_CURVE('',#5402,#5463,#4471,.T.); +#11612=ADVANCED_FACE('',(#11611),#11603,.T.); +#11620=EDGE_CURVE('',#5404,#5402,#4467,.T.); +#11622=EDGE_CURVE('',#5404,#5406,#4480,.T.); +#11624=EDGE_CURVE('',#5406,#5407,#4507,.T.); +#11629=ADVANCED_FACE('',(#11628),#11617,.T.); +#11638=EDGE_CURVE('',#5404,#5462,#4484,.T.); +#11642=ADVANCED_FACE('',(#11641),#11634,.T.); +#11651=EDGE_CURVE('',#5406,#5445,#4492,.T.); +#11655=ADVANCED_FACE('',(#11654),#11647,.T.); +#11661=EDGE_CURVE('',#5390,#5391,#4497,.T.); +#11669=EDGE_CURVE('',#5391,#5443,#4515,.T.); +#11673=ADVANCED_FACE('',(#11672),#11660,.F.); +#11680=EDGE_CURVE('',#5391,#5393,#4511,.T.); +#11682=EDGE_CURVE('',#5395,#5393,#4519,.T.); +#11684=EDGE_CURVE('',#5395,#5397,#4527,.T.); +#11690=ADVANCED_FACE('',(#11689),#11678,.T.); +#11699=EDGE_CURVE('',#5393,#5415,#4523,.T.); +#11703=ADVANCED_FACE('',(#11702),#11695,.T.); +#11712=EDGE_CURVE('',#5395,#5417,#4531,.T.); +#11716=ADVANCED_FACE('',(#11715),#11708,.T.); +#11728=ADVANCED_FACE('',(#11727),#11721,.T.); +#11737=EDGE_CURVE('',#5357,#5411,#4551,.T.); +#11741=ADVANCED_FACE('',(#11740),#11733,.T.); +#11750=EDGE_CURVE('',#5359,#5413,#4559,.T.); +#11754=ADVANCED_FACE('',(#11753),#11746,.T.); +#11766=ADVANCED_FACE('',(#11765),#11759,.T.); +#11773=EDGE_CURVE('',#6010,#5914,#4567,.T.); +#11775=EDGE_CURVE('',#5914,#5915,#4583,.T.); +#11777=EDGE_CURVE('',#6011,#5915,#4579,.T.); +#11781=ADVANCED_FACE('',(#11780),#11771,.T.); +#11788=EDGE_CURVE('',#6015,#5919,#4571,.T.); +#11790=EDGE_CURVE('',#5919,#5914,#4587,.T.); +#11795=ADVANCED_FACE('',(#11794),#11786,.T.); +#11802=EDGE_CURVE('',#6013,#5917,#4575,.T.); +#11804=EDGE_CURVE('',#5917,#5919,#4591,.T.); +#11809=ADVANCED_FACE('',(#11808),#11800,.T.); +#11817=EDGE_CURVE('',#5915,#5917,#4595,.T.); +#11822=ADVANCED_FACE('',(#11821),#11814,.T.); +#11834=ADVANCED_FACE('',(#11833),#11827,.T.); +#11840=EDGE_CURVE('',#5922,#5923,#4599,.T.); +#11842=EDGE_CURVE('',#5927,#5922,#4603,.T.); +#11844=EDGE_CURVE('',#5925,#5927,#4607,.T.); +#11846=EDGE_CURVE('',#5923,#5925,#4611,.T.); +#11850=ADVANCED_FACE('',(#11849),#11839,.T.); +#11856=EDGE_CURVE('',#5930,#5931,#4615,.T.); +#11858=EDGE_CURVE('',#5935,#5930,#4619,.T.); +#11860=EDGE_CURVE('',#5933,#5935,#4623,.T.); +#11862=EDGE_CURVE('',#5931,#5933,#4627,.T.); +#11866=ADVANCED_FACE('',(#11865),#11855,.T.); +#11872=EDGE_CURVE('',#5938,#5939,#4631,.T.); +#11874=EDGE_CURVE('',#5943,#5938,#4635,.T.); +#11876=EDGE_CURVE('',#5941,#5943,#4639,.T.); +#11878=EDGE_CURVE('',#5939,#5941,#4643,.T.); +#11882=ADVANCED_FACE('',(#11881),#11871,.T.); +#11888=EDGE_CURVE('',#5946,#5947,#4647,.T.); +#11890=EDGE_CURVE('',#5951,#5946,#4651,.T.); +#11892=EDGE_CURVE('',#5949,#5951,#4655,.T.); +#11894=EDGE_CURVE('',#5947,#5949,#4659,.T.); +#11898=ADVANCED_FACE('',(#11897),#11887,.T.); +#11904=EDGE_CURVE('',#5954,#5955,#4663,.T.); +#11906=EDGE_CURVE('',#5959,#5954,#4667,.T.); +#11908=EDGE_CURVE('',#5957,#5959,#4671,.T.); +#11910=EDGE_CURVE('',#5955,#5957,#4675,.T.); +#11914=ADVANCED_FACE('',(#11913),#11903,.T.); +#11920=EDGE_CURVE('',#5962,#5963,#4679,.T.); +#11922=EDGE_CURVE('',#5967,#5962,#4683,.T.); +#11924=EDGE_CURVE('',#5965,#5967,#4687,.T.); +#11926=EDGE_CURVE('',#5963,#5965,#4691,.T.); +#11930=ADVANCED_FACE('',(#11929),#11919,.T.); +#11936=EDGE_CURVE('',#5970,#5971,#4695,.T.); +#11938=EDGE_CURVE('',#5975,#5970,#4699,.T.); +#11940=EDGE_CURVE('',#5973,#5975,#4703,.T.); +#11942=EDGE_CURVE('',#5971,#5973,#4707,.T.); +#11946=ADVANCED_FACE('',(#11945),#11935,.T.); +#11952=EDGE_CURVE('',#5978,#5979,#4711,.T.); +#11954=EDGE_CURVE('',#5983,#5978,#4715,.T.); +#11956=EDGE_CURVE('',#5981,#5983,#4719,.T.); +#11958=EDGE_CURVE('',#5979,#5981,#4723,.T.); +#11962=ADVANCED_FACE('',(#11961),#11951,.T.); +#11968=EDGE_CURVE('',#5986,#5987,#4727,.T.); +#11970=EDGE_CURVE('',#5991,#5986,#4731,.T.); +#11972=EDGE_CURVE('',#5989,#5991,#4735,.T.); +#11974=EDGE_CURVE('',#5987,#5989,#4739,.T.); +#11978=ADVANCED_FACE('',(#11977),#11967,.T.); +#11984=EDGE_CURVE('',#5994,#5995,#4743,.T.); +#11986=EDGE_CURVE('',#5999,#5994,#4747,.T.); +#11988=EDGE_CURVE('',#5997,#5999,#4751,.T.); +#11990=EDGE_CURVE('',#5995,#5997,#4755,.T.); +#11994=ADVANCED_FACE('',(#11993),#11983,.T.); +#12000=EDGE_CURVE('',#6002,#6003,#4759,.T.); +#12002=EDGE_CURVE('',#6007,#6002,#4763,.T.); +#12004=EDGE_CURVE('',#6005,#6007,#4767,.T.); +#12006=EDGE_CURVE('',#6003,#6005,#4771,.T.); +#12010=ADVANCED_FACE('',(#12009),#11999,.T.); +#12016=EDGE_CURVE('',#6106,#6107,#4775,.T.); +#12018=EDGE_CURVE('',#6111,#6106,#4779,.T.); +#12020=EDGE_CURVE('',#6109,#6111,#4783,.T.); +#12022=EDGE_CURVE('',#6107,#6109,#4787,.T.); +#12026=ADVANCED_FACE('',(#12025),#12015,.T.); +#12032=EDGE_CURVE('',#6114,#6115,#4791,.T.); +#12034=EDGE_CURVE('',#6119,#6114,#4795,.T.); +#12036=EDGE_CURVE('',#6117,#6119,#4799,.T.); +#12038=EDGE_CURVE('',#6115,#6117,#4803,.T.); +#12042=ADVANCED_FACE('',(#12041),#12031,.T.); +#12048=EDGE_CURVE('',#6122,#6123,#4807,.T.); +#12050=EDGE_CURVE('',#6127,#6122,#4811,.T.); +#12052=EDGE_CURVE('',#6125,#6127,#4815,.T.); +#12054=EDGE_CURVE('',#6123,#6125,#4819,.T.); +#12058=ADVANCED_FACE('',(#12057),#12047,.T.); +#12064=EDGE_CURVE('',#6130,#6131,#4823,.T.); +#12066=EDGE_CURVE('',#6135,#6130,#4827,.T.); +#12068=EDGE_CURVE('',#6133,#6135,#4831,.T.); +#12070=EDGE_CURVE('',#6131,#6133,#4835,.T.); +#12074=ADVANCED_FACE('',(#12073),#12063,.T.); +#12080=EDGE_CURVE('',#6138,#6139,#4839,.T.); +#12082=EDGE_CURVE('',#6143,#6138,#4843,.T.); +#12084=EDGE_CURVE('',#6141,#6143,#4847,.T.); +#12086=EDGE_CURVE('',#6139,#6141,#4851,.T.); +#12090=ADVANCED_FACE('',(#12089),#12079,.T.); +#12096=EDGE_CURVE('',#6146,#6147,#4855,.T.); +#12098=EDGE_CURVE('',#6151,#6146,#4859,.T.); +#12100=EDGE_CURVE('',#6149,#6151,#4863,.T.); +#12102=EDGE_CURVE('',#6147,#6149,#4867,.T.); +#12106=ADVANCED_FACE('',(#12105),#12095,.T.); +#12113=EDGE_CURVE('',#6018,#5922,#4871,.T.); +#12116=EDGE_CURVE('',#6019,#5923,#4883,.T.); +#12120=ADVANCED_FACE('',(#12119),#12111,.T.); +#12127=EDGE_CURVE('',#6023,#5927,#4875,.T.); +#12133=ADVANCED_FACE('',(#12132),#12125,.T.); +#12140=EDGE_CURVE('',#6021,#5925,#4879,.T.); +#12146=ADVANCED_FACE('',(#12145),#12138,.T.); +#12158=ADVANCED_FACE('',(#12157),#12151,.T.); +#12165=EDGE_CURVE('',#6026,#5930,#4887,.T.); +#12168=EDGE_CURVE('',#6027,#5931,#4899,.T.); +#12172=ADVANCED_FACE('',(#12171),#12163,.T.); +#12179=EDGE_CURVE('',#6031,#5935,#4891,.T.); +#12185=ADVANCED_FACE('',(#12184),#12177,.T.); +#12192=EDGE_CURVE('',#6029,#5933,#4895,.T.); +#12198=ADVANCED_FACE('',(#12197),#12190,.T.); +#12210=ADVANCED_FACE('',(#12209),#12203,.T.); +#12217=EDGE_CURVE('',#6034,#5938,#4903,.T.); +#12220=EDGE_CURVE('',#6035,#5939,#4915,.T.); +#12224=ADVANCED_FACE('',(#12223),#12215,.T.); +#12231=EDGE_CURVE('',#6039,#5943,#4907,.T.); +#12237=ADVANCED_FACE('',(#12236),#12229,.T.); +#12244=EDGE_CURVE('',#6037,#5941,#4911,.T.); +#12250=ADVANCED_FACE('',(#12249),#12242,.T.); +#12262=ADVANCED_FACE('',(#12261),#12255,.T.); +#12269=EDGE_CURVE('',#6042,#5946,#4919,.T.); +#12272=EDGE_CURVE('',#6043,#5947,#4931,.T.); +#12276=ADVANCED_FACE('',(#12275),#12267,.T.); +#12283=EDGE_CURVE('',#6047,#5951,#4923,.T.); +#12289=ADVANCED_FACE('',(#12288),#12281,.T.); +#12296=EDGE_CURVE('',#6045,#5949,#4927,.T.); +#12302=ADVANCED_FACE('',(#12301),#12294,.T.); +#12314=ADVANCED_FACE('',(#12313),#12307,.T.); +#12321=EDGE_CURVE('',#6050,#5954,#4935,.T.); +#12324=EDGE_CURVE('',#6051,#5955,#4947,.T.); +#12328=ADVANCED_FACE('',(#12327),#12319,.T.); +#12335=EDGE_CURVE('',#6055,#5959,#4939,.T.); +#12341=ADVANCED_FACE('',(#12340),#12333,.T.); +#12348=EDGE_CURVE('',#6053,#5957,#4943,.T.); +#12354=ADVANCED_FACE('',(#12353),#12346,.T.); +#12366=ADVANCED_FACE('',(#12365),#12359,.T.); +#12373=EDGE_CURVE('',#6058,#5962,#4951,.T.); +#12376=EDGE_CURVE('',#6059,#5963,#4963,.T.); +#12380=ADVANCED_FACE('',(#12379),#12371,.T.); +#12387=EDGE_CURVE('',#6063,#5967,#4955,.T.); +#12393=ADVANCED_FACE('',(#12392),#12385,.T.); +#12400=EDGE_CURVE('',#6061,#5965,#4959,.T.); +#12406=ADVANCED_FACE('',(#12405),#12398,.T.); +#12418=ADVANCED_FACE('',(#12417),#12411,.T.); +#12425=EDGE_CURVE('',#6066,#5970,#4967,.T.); +#12428=EDGE_CURVE('',#6067,#5971,#4979,.T.); +#12432=ADVANCED_FACE('',(#12431),#12423,.T.); +#12439=EDGE_CURVE('',#6071,#5975,#4971,.T.); +#12445=ADVANCED_FACE('',(#12444),#12437,.T.); +#12452=EDGE_CURVE('',#6069,#5973,#4975,.T.); +#12458=ADVANCED_FACE('',(#12457),#12450,.T.); +#12470=ADVANCED_FACE('',(#12469),#12463,.T.); +#12477=EDGE_CURVE('',#6074,#5978,#4983,.T.); +#12480=EDGE_CURVE('',#6075,#5979,#4995,.T.); +#12484=ADVANCED_FACE('',(#12483),#12475,.T.); +#12491=EDGE_CURVE('',#6079,#5983,#4987,.T.); +#12497=ADVANCED_FACE('',(#12496),#12489,.T.); +#12504=EDGE_CURVE('',#6077,#5981,#4991,.T.); +#12510=ADVANCED_FACE('',(#12509),#12502,.T.); +#12522=ADVANCED_FACE('',(#12521),#12515,.T.); +#12529=EDGE_CURVE('',#6082,#5986,#4999,.T.); +#12532=EDGE_CURVE('',#6083,#5987,#5011,.T.); +#12536=ADVANCED_FACE('',(#12535),#12527,.T.); +#12543=EDGE_CURVE('',#6087,#5991,#5003,.T.); +#12549=ADVANCED_FACE('',(#12548),#12541,.T.); +#12556=EDGE_CURVE('',#6085,#5989,#5007,.T.); +#12562=ADVANCED_FACE('',(#12561),#12554,.T.); +#12574=ADVANCED_FACE('',(#12573),#12567,.T.); +#12581=EDGE_CURVE('',#6090,#5994,#5015,.T.); +#12584=EDGE_CURVE('',#6091,#5995,#5027,.T.); +#12588=ADVANCED_FACE('',(#12587),#12579,.T.); +#12595=EDGE_CURVE('',#6095,#5999,#5019,.T.); +#12601=ADVANCED_FACE('',(#12600),#12593,.T.); +#12608=EDGE_CURVE('',#6093,#5997,#5023,.T.); +#12614=ADVANCED_FACE('',(#12613),#12606,.T.); +#12626=ADVANCED_FACE('',(#12625),#12619,.T.); +#12633=EDGE_CURVE('',#6098,#6002,#5031,.T.); +#12636=EDGE_CURVE('',#6099,#6003,#5043,.T.); +#12640=ADVANCED_FACE('',(#12639),#12631,.T.); +#12647=EDGE_CURVE('',#6103,#6007,#5035,.T.); +#12653=ADVANCED_FACE('',(#12652),#12645,.T.); +#12660=EDGE_CURVE('',#6101,#6005,#5039,.T.); +#12666=ADVANCED_FACE('',(#12665),#12658,.T.); +#12678=ADVANCED_FACE('',(#12677),#12671,.T.); +#12685=EDGE_CURVE('',#6154,#6106,#5047,.T.); +#12688=EDGE_CURVE('',#6155,#6107,#5059,.T.); +#12692=ADVANCED_FACE('',(#12691),#12683,.T.); +#12699=EDGE_CURVE('',#6159,#6111,#5051,.T.); +#12705=ADVANCED_FACE('',(#12704),#12697,.T.); +#12712=EDGE_CURVE('',#6157,#6109,#5055,.T.); +#12718=ADVANCED_FACE('',(#12717),#12710,.T.); +#12730=ADVANCED_FACE('',(#12729),#12723,.T.); +#12737=EDGE_CURVE('',#6162,#6114,#5063,.T.); +#12740=EDGE_CURVE('',#6163,#6115,#5075,.T.); +#12744=ADVANCED_FACE('',(#12743),#12735,.T.); +#12751=EDGE_CURVE('',#6167,#6119,#5067,.T.); +#12757=ADVANCED_FACE('',(#12756),#12749,.T.); +#12764=EDGE_CURVE('',#6165,#6117,#5071,.T.); +#12770=ADVANCED_FACE('',(#12769),#12762,.T.); +#12782=ADVANCED_FACE('',(#12781),#12775,.T.); +#12789=EDGE_CURVE('',#6170,#6122,#5079,.T.); +#12792=EDGE_CURVE('',#6171,#6123,#5091,.T.); +#12796=ADVANCED_FACE('',(#12795),#12787,.T.); +#12803=EDGE_CURVE('',#6175,#6127,#5083,.T.); +#12809=ADVANCED_FACE('',(#12808),#12801,.T.); +#12816=EDGE_CURVE('',#6173,#6125,#5087,.T.); +#12822=ADVANCED_FACE('',(#12821),#12814,.T.); +#12834=ADVANCED_FACE('',(#12833),#12827,.T.); +#12841=EDGE_CURVE('',#6178,#6130,#5095,.T.); +#12844=EDGE_CURVE('',#6179,#6131,#5107,.T.); +#12848=ADVANCED_FACE('',(#12847),#12839,.T.); +#12855=EDGE_CURVE('',#6183,#6135,#5099,.T.); +#12861=ADVANCED_FACE('',(#12860),#12853,.T.); +#12868=EDGE_CURVE('',#6181,#6133,#5103,.T.); +#12874=ADVANCED_FACE('',(#12873),#12866,.T.); +#12886=ADVANCED_FACE('',(#12885),#12879,.T.); +#12893=EDGE_CURVE('',#6186,#6138,#5111,.T.); +#12896=EDGE_CURVE('',#6187,#6139,#5123,.T.); +#12900=ADVANCED_FACE('',(#12899),#12891,.T.); +#12907=EDGE_CURVE('',#6191,#6143,#5115,.T.); +#12913=ADVANCED_FACE('',(#12912),#12905,.T.); +#12920=EDGE_CURVE('',#6189,#6141,#5119,.T.); +#12926=ADVANCED_FACE('',(#12925),#12918,.T.); +#12938=ADVANCED_FACE('',(#12937),#12931,.T.); +#12945=EDGE_CURVE('',#6194,#6146,#5127,.T.); +#12948=EDGE_CURVE('',#6195,#6147,#5139,.T.); +#12952=ADVANCED_FACE('',(#12951),#12943,.T.); +#12959=EDGE_CURVE('',#6199,#6151,#5131,.T.); +#12965=ADVANCED_FACE('',(#12964),#12957,.T.); +#12972=EDGE_CURVE('',#6197,#6149,#5135,.T.); +#12978=ADVANCED_FACE('',(#12977),#12970,.T.); +#12990=ADVANCED_FACE('',(#12989),#12983,.T.); +#12993=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#12994=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#12997=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#12999=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#12998))GLOBAL_UNIT_ASSIGNED_CONTEXT((#12993,#12996,#12997))REPRESENTATION_CONTEXT('ID1','3')); +#13000=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#12992),#12999); +#13007=PRODUCT_DEFINITION('part definition','',#13006,#13003); +#13008=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR C-2337992-8.',#13007); +#13009=SHAPE_ASPECT('','solid data associated with C-2337992-8',#13008,.F.); +#13010=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#13009); +#13011=SHAPE_REPRESENTATION('',(#12992),#12999); +#13012=SHAPE_DEFINITION_REPRESENTATION(#13010,#13011); +#13013=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#13017=PROPERTY_DEFINITION('geometric validation property', +'area of C-2337992-8',#13009); +#13018=REPRESENTATION('surface area',(#13016),#12999); +#13019=PROPERTY_DEFINITION_REPRESENTATION(#13017,#13018); +#13020=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#13024=PROPERTY_DEFINITION('geometric validation property', +'volume of C-2337992-8',#13009); +#13025=REPRESENTATION('volume',(#13023),#12999); +#13026=PROPERTY_DEFINITION_REPRESENTATION(#13024,#13025); +#13028=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-2337992-8',#13009); +#13029=REPRESENTATION('centroid',(#13027),#12999); +#13030=PROPERTY_DEFINITION_REPRESENTATION(#13028,#13029); +#13031=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#13035=PROPERTY_DEFINITION('geometric validation property', +'area of C-2337992-8',#13008); +#13036=REPRESENTATION('surface area',(#13034),#12999); +#13037=PROPERTY_DEFINITION_REPRESENTATION(#13035,#13036); +#13038=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#13042=PROPERTY_DEFINITION('geometric validation property', +'volume of C-2337992-8',#13008); +#13043=REPRESENTATION('volume',(#13041),#12999); +#13044=PROPERTY_DEFINITION_REPRESENTATION(#13042,#13043); +#13046=PROPERTY_DEFINITION('geometric validation property', +'centroid of C-2337992-8',#13008); +#13047=REPRESENTATION('centroid',(#13045),#12999); +#13048=PROPERTY_DEFINITION_REPRESENTATION(#13046,#13047); +#13049=SHAPE_DEFINITION_REPRESENTATION(#13008,#13000); +ENDSEC; +END-ISO-10303-21; diff --git a/ceres.pretty/step/878321420.stp b/ceres.pretty/step/878321420.stp new file mode 100644 index 0000000..4184463 --- /dev/null +++ b/ceres.pretty/step/878321420.stp @@ -0,0 +1,11984 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('878321420','2019-04-10T',('gga'),(''), +'PRO/ENGINEER BY PARAMETRIC TECHNOLOGY CORPORATION, 2016010', +'PRO/ENGINEER BY PARAMETRIC TECHNOLOGY CORPORATION, 2016010',''); +FILE_SCHEMA(('CONFIG_CONTROL_DESIGN')); +ENDSEC; +DATA; +#1=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2=VECTOR('',#1,4.7E0); +#3=CARTESIAN_POINT('',(-8.325E0,3.2E0,-3.15E0)); +#4=LINE('',#3,#2); +#5=DIRECTION('',(1.E0,0.E0,0.E0)); +#6=VECTOR('',#5,1.665E1); +#7=CARTESIAN_POINT('',(-8.325E0,-1.5E0,-3.15E0)); +#8=LINE('',#7,#6); +#9=DIRECTION('',(0.E0,1.E0,0.E0)); +#10=VECTOR('',#9,4.7E0); +#11=CARTESIAN_POINT('',(8.325E0,-1.5E0,-3.15E0)); +#12=LINE('',#11,#10); +#13=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14=VECTOR('',#13,1.665E1); +#15=CARTESIAN_POINT('',(8.325E0,3.2E0,-3.15E0)); +#16=LINE('',#15,#14); +#17=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#18=VECTOR('',#17,8.485281374239E-1); +#19=CARTESIAN_POINT('',(-8.325E0,2.6E0,-1.5E-1)); +#20=LINE('',#19,#18); +#21=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22=VECTOR('',#21,3.3E0); +#23=CARTESIAN_POINT('',(-8.325E0,2.6E0,-1.5E-1)); +#24=LINE('',#23,#22); +#25=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26=VECTOR('',#25,1.3E0); +#27=CARTESIAN_POINT('',(-8.325E0,-7.E-1,1.15E0)); +#28=LINE('',#27,#26); +#29=DIRECTION('',(0.E0,-1.E0,0.E0)); +#30=VECTOR('',#29,3.3E0); +#31=CARTESIAN_POINT('',(-8.325E0,2.6E0,1.15E0)); +#32=LINE('',#31,#30); +#33=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#34=VECTOR('',#33,8.485281374239E-1); +#35=CARTESIAN_POINT('',(-8.325E0,3.2E0,1.75E0)); +#36=LINE('',#35,#34); +#37=DIRECTION('',(0.E0,0.E0,1.E0)); +#38=VECTOR('',#37,1.4E0); +#39=CARTESIAN_POINT('',(-8.325E0,3.2E0,1.75E0)); +#40=LINE('',#39,#38); +#41=DIRECTION('',(0.E0,0.E0,1.E0)); +#42=VECTOR('',#41,6.3E0); +#43=CARTESIAN_POINT('',(-8.325E0,-1.5E0,-3.15E0)); +#44=LINE('',#43,#42); +#45=DIRECTION('',(0.E0,0.E0,1.E0)); +#46=VECTOR('',#45,2.4E0); +#47=CARTESIAN_POINT('',(-8.325E0,3.2E0,-3.15E0)); +#48=LINE('',#47,#46); +#49=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#50=VECTOR('',#49,1.039230484541E0); +#51=CARTESIAN_POINT('',(-7.425E0,2.6E0,-1.5E-1)); +#52=LINE('',#51,#50); +#53=DIRECTION('',(1.E0,0.E0,0.E0)); +#54=VECTOR('',#53,3.E-1); +#55=CARTESIAN_POINT('',(-8.325E0,3.2E0,-7.5E-1)); +#56=LINE('',#55,#54); +#57=DIRECTION('',(0.E0,0.E0,1.E0)); +#58=VECTOR('',#57,1.4E0); +#59=CARTESIAN_POINT('',(8.325E0,3.2E0,-3.15E0)); +#60=LINE('',#59,#58); +#61=DIRECTION('',(1.E0,0.E0,0.E0)); +#62=VECTOR('',#61,3.E-1); +#63=CARTESIAN_POINT('',(8.025E0,3.2E0,-1.75E0)); +#64=LINE('',#63,#62); +#65=DIRECTION('',(0.E0,0.E0,1.E0)); +#66=VECTOR('',#65,1.1E0); +#67=CARTESIAN_POINT('',(8.025E0,3.2E0,-2.85E0)); +#68=LINE('',#67,#66); +#69=DIRECTION('',(1.E0,0.E0,0.E0)); +#70=VECTOR('',#69,1.605E1); +#71=CARTESIAN_POINT('',(-8.025E0,3.2E0,-2.85E0)); +#72=LINE('',#71,#70); +#73=DIRECTION('',(0.E0,0.E0,-1.E0)); +#74=VECTOR('',#73,2.1E0); +#75=CARTESIAN_POINT('',(-8.025E0,3.2E0,-7.5E-1)); +#76=LINE('',#75,#74); +#77=DIRECTION('',(0.E0,0.E0,1.E0)); +#78=VECTOR('',#77,3.E-1); +#79=CARTESIAN_POINT('',(2.E0,3.2E0,2.85E0)); +#80=LINE('',#79,#78); +#81=DIRECTION('',(-1.E0,0.E0,0.E0)); +#82=VECTOR('',#81,6.025E0); +#83=CARTESIAN_POINT('',(8.025E0,3.2E0,2.85E0)); +#84=LINE('',#83,#82); +#85=DIRECTION('',(0.E0,0.E0,1.E0)); +#86=VECTOR('',#85,2.1E0); +#87=CARTESIAN_POINT('',(8.025E0,3.2E0,7.5E-1)); +#88=LINE('',#87,#86); +#89=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90=VECTOR('',#89,3.E-1); +#91=CARTESIAN_POINT('',(8.325E0,3.2E0,7.5E-1)); +#92=LINE('',#91,#90); +#93=DIRECTION('',(0.E0,0.E0,1.E0)); +#94=VECTOR('',#93,2.4E0); +#95=CARTESIAN_POINT('',(8.325E0,3.2E0,7.5E-1)); +#96=LINE('',#95,#94); +#97=DIRECTION('',(0.E0,0.E0,-1.E0)); +#98=VECTOR('',#97,1.E-1); +#99=CARTESIAN_POINT('',(-6.325E0,3.2E0,3.15E0)); +#100=LINE('',#99,#98); +#101=DIRECTION('',(-1.E0,0.E0,0.E0)); +#102=VECTOR('',#101,3.E-1); +#103=CARTESIAN_POINT('',(-8.025E0,3.2E0,1.75E0)); +#104=LINE('',#103,#102); +#105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#106=VECTOR('',#105,1.1E0); +#107=CARTESIAN_POINT('',(-8.025E0,3.2E0,2.85E0)); +#108=LINE('',#107,#106); +#109=DIRECTION('',(-1.E0,0.E0,0.E0)); +#110=VECTOR('',#109,6.025E0); +#111=CARTESIAN_POINT('',(-2.E0,3.2E0,2.85E0)); +#112=LINE('',#111,#110); +#113=DIRECTION('',(0.E0,0.E0,-1.E0)); +#114=VECTOR('',#113,3.E-1); +#115=CARTESIAN_POINT('',(-2.E0,3.2E0,3.15E0)); +#116=LINE('',#115,#114); +#117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#118=VECTOR('',#117,1.E-1); +#119=CARTESIAN_POINT('',(-5.325E0,3.2E0,3.15E0)); +#120=LINE('',#119,#118); +#121=DIRECTION('',(1.E0,0.E0,0.E0)); +#122=VECTOR('',#121,1.E0); +#123=CARTESIAN_POINT('',(-6.325E0,3.2E0,3.05E0)); +#124=LINE('',#123,#122); +#125=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#126=VECTOR('',#125,8.485281374239E-1); +#127=CARTESIAN_POINT('',(8.325E0,3.2E0,-1.75E0)); +#128=LINE('',#127,#126); +#129=DIRECTION('',(0.E0,0.E0,1.E0)); +#130=VECTOR('',#129,6.3E0); +#131=CARTESIAN_POINT('',(8.325E0,-1.5E0,-3.15E0)); +#132=LINE('',#131,#130); +#133=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#134=VECTOR('',#133,8.485281374239E-1); +#135=CARTESIAN_POINT('',(8.325E0,2.6E0,1.5E-1)); +#136=LINE('',#135,#134); +#137=DIRECTION('',(0.E0,-1.E0,0.E0)); +#138=VECTOR('',#137,3.3E0); +#139=CARTESIAN_POINT('',(8.325E0,2.6E0,1.5E-1)); +#140=LINE('',#139,#138); +#141=DIRECTION('',(0.E0,0.E0,-1.E0)); +#142=VECTOR('',#141,1.3E0); +#143=CARTESIAN_POINT('',(8.325E0,-7.E-1,1.5E-1)); +#144=LINE('',#143,#142); +#145=DIRECTION('',(0.E0,-1.E0,0.E0)); +#146=VECTOR('',#145,3.3E0); +#147=CARTESIAN_POINT('',(8.325E0,2.6E0,-1.15E0)); +#148=LINE('',#147,#146); +#149=DIRECTION('',(1.E0,0.E0,0.E0)); +#150=VECTOR('',#149,9.E-1); +#151=CARTESIAN_POINT('',(7.425E0,2.6E0,-1.15E0)); +#152=LINE('',#151,#150); +#153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#154=VECTOR('',#153,5.E-1); +#155=CARTESIAN_POINT('',(-6.25E0,-7.E-1,1.25E0)); +#156=LINE('',#155,#154); +#157=DIRECTION('',(1.E0,0.E0,0.E0)); +#158=VECTOR('',#157,5.E-1); +#159=CARTESIAN_POINT('',(-6.25E0,-7.E-1,1.25E0)); +#160=LINE('',#159,#158); +#161=DIRECTION('',(0.E0,0.E0,-1.E0)); +#162=VECTOR('',#161,5.E-1); +#163=CARTESIAN_POINT('',(-5.75E0,-7.E-1,1.25E0)); +#164=LINE('',#163,#162); +#165=DIRECTION('',(1.E0,0.E0,0.E0)); +#166=VECTOR('',#165,5.E-1); +#167=CARTESIAN_POINT('',(-6.25E0,-7.E-1,7.5E-1)); +#168=LINE('',#167,#166); +#169=DIRECTION('',(0.E0,0.E0,-1.E0)); +#170=VECTOR('',#169,5.E-1); +#171=CARTESIAN_POINT('',(-6.25E0,-7.E-1,-7.5E-1)); +#172=LINE('',#171,#170); +#173=DIRECTION('',(1.E0,0.E0,0.E0)); +#174=VECTOR('',#173,5.E-1); +#175=CARTESIAN_POINT('',(-6.25E0,-7.E-1,-7.5E-1)); +#176=LINE('',#175,#174); +#177=DIRECTION('',(0.E0,0.E0,-1.E0)); +#178=VECTOR('',#177,5.E-1); +#179=CARTESIAN_POINT('',(-5.75E0,-7.E-1,-7.5E-1)); +#180=LINE('',#179,#178); +#181=DIRECTION('',(1.E0,0.E0,0.E0)); +#182=VECTOR('',#181,5.E-1); +#183=CARTESIAN_POINT('',(-6.25E0,-7.E-1,-1.25E0)); +#184=LINE('',#183,#182); +#185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#186=VECTOR('',#185,5.E-1); +#187=CARTESIAN_POINT('',(-4.25E0,-7.E-1,1.25E0)); +#188=LINE('',#187,#186); +#189=DIRECTION('',(1.E0,0.E0,0.E0)); +#190=VECTOR('',#189,5.E-1); +#191=CARTESIAN_POINT('',(-4.25E0,-7.E-1,1.25E0)); +#192=LINE('',#191,#190); +#193=DIRECTION('',(0.E0,0.E0,-1.E0)); +#194=VECTOR('',#193,5.E-1); +#195=CARTESIAN_POINT('',(-3.75E0,-7.E-1,1.25E0)); +#196=LINE('',#195,#194); +#197=DIRECTION('',(1.E0,0.E0,0.E0)); +#198=VECTOR('',#197,5.E-1); +#199=CARTESIAN_POINT('',(-4.25E0,-7.E-1,7.5E-1)); +#200=LINE('',#199,#198); +#201=DIRECTION('',(0.E0,0.E0,-1.E0)); +#202=VECTOR('',#201,5.E-1); +#203=CARTESIAN_POINT('',(-4.25E0,-7.E-1,-7.5E-1)); +#204=LINE('',#203,#202); +#205=DIRECTION('',(1.E0,0.E0,0.E0)); +#206=VECTOR('',#205,5.E-1); +#207=CARTESIAN_POINT('',(-4.25E0,-7.E-1,-7.5E-1)); +#208=LINE('',#207,#206); +#209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#210=VECTOR('',#209,5.E-1); +#211=CARTESIAN_POINT('',(-3.75E0,-7.E-1,-7.5E-1)); +#212=LINE('',#211,#210); +#213=DIRECTION('',(1.E0,0.E0,0.E0)); +#214=VECTOR('',#213,5.E-1); +#215=CARTESIAN_POINT('',(-4.25E0,-7.E-1,-1.25E0)); +#216=LINE('',#215,#214); +#217=DIRECTION('',(0.E0,0.E0,-1.E0)); +#218=VECTOR('',#217,5.E-1); +#219=CARTESIAN_POINT('',(-2.25E0,-7.E-1,1.25E0)); +#220=LINE('',#219,#218); +#221=DIRECTION('',(1.E0,0.E0,0.E0)); +#222=VECTOR('',#221,5.E-1); +#223=CARTESIAN_POINT('',(-2.25E0,-7.E-1,1.25E0)); +#224=LINE('',#223,#222); +#225=DIRECTION('',(0.E0,0.E0,-1.E0)); +#226=VECTOR('',#225,5.E-1); +#227=CARTESIAN_POINT('',(-1.75E0,-7.E-1,1.25E0)); +#228=LINE('',#227,#226); +#229=DIRECTION('',(1.E0,0.E0,0.E0)); +#230=VECTOR('',#229,5.E-1); +#231=CARTESIAN_POINT('',(-2.25E0,-7.E-1,7.5E-1)); +#232=LINE('',#231,#230); +#233=DIRECTION('',(0.E0,0.E0,-1.E0)); +#234=VECTOR('',#233,5.E-1); +#235=CARTESIAN_POINT('',(-2.25E0,-7.E-1,-7.5E-1)); +#236=LINE('',#235,#234); +#237=DIRECTION('',(1.E0,0.E0,0.E0)); +#238=VECTOR('',#237,5.E-1); +#239=CARTESIAN_POINT('',(-2.25E0,-7.E-1,-7.5E-1)); +#240=LINE('',#239,#238); +#241=DIRECTION('',(0.E0,0.E0,-1.E0)); +#242=VECTOR('',#241,5.E-1); +#243=CARTESIAN_POINT('',(-1.75E0,-7.E-1,-7.5E-1)); +#244=LINE('',#243,#242); +#245=DIRECTION('',(1.E0,0.E0,0.E0)); +#246=VECTOR('',#245,5.E-1); +#247=CARTESIAN_POINT('',(-2.25E0,-7.E-1,-1.25E0)); +#248=LINE('',#247,#246); +#249=DIRECTION('',(0.E0,0.E0,-1.E0)); +#250=VECTOR('',#249,5.E-1); +#251=CARTESIAN_POINT('',(-2.5E-1,-7.E-1,1.25E0)); +#252=LINE('',#251,#250); +#253=DIRECTION('',(1.E0,0.E0,0.E0)); +#254=VECTOR('',#253,5.E-1); +#255=CARTESIAN_POINT('',(-2.5E-1,-7.E-1,1.25E0)); +#256=LINE('',#255,#254); +#257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#258=VECTOR('',#257,5.E-1); +#259=CARTESIAN_POINT('',(2.5E-1,-7.E-1,1.25E0)); +#260=LINE('',#259,#258); +#261=DIRECTION('',(1.E0,0.E0,0.E0)); +#262=VECTOR('',#261,5.E-1); +#263=CARTESIAN_POINT('',(-2.5E-1,-7.E-1,7.5E-1)); +#264=LINE('',#263,#262); +#265=DIRECTION('',(0.E0,0.E0,-1.E0)); +#266=VECTOR('',#265,5.E-1); +#267=CARTESIAN_POINT('',(-2.5E-1,-7.E-1,-7.5E-1)); +#268=LINE('',#267,#266); +#269=DIRECTION('',(1.E0,0.E0,0.E0)); +#270=VECTOR('',#269,5.E-1); +#271=CARTESIAN_POINT('',(-2.5E-1,-7.E-1,-7.5E-1)); +#272=LINE('',#271,#270); +#273=DIRECTION('',(0.E0,0.E0,-1.E0)); +#274=VECTOR('',#273,5.E-1); +#275=CARTESIAN_POINT('',(2.5E-1,-7.E-1,-7.5E-1)); +#276=LINE('',#275,#274); +#277=DIRECTION('',(1.E0,0.E0,0.E0)); +#278=VECTOR('',#277,5.E-1); +#279=CARTESIAN_POINT('',(-2.5E-1,-7.E-1,-1.25E0)); +#280=LINE('',#279,#278); +#281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#282=VECTOR('',#281,5.E-1); +#283=CARTESIAN_POINT('',(1.75E0,-7.E-1,1.25E0)); +#284=LINE('',#283,#282); +#285=DIRECTION('',(1.E0,0.E0,0.E0)); +#286=VECTOR('',#285,5.E-1); +#287=CARTESIAN_POINT('',(1.75E0,-7.E-1,1.25E0)); +#288=LINE('',#287,#286); +#289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#290=VECTOR('',#289,5.E-1); +#291=CARTESIAN_POINT('',(2.25E0,-7.E-1,1.25E0)); +#292=LINE('',#291,#290); +#293=DIRECTION('',(1.E0,0.E0,0.E0)); +#294=VECTOR('',#293,5.E-1); +#295=CARTESIAN_POINT('',(1.75E0,-7.E-1,7.5E-1)); +#296=LINE('',#295,#294); +#297=DIRECTION('',(0.E0,0.E0,-1.E0)); +#298=VECTOR('',#297,5.E-1); +#299=CARTESIAN_POINT('',(1.75E0,-7.E-1,-7.5E-1)); +#300=LINE('',#299,#298); +#301=DIRECTION('',(1.E0,0.E0,0.E0)); +#302=VECTOR('',#301,5.E-1); +#303=CARTESIAN_POINT('',(1.75E0,-7.E-1,-7.5E-1)); +#304=LINE('',#303,#302); +#305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#306=VECTOR('',#305,5.E-1); +#307=CARTESIAN_POINT('',(2.25E0,-7.E-1,-7.5E-1)); +#308=LINE('',#307,#306); +#309=DIRECTION('',(1.E0,0.E0,0.E0)); +#310=VECTOR('',#309,5.E-1); +#311=CARTESIAN_POINT('',(1.75E0,-7.E-1,-1.25E0)); +#312=LINE('',#311,#310); +#313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#314=VECTOR('',#313,5.E-1); +#315=CARTESIAN_POINT('',(3.75E0,-7.E-1,1.25E0)); +#316=LINE('',#315,#314); +#317=DIRECTION('',(1.E0,0.E0,0.E0)); +#318=VECTOR('',#317,5.E-1); +#319=CARTESIAN_POINT('',(3.75E0,-7.E-1,1.25E0)); +#320=LINE('',#319,#318); +#321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#322=VECTOR('',#321,5.E-1); +#323=CARTESIAN_POINT('',(4.25E0,-7.E-1,1.25E0)); +#324=LINE('',#323,#322); +#325=DIRECTION('',(1.E0,0.E0,0.E0)); +#326=VECTOR('',#325,5.E-1); +#327=CARTESIAN_POINT('',(3.75E0,-7.E-1,7.5E-1)); +#328=LINE('',#327,#326); +#329=DIRECTION('',(0.E0,0.E0,-1.E0)); +#330=VECTOR('',#329,5.E-1); +#331=CARTESIAN_POINT('',(3.75E0,-7.E-1,-7.5E-1)); +#332=LINE('',#331,#330); +#333=DIRECTION('',(1.E0,0.E0,0.E0)); +#334=VECTOR('',#333,5.E-1); +#335=CARTESIAN_POINT('',(3.75E0,-7.E-1,-7.5E-1)); +#336=LINE('',#335,#334); +#337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#338=VECTOR('',#337,5.E-1); +#339=CARTESIAN_POINT('',(4.25E0,-7.E-1,-7.5E-1)); +#340=LINE('',#339,#338); +#341=DIRECTION('',(1.E0,0.E0,0.E0)); +#342=VECTOR('',#341,5.E-1); +#343=CARTESIAN_POINT('',(3.75E0,-7.E-1,-1.25E0)); +#344=LINE('',#343,#342); +#345=DIRECTION('',(0.E0,0.E0,-1.E0)); +#346=VECTOR('',#345,5.E-1); +#347=CARTESIAN_POINT('',(5.75E0,-7.E-1,1.25E0)); +#348=LINE('',#347,#346); +#349=DIRECTION('',(1.E0,0.E0,0.E0)); +#350=VECTOR('',#349,5.E-1); +#351=CARTESIAN_POINT('',(5.75E0,-7.E-1,1.25E0)); +#352=LINE('',#351,#350); +#353=DIRECTION('',(0.E0,0.E0,-1.E0)); +#354=VECTOR('',#353,5.E-1); +#355=CARTESIAN_POINT('',(6.25E0,-7.E-1,1.25E0)); +#356=LINE('',#355,#354); +#357=DIRECTION('',(1.E0,0.E0,0.E0)); +#358=VECTOR('',#357,5.E-1); +#359=CARTESIAN_POINT('',(5.75E0,-7.E-1,7.5E-1)); +#360=LINE('',#359,#358); +#361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#362=VECTOR('',#361,5.E-1); +#363=CARTESIAN_POINT('',(5.75E0,-7.E-1,-7.5E-1)); +#364=LINE('',#363,#362); +#365=DIRECTION('',(1.E0,0.E0,0.E0)); +#366=VECTOR('',#365,5.E-1); +#367=CARTESIAN_POINT('',(5.75E0,-7.E-1,-7.5E-1)); +#368=LINE('',#367,#366); +#369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#370=VECTOR('',#369,5.E-1); +#371=CARTESIAN_POINT('',(6.25E0,-7.E-1,-7.5E-1)); +#372=LINE('',#371,#370); +#373=DIRECTION('',(1.E0,0.E0,0.E0)); +#374=VECTOR('',#373,5.E-1); +#375=CARTESIAN_POINT('',(5.75E0,-7.E-1,-1.25E0)); +#376=LINE('',#375,#374); +#377=DIRECTION('',(1.E0,0.E0,0.E0)); +#378=VECTOR('',#377,1.1875E0); +#379=CARTESIAN_POINT('',(1.2E0,-7.E-1,2.5E0)); +#380=LINE('',#379,#378); +#381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#382=VECTOR('',#381,6.5E-1); +#383=CARTESIAN_POINT('',(-1.2E0,-7.E-1,3.15E0)); +#384=LINE('',#383,#382); +#385=DIRECTION('',(1.E0,0.E0,0.E0)); +#386=VECTOR('',#385,1.1875E0); +#387=CARTESIAN_POINT('',(-2.3875E0,-7.E-1,2.5E0)); +#388=LINE('',#387,#386); +#389=DIRECTION('',(0.E0,0.E0,-1.E0)); +#390=VECTOR('',#389,2.5E-1); +#391=CARTESIAN_POINT('',(-2.3875E0,-7.E-1,2.5E0)); +#392=LINE('',#391,#390); +#393=DIRECTION('',(1.E0,0.E0,0.E0)); +#394=VECTOR('',#393,3.225E0); +#395=CARTESIAN_POINT('',(-5.6125E0,-7.E-1,2.25E0)); +#396=LINE('',#395,#394); +#397=DIRECTION('',(0.E0,0.E0,-1.E0)); +#398=VECTOR('',#397,2.5E-1); +#399=CARTESIAN_POINT('',(-5.6125E0,-7.E-1,2.5E0)); +#400=LINE('',#399,#398); +#401=DIRECTION('',(1.E0,0.E0,0.E0)); +#402=VECTOR('',#401,1.4125E0); +#403=CARTESIAN_POINT('',(-7.025E0,-7.E-1,2.5E0)); +#404=LINE('',#403,#402); +#405=DIRECTION('',(0.E0,0.E0,1.E0)); +#406=VECTOR('',#405,2.5E-1); +#407=CARTESIAN_POINT('',(-7.025E0,-7.E-1,2.25E0)); +#408=LINE('',#407,#406); +#409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#410=VECTOR('',#409,4.E-1); +#411=CARTESIAN_POINT('',(-7.025E0,-7.E-1,2.25E0)); +#412=LINE('',#411,#410); +#413=DIRECTION('',(1.E0,0.E0,0.E0)); +#414=VECTOR('',#413,9.E-1); +#415=CARTESIAN_POINT('',(-8.325E0,-7.E-1,1.15E0)); +#416=LINE('',#415,#414); +#417=DIRECTION('',(-1.E0,0.E0,0.E0)); +#418=VECTOR('',#417,9.E-1); +#419=CARTESIAN_POINT('',(-7.425E0,-7.E-1,-1.5E-1)); +#420=LINE('',#419,#418); +#421=DIRECTION('',(0.E0,0.E0,1.E0)); +#422=VECTOR('',#421,2.5E-1); +#423=CARTESIAN_POINT('',(-7.025E0,-7.E-1,-2.5E0)); +#424=LINE('',#423,#422); +#425=DIRECTION('',(0.E0,0.E0,1.E0)); +#426=VECTOR('',#425,2.5E-1); +#427=CARTESIAN_POINT('',(-6.395E0,-7.E-1,-2.5E0)); +#428=LINE('',#427,#426); +#429=DIRECTION('',(1.E0,0.E0,0.E0)); +#430=VECTOR('',#429,8.E-1); +#431=CARTESIAN_POINT('',(-6.395E0,-7.E-1,-2.25E0)); +#432=LINE('',#431,#430); +#433=DIRECTION('',(0.E0,0.E0,1.E0)); +#434=VECTOR('',#433,2.5E-1); +#435=CARTESIAN_POINT('',(-5.595E0,-7.E-1,-2.5E0)); +#436=LINE('',#435,#434); +#437=DIRECTION('',(0.E0,0.E0,1.E0)); +#438=VECTOR('',#437,2.5E-1); +#439=CARTESIAN_POINT('',(-4.E-1,-7.E-1,-2.5E0)); +#440=LINE('',#439,#438); +#441=DIRECTION('',(1.E0,0.E0,0.E0)); +#442=VECTOR('',#441,8.E-1); +#443=CARTESIAN_POINT('',(-4.E-1,-7.E-1,-2.25E0)); +#444=LINE('',#443,#442); +#445=DIRECTION('',(0.E0,0.E0,1.E0)); +#446=VECTOR('',#445,2.5E-1); +#447=CARTESIAN_POINT('',(4.E-1,-7.E-1,-2.5E0)); +#448=LINE('',#447,#446); +#449=DIRECTION('',(0.E0,0.E0,1.E0)); +#450=VECTOR('',#449,2.5E-1); +#451=CARTESIAN_POINT('',(5.595E0,-7.E-1,-2.5E0)); +#452=LINE('',#451,#450); +#453=DIRECTION('',(1.E0,0.E0,0.E0)); +#454=VECTOR('',#453,8.E-1); +#455=CARTESIAN_POINT('',(5.595E0,-7.E-1,-2.25E0)); +#456=LINE('',#455,#454); +#457=DIRECTION('',(0.E0,0.E0,1.E0)); +#458=VECTOR('',#457,2.5E-1); +#459=CARTESIAN_POINT('',(6.395E0,-7.E-1,-2.5E0)); +#460=LINE('',#459,#458); +#461=DIRECTION('',(0.E0,0.E0,-1.E0)); +#462=VECTOR('',#461,2.5E-1); +#463=CARTESIAN_POINT('',(7.025E0,-7.E-1,-2.25E0)); +#464=LINE('',#463,#462); +#465=DIRECTION('',(-1.E0,0.E0,0.E0)); +#466=VECTOR('',#465,9.E-1); +#467=CARTESIAN_POINT('',(8.325E0,-7.E-1,-1.15E0)); +#468=LINE('',#467,#466); +#469=DIRECTION('',(1.E0,0.E0,0.E0)); +#470=VECTOR('',#469,9.E-1); +#471=CARTESIAN_POINT('',(7.425E0,-7.E-1,1.5E-1)); +#472=LINE('',#471,#470); +#473=DIRECTION('',(-1.E0,0.E0,0.E0)); +#474=VECTOR('',#473,4.E-1); +#475=CARTESIAN_POINT('',(7.425E0,-7.E-1,2.25E0)); +#476=LINE('',#475,#474); +#477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#478=VECTOR('',#477,2.5E-1); +#479=CARTESIAN_POINT('',(7.025E0,-7.E-1,2.5E0)); +#480=LINE('',#479,#478); +#481=DIRECTION('',(1.E0,0.E0,0.E0)); +#482=VECTOR('',#481,1.4125E0); +#483=CARTESIAN_POINT('',(5.6125E0,-7.E-1,2.5E0)); +#484=LINE('',#483,#482); +#485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#486=VECTOR('',#485,2.5E-1); +#487=CARTESIAN_POINT('',(5.6125E0,-7.E-1,2.5E0)); +#488=LINE('',#487,#486); +#489=DIRECTION('',(-1.E0,0.E0,0.E0)); +#490=VECTOR('',#489,3.225E0); +#491=CARTESIAN_POINT('',(5.6125E0,-7.E-1,2.25E0)); +#492=LINE('',#491,#490); +#493=DIRECTION('',(0.E0,0.E0,-1.E0)); +#494=VECTOR('',#493,2.5E-1); +#495=CARTESIAN_POINT('',(2.3875E0,-7.E-1,2.5E0)); +#496=LINE('',#495,#494); +#497=DIRECTION('',(0.E0,0.E0,-1.E0)); +#498=VECTOR('',#497,5.E-1); +#499=CARTESIAN_POINT('',(-6.25E0,2.3E0,-7.5E-1)); +#500=LINE('',#499,#498); +#501=DIRECTION('',(0.E0,-1.E0,0.E0)); +#502=VECTOR('',#501,3.E0); +#503=CARTESIAN_POINT('',(-6.25E0,2.3E0,-7.5E-1)); +#504=LINE('',#503,#502); +#505=DIRECTION('',(0.E0,1.E0,0.E0)); +#506=VECTOR('',#505,3.E0); +#507=CARTESIAN_POINT('',(-6.25E0,-7.E-1,-1.25E0)); +#508=LINE('',#507,#506); +#509=DIRECTION('',(0.E0,0.E0,-1.E0)); +#510=VECTOR('',#509,5.E-1); +#511=CARTESIAN_POINT('',(-6.25E0,2.3E0,1.25E0)); +#512=LINE('',#511,#510); +#513=DIRECTION('',(0.E0,-1.E0,0.E0)); +#514=VECTOR('',#513,3.E0); +#515=CARTESIAN_POINT('',(-6.25E0,2.3E0,1.25E0)); +#516=LINE('',#515,#514); +#517=DIRECTION('',(0.E0,1.E0,0.E0)); +#518=VECTOR('',#517,3.E0); +#519=CARTESIAN_POINT('',(-6.25E0,-7.E-1,7.5E-1)); +#520=LINE('',#519,#518); +#521=DIRECTION('',(0.E0,1.E0,0.E0)); +#522=VECTOR('',#521,5.E-1); +#523=CARTESIAN_POINT('',(-6.25E0,-4.4E0,2.05E0)); +#524=LINE('',#523,#522); +#525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#526=VECTOR('',#525,6.E-1); +#527=CARTESIAN_POINT('',(-6.25E0,-4.4E0,2.05E0)); +#528=LINE('',#527,#526); +#529=CARTESIAN_POINT('',(-6.25E0,-3.7E0,1.45E0)); +#530=DIRECTION('',(1.E0,0.E0,0.E0)); +#531=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#532=AXIS2_PLACEMENT_3D('',#529,#530,#531); +#534=DIRECTION('',(0.E0,1.E0,0.E0)); +#535=VECTOR('',#534,5.E-1); +#536=CARTESIAN_POINT('',(-6.25E0,-3.7E0,7.5E-1)); +#537=LINE('',#536,#535); +#538=DIRECTION('',(0.E0,-1.E0,0.E0)); +#539=VECTOR('',#538,5.E-1); +#540=CARTESIAN_POINT('',(-6.25E0,-3.2E0,1.25E0)); +#541=LINE('',#540,#539); +#542=CARTESIAN_POINT('',(-6.25E0,-3.7E0,1.45E0)); +#543=DIRECTION('',(-1.E0,0.E0,0.E0)); +#544=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#545=AXIS2_PLACEMENT_3D('',#542,#543,#544); +#547=DIRECTION('',(0.E0,0.E0,1.E0)); +#548=VECTOR('',#547,6.E-1); +#549=CARTESIAN_POINT('',(-6.25E0,-3.9E0,1.45E0)); +#550=LINE('',#549,#548); +#551=DIRECTION('',(0.E0,-1.E0,0.E0)); +#552=VECTOR('',#551,5.E-1); +#553=CARTESIAN_POINT('',(-6.25E0,-3.9E0,-2.05E0)); +#554=LINE('',#553,#552); +#555=DIRECTION('',(0.E0,0.E0,1.E0)); +#556=VECTOR('',#555,6.E-1); +#557=CARTESIAN_POINT('',(-6.25E0,-3.9E0,-2.05E0)); +#558=LINE('',#557,#556); +#559=CARTESIAN_POINT('',(-6.25E0,-3.7E0,-1.45E0)); +#560=DIRECTION('',(-1.E0,0.E0,0.E0)); +#561=DIRECTION('',(0.E0,-1.E0,0.E0)); +#562=AXIS2_PLACEMENT_3D('',#559,#560,#561); +#564=DIRECTION('',(0.E0,1.E0,0.E0)); +#565=VECTOR('',#564,5.E-1); +#566=CARTESIAN_POINT('',(-6.25E0,-3.7E0,-1.25E0)); +#567=LINE('',#566,#565); +#568=DIRECTION('',(0.E0,-1.E0,0.E0)); +#569=VECTOR('',#568,5.E-1); +#570=CARTESIAN_POINT('',(-6.25E0,-3.2E0,-7.5E-1)); +#571=LINE('',#570,#569); +#572=CARTESIAN_POINT('',(-6.25E0,-3.7E0,-1.45E0)); +#573=DIRECTION('',(1.E0,0.E0,0.E0)); +#574=DIRECTION('',(0.E0,0.E0,1.E0)); +#575=AXIS2_PLACEMENT_3D('',#572,#573,#574); +#577=DIRECTION('',(0.E0,0.E0,-1.E0)); +#578=VECTOR('',#577,6.E-1); +#579=CARTESIAN_POINT('',(-6.25E0,-4.4E0,-1.45E0)); +#580=LINE('',#579,#578); +#581=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#582=VECTOR('',#581,6.363961030679E-1); +#583=CARTESIAN_POINT('',(-6.1E0,2.9E0,-1.1E0)); +#584=LINE('',#583,#582); +#585=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#586=VECTOR('',#585,6.363961030679E-1); +#587=CARTESIAN_POINT('',(-5.9E0,2.9E0,-1.1E0)); +#588=LINE('',#587,#586); +#589=DIRECTION('',(1.E0,0.E0,0.E0)); +#590=VECTOR('',#589,5.E-1); +#591=CARTESIAN_POINT('',(-6.25E0,-3.7E0,-1.25E0)); +#592=LINE('',#591,#590); +#593=DIRECTION('',(-1.E0,0.E0,0.E0)); +#594=VECTOR('',#593,5.E-1); +#595=CARTESIAN_POINT('',(-5.75E0,2.3E0,-1.25E0)); +#596=LINE('',#595,#594); +#597=DIRECTION('',(1.E0,0.E0,0.E0)); +#598=VECTOR('',#597,5.E-1); +#599=CARTESIAN_POINT('',(-6.25E0,-3.9E0,-1.45E0)); +#600=LINE('',#599,#598); +#601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#602=VECTOR('',#601,5.E-1); +#603=CARTESIAN_POINT('',(-5.75E0,-3.9E0,-2.05E0)); +#604=LINE('',#603,#602); +#605=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#606=VECTOR('',#605,6.363961030679E-1); +#607=CARTESIAN_POINT('',(-6.1E0,-4.05E0,-2.65E0)); +#608=LINE('',#607,#606); +#609=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#610=VECTOR('',#609,6.363961030679E-1); +#611=CARTESIAN_POINT('',(-5.75E0,-3.9E0,-2.05E0)); +#612=LINE('',#611,#610); +#613=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#614=VECTOR('',#613,6.363961030679E-1); +#615=CARTESIAN_POINT('',(-6.1E0,-4.25E0,-2.65E0)); +#616=LINE('',#615,#614); +#617=DIRECTION('',(2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#618=VECTOR('',#617,6.363961030679E-1); +#619=CARTESIAN_POINT('',(-5.9E0,-4.25E0,-2.65E0)); +#620=LINE('',#619,#618); +#621=DIRECTION('',(-1.E0,0.E0,0.E0)); +#622=VECTOR('',#621,5.E-1); +#623=CARTESIAN_POINT('',(-5.75E0,-4.4E0,-2.05E0)); +#624=LINE('',#623,#622); +#625=DIRECTION('',(1.E0,0.E0,0.E0)); +#626=VECTOR('',#625,5.E-1); +#627=CARTESIAN_POINT('',(-6.25E0,-4.4E0,-1.45E0)); +#628=LINE('',#627,#626); +#629=DIRECTION('',(1.E0,0.E0,0.E0)); +#630=VECTOR('',#629,5.E-1); +#631=CARTESIAN_POINT('',(-6.25E0,-3.7E0,-7.5E-1)); +#632=LINE('',#631,#630); +#633=DIRECTION('',(-1.E0,0.E0,0.E0)); +#634=VECTOR('',#633,5.E-1); +#635=CARTESIAN_POINT('',(-5.75E0,2.3E0,-7.5E-1)); +#636=LINE('',#635,#634); +#637=DIRECTION('',(0.E0,0.E0,1.E0)); +#638=VECTOR('',#637,4.E0); +#639=CARTESIAN_POINT('',(-7.5E0,-3.2E0,-2.E0)); +#640=LINE('',#639,#638); +#641=DIRECTION('',(1.E0,0.E0,0.E0)); +#642=VECTOR('',#641,1.5E1); +#643=CARTESIAN_POINT('',(-7.5E0,-3.2E0,2.E0)); +#644=LINE('',#643,#642); +#645=DIRECTION('',(0.E0,0.E0,-1.E0)); +#646=VECTOR('',#645,4.E0); +#647=CARTESIAN_POINT('',(7.5E0,-3.2E0,2.E0)); +#648=LINE('',#647,#646); +#649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#650=VECTOR('',#649,1.5E1); +#651=CARTESIAN_POINT('',(7.5E0,-3.2E0,-2.E0)); +#652=LINE('',#651,#650); +#653=DIRECTION('',(0.E0,0.E0,1.E0)); +#654=VECTOR('',#653,5.E-1); +#655=CARTESIAN_POINT('',(-6.25E0,-3.2E0,-1.25E0)); +#656=LINE('',#655,#654); +#657=DIRECTION('',(1.E0,0.E0,0.E0)); +#658=VECTOR('',#657,5.E-1); +#659=CARTESIAN_POINT('',(-6.25E0,-3.2E0,-1.25E0)); +#660=LINE('',#659,#658); +#661=DIRECTION('',(0.E0,0.E0,1.E0)); +#662=VECTOR('',#661,5.E-1); +#663=CARTESIAN_POINT('',(-5.75E0,-3.2E0,-1.25E0)); +#664=LINE('',#663,#662); +#665=DIRECTION('',(1.E0,0.E0,0.E0)); +#666=VECTOR('',#665,5.E-1); +#667=CARTESIAN_POINT('',(-6.25E0,-3.2E0,-7.5E-1)); +#668=LINE('',#667,#666); +#669=DIRECTION('',(0.E0,0.E0,1.E0)); +#670=VECTOR('',#669,5.E-1); +#671=CARTESIAN_POINT('',(-6.25E0,-3.2E0,7.5E-1)); +#672=LINE('',#671,#670); +#673=DIRECTION('',(1.E0,0.E0,0.E0)); +#674=VECTOR('',#673,5.E-1); +#675=CARTESIAN_POINT('',(-6.25E0,-3.2E0,7.5E-1)); +#676=LINE('',#675,#674); +#677=DIRECTION('',(0.E0,0.E0,1.E0)); +#678=VECTOR('',#677,5.E-1); +#679=CARTESIAN_POINT('',(-5.75E0,-3.2E0,7.5E-1)); +#680=LINE('',#679,#678); +#681=DIRECTION('',(1.E0,0.E0,0.E0)); +#682=VECTOR('',#681,5.E-1); +#683=CARTESIAN_POINT('',(-6.25E0,-3.2E0,1.25E0)); +#684=LINE('',#683,#682); +#685=DIRECTION('',(0.E0,0.E0,1.E0)); +#686=VECTOR('',#685,5.E-1); +#687=CARTESIAN_POINT('',(-4.25E0,-3.2E0,-1.25E0)); +#688=LINE('',#687,#686); +#689=DIRECTION('',(1.E0,0.E0,0.E0)); +#690=VECTOR('',#689,5.E-1); +#691=CARTESIAN_POINT('',(-4.25E0,-3.2E0,-1.25E0)); +#692=LINE('',#691,#690); +#693=DIRECTION('',(0.E0,0.E0,1.E0)); +#694=VECTOR('',#693,5.E-1); +#695=CARTESIAN_POINT('',(-3.75E0,-3.2E0,-1.25E0)); +#696=LINE('',#695,#694); +#697=DIRECTION('',(1.E0,0.E0,0.E0)); +#698=VECTOR('',#697,5.E-1); +#699=CARTESIAN_POINT('',(-4.25E0,-3.2E0,-7.5E-1)); +#700=LINE('',#699,#698); +#701=DIRECTION('',(0.E0,0.E0,1.E0)); +#702=VECTOR('',#701,5.E-1); +#703=CARTESIAN_POINT('',(-4.25E0,-3.2E0,7.5E-1)); +#704=LINE('',#703,#702); +#705=DIRECTION('',(1.E0,0.E0,0.E0)); +#706=VECTOR('',#705,5.E-1); +#707=CARTESIAN_POINT('',(-4.25E0,-3.2E0,7.5E-1)); +#708=LINE('',#707,#706); +#709=DIRECTION('',(0.E0,0.E0,1.E0)); +#710=VECTOR('',#709,5.E-1); +#711=CARTESIAN_POINT('',(-3.75E0,-3.2E0,7.5E-1)); +#712=LINE('',#711,#710); +#713=DIRECTION('',(1.E0,0.E0,0.E0)); +#714=VECTOR('',#713,5.E-1); +#715=CARTESIAN_POINT('',(-4.25E0,-3.2E0,1.25E0)); +#716=LINE('',#715,#714); +#717=DIRECTION('',(0.E0,0.E0,1.E0)); +#718=VECTOR('',#717,5.E-1); +#719=CARTESIAN_POINT('',(-2.25E0,-3.2E0,-1.25E0)); +#720=LINE('',#719,#718); +#721=DIRECTION('',(1.E0,0.E0,0.E0)); +#722=VECTOR('',#721,5.E-1); +#723=CARTESIAN_POINT('',(-2.25E0,-3.2E0,-1.25E0)); +#724=LINE('',#723,#722); +#725=DIRECTION('',(0.E0,0.E0,1.E0)); +#726=VECTOR('',#725,5.E-1); +#727=CARTESIAN_POINT('',(-1.75E0,-3.2E0,-1.25E0)); +#728=LINE('',#727,#726); +#729=DIRECTION('',(1.E0,0.E0,0.E0)); +#730=VECTOR('',#729,5.E-1); +#731=CARTESIAN_POINT('',(-2.25E0,-3.2E0,-7.5E-1)); +#732=LINE('',#731,#730); +#733=DIRECTION('',(0.E0,0.E0,1.E0)); +#734=VECTOR('',#733,5.E-1); +#735=CARTESIAN_POINT('',(-2.25E0,-3.2E0,7.5E-1)); +#736=LINE('',#735,#734); +#737=DIRECTION('',(1.E0,0.E0,0.E0)); +#738=VECTOR('',#737,5.E-1); +#739=CARTESIAN_POINT('',(-2.25E0,-3.2E0,7.5E-1)); +#740=LINE('',#739,#738); +#741=DIRECTION('',(0.E0,0.E0,1.E0)); +#742=VECTOR('',#741,5.E-1); +#743=CARTESIAN_POINT('',(-1.75E0,-3.2E0,7.5E-1)); +#744=LINE('',#743,#742); +#745=DIRECTION('',(1.E0,0.E0,0.E0)); +#746=VECTOR('',#745,5.E-1); +#747=CARTESIAN_POINT('',(-2.25E0,-3.2E0,1.25E0)); +#748=LINE('',#747,#746); +#749=DIRECTION('',(0.E0,0.E0,1.E0)); +#750=VECTOR('',#749,5.E-1); +#751=CARTESIAN_POINT('',(-2.5E-1,-3.2E0,-1.25E0)); +#752=LINE('',#751,#750); +#753=DIRECTION('',(1.E0,0.E0,0.E0)); +#754=VECTOR('',#753,5.E-1); +#755=CARTESIAN_POINT('',(-2.5E-1,-3.2E0,-1.25E0)); +#756=LINE('',#755,#754); +#757=DIRECTION('',(0.E0,0.E0,1.E0)); +#758=VECTOR('',#757,5.E-1); +#759=CARTESIAN_POINT('',(2.5E-1,-3.2E0,-1.25E0)); +#760=LINE('',#759,#758); +#761=DIRECTION('',(1.E0,0.E0,0.E0)); +#762=VECTOR('',#761,5.E-1); +#763=CARTESIAN_POINT('',(-2.5E-1,-3.2E0,-7.5E-1)); +#764=LINE('',#763,#762); +#765=DIRECTION('',(0.E0,0.E0,1.E0)); +#766=VECTOR('',#765,5.E-1); +#767=CARTESIAN_POINT('',(-2.5E-1,-3.2E0,7.5E-1)); +#768=LINE('',#767,#766); +#769=DIRECTION('',(1.E0,0.E0,0.E0)); +#770=VECTOR('',#769,5.E-1); +#771=CARTESIAN_POINT('',(-2.5E-1,-3.2E0,7.5E-1)); +#772=LINE('',#771,#770); +#773=DIRECTION('',(0.E0,0.E0,1.E0)); +#774=VECTOR('',#773,5.E-1); +#775=CARTESIAN_POINT('',(2.5E-1,-3.2E0,7.5E-1)); +#776=LINE('',#775,#774); +#777=DIRECTION('',(1.E0,0.E0,0.E0)); +#778=VECTOR('',#777,5.E-1); +#779=CARTESIAN_POINT('',(-2.5E-1,-3.2E0,1.25E0)); +#780=LINE('',#779,#778); +#781=DIRECTION('',(0.E0,0.E0,1.E0)); +#782=VECTOR('',#781,5.E-1); +#783=CARTESIAN_POINT('',(1.75E0,-3.2E0,-1.25E0)); +#784=LINE('',#783,#782); +#785=DIRECTION('',(1.E0,0.E0,0.E0)); +#786=VECTOR('',#785,5.E-1); +#787=CARTESIAN_POINT('',(1.75E0,-3.2E0,-1.25E0)); +#788=LINE('',#787,#786); +#789=DIRECTION('',(0.E0,0.E0,1.E0)); +#790=VECTOR('',#789,5.E-1); +#791=CARTESIAN_POINT('',(2.25E0,-3.2E0,-1.25E0)); +#792=LINE('',#791,#790); +#793=DIRECTION('',(1.E0,0.E0,0.E0)); +#794=VECTOR('',#793,5.E-1); +#795=CARTESIAN_POINT('',(1.75E0,-3.2E0,-7.5E-1)); +#796=LINE('',#795,#794); +#797=DIRECTION('',(0.E0,0.E0,1.E0)); +#798=VECTOR('',#797,5.E-1); +#799=CARTESIAN_POINT('',(1.75E0,-3.2E0,7.5E-1)); +#800=LINE('',#799,#798); +#801=DIRECTION('',(1.E0,0.E0,0.E0)); +#802=VECTOR('',#801,5.E-1); +#803=CARTESIAN_POINT('',(1.75E0,-3.2E0,7.5E-1)); +#804=LINE('',#803,#802); +#805=DIRECTION('',(0.E0,0.E0,1.E0)); +#806=VECTOR('',#805,5.E-1); +#807=CARTESIAN_POINT('',(2.25E0,-3.2E0,7.5E-1)); +#808=LINE('',#807,#806); +#809=DIRECTION('',(1.E0,0.E0,0.E0)); +#810=VECTOR('',#809,5.E-1); +#811=CARTESIAN_POINT('',(1.75E0,-3.2E0,1.25E0)); +#812=LINE('',#811,#810); +#813=DIRECTION('',(0.E0,0.E0,1.E0)); +#814=VECTOR('',#813,5.E-1); +#815=CARTESIAN_POINT('',(3.75E0,-3.2E0,-1.25E0)); +#816=LINE('',#815,#814); +#817=DIRECTION('',(1.E0,0.E0,0.E0)); +#818=VECTOR('',#817,5.E-1); +#819=CARTESIAN_POINT('',(3.75E0,-3.2E0,-1.25E0)); +#820=LINE('',#819,#818); +#821=DIRECTION('',(0.E0,0.E0,1.E0)); +#822=VECTOR('',#821,5.E-1); +#823=CARTESIAN_POINT('',(4.25E0,-3.2E0,-1.25E0)); +#824=LINE('',#823,#822); +#825=DIRECTION('',(1.E0,0.E0,0.E0)); +#826=VECTOR('',#825,5.E-1); +#827=CARTESIAN_POINT('',(3.75E0,-3.2E0,-7.5E-1)); +#828=LINE('',#827,#826); +#829=DIRECTION('',(0.E0,0.E0,1.E0)); +#830=VECTOR('',#829,5.E-1); +#831=CARTESIAN_POINT('',(3.75E0,-3.2E0,7.5E-1)); +#832=LINE('',#831,#830); +#833=DIRECTION('',(1.E0,0.E0,0.E0)); +#834=VECTOR('',#833,5.E-1); +#835=CARTESIAN_POINT('',(3.75E0,-3.2E0,7.5E-1)); +#836=LINE('',#835,#834); +#837=DIRECTION('',(0.E0,0.E0,1.E0)); +#838=VECTOR('',#837,5.E-1); +#839=CARTESIAN_POINT('',(4.25E0,-3.2E0,7.5E-1)); +#840=LINE('',#839,#838); +#841=DIRECTION('',(1.E0,0.E0,0.E0)); +#842=VECTOR('',#841,5.E-1); +#843=CARTESIAN_POINT('',(3.75E0,-3.2E0,1.25E0)); +#844=LINE('',#843,#842); +#845=DIRECTION('',(0.E0,0.E0,1.E0)); +#846=VECTOR('',#845,5.E-1); +#847=CARTESIAN_POINT('',(5.75E0,-3.2E0,-1.25E0)); +#848=LINE('',#847,#846); +#849=DIRECTION('',(1.E0,0.E0,0.E0)); +#850=VECTOR('',#849,5.E-1); +#851=CARTESIAN_POINT('',(5.75E0,-3.2E0,-1.25E0)); +#852=LINE('',#851,#850); +#853=DIRECTION('',(0.E0,0.E0,1.E0)); +#854=VECTOR('',#853,5.E-1); +#855=CARTESIAN_POINT('',(6.25E0,-3.2E0,-1.25E0)); +#856=LINE('',#855,#854); +#857=DIRECTION('',(1.E0,0.E0,0.E0)); +#858=VECTOR('',#857,5.E-1); +#859=CARTESIAN_POINT('',(5.75E0,-3.2E0,-7.5E-1)); +#860=LINE('',#859,#858); +#861=DIRECTION('',(0.E0,0.E0,1.E0)); +#862=VECTOR('',#861,5.E-1); +#863=CARTESIAN_POINT('',(5.75E0,-3.2E0,7.5E-1)); +#864=LINE('',#863,#862); +#865=DIRECTION('',(1.E0,0.E0,0.E0)); +#866=VECTOR('',#865,5.E-1); +#867=CARTESIAN_POINT('',(5.75E0,-3.2E0,7.5E-1)); +#868=LINE('',#867,#866); +#869=DIRECTION('',(0.E0,0.E0,1.E0)); +#870=VECTOR('',#869,5.E-1); +#871=CARTESIAN_POINT('',(6.25E0,-3.2E0,7.5E-1)); +#872=LINE('',#871,#870); +#873=DIRECTION('',(1.E0,0.E0,0.E0)); +#874=VECTOR('',#873,5.E-1); +#875=CARTESIAN_POINT('',(5.75E0,-3.2E0,1.25E0)); +#876=LINE('',#875,#874); +#877=CARTESIAN_POINT('',(5.E0,-3.2E0,0.E0)); +#878=DIRECTION('',(0.E0,-1.E0,0.E0)); +#879=DIRECTION('',(0.E0,0.E0,1.E0)); +#880=AXIS2_PLACEMENT_3D('',#877,#878,#879); +#882=CARTESIAN_POINT('',(5.E0,-3.2E0,0.E0)); +#883=DIRECTION('',(0.E0,-1.E0,0.E0)); +#884=DIRECTION('',(0.E0,0.E0,-1.E0)); +#885=AXIS2_PLACEMENT_3D('',#882,#883,#884); +#887=CARTESIAN_POINT('',(-5.E0,-3.2E0,0.E0)); +#888=DIRECTION('',(0.E0,-1.E0,0.E0)); +#889=DIRECTION('',(0.E0,0.E0,1.E0)); +#890=AXIS2_PLACEMENT_3D('',#887,#888,#889); +#892=CARTESIAN_POINT('',(-5.E0,-3.2E0,0.E0)); +#893=DIRECTION('',(0.E0,-1.E0,0.E0)); +#894=DIRECTION('',(0.E0,0.E0,-1.E0)); +#895=AXIS2_PLACEMENT_3D('',#892,#893,#894); +#897=DIRECTION('',(0.E0,1.E0,0.E0)); +#898=VECTOR('',#897,1.7E0); +#899=CARTESIAN_POINT('',(-7.5E0,-3.2E0,-2.E0)); +#900=LINE('',#899,#898); +#901=DIRECTION('',(0.E0,1.E0,0.E0)); +#902=VECTOR('',#901,1.7E0); +#903=CARTESIAN_POINT('',(7.5E0,-3.2E0,-2.E0)); +#904=LINE('',#903,#902); +#905=DIRECTION('',(0.E0,1.E0,0.E0)); +#906=VECTOR('',#905,1.7E0); +#907=CARTESIAN_POINT('',(7.5E0,-3.2E0,2.E0)); +#908=LINE('',#907,#906); +#909=DIRECTION('',(0.E0,1.E0,0.E0)); +#910=VECTOR('',#909,1.7E0); +#911=CARTESIAN_POINT('',(-7.5E0,-3.2E0,2.E0)); +#912=LINE('',#911,#910); +#913=DIRECTION('',(0.E0,0.E0,1.E0)); +#914=VECTOR('',#913,4.E0); +#915=CARTESIAN_POINT('',(-7.5E0,-1.5E0,-2.E0)); +#916=LINE('',#915,#914); +#917=DIRECTION('',(-1.E0,0.E0,0.E0)); +#918=VECTOR('',#917,1.5E1); +#919=CARTESIAN_POINT('',(7.5E0,-1.5E0,-2.E0)); +#920=LINE('',#919,#918); +#921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#922=VECTOR('',#921,4.E0); +#923=CARTESIAN_POINT('',(7.5E0,-1.5E0,2.E0)); +#924=LINE('',#923,#922); +#925=DIRECTION('',(1.E0,0.E0,0.E0)); +#926=VECTOR('',#925,1.5E1); +#927=CARTESIAN_POINT('',(-7.5E0,-1.5E0,2.E0)); +#928=LINE('',#927,#926); +#929=DIRECTION('',(-1.E0,0.E0,0.E0)); +#930=VECTOR('',#929,3.3E0); +#931=CARTESIAN_POINT('',(5.65E0,-1.5E0,2.25E0)); +#932=LINE('',#931,#930); +#933=DIRECTION('',(0.E0,0.E0,-1.E0)); +#934=VECTOR('',#933,9.E-1); +#935=CARTESIAN_POINT('',(5.65E0,-1.5E0,3.15E0)); +#936=LINE('',#935,#934); +#937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#938=VECTOR('',#937,9.E-1); +#939=CARTESIAN_POINT('',(-5.65E0,-1.5E0,3.15E0)); +#940=LINE('',#939,#938); +#941=DIRECTION('',(1.E0,0.E0,0.E0)); +#942=VECTOR('',#941,3.3E0); +#943=CARTESIAN_POINT('',(-5.65E0,-1.5E0,2.25E0)); +#944=LINE('',#943,#942); +#945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#946=VECTOR('',#945,9.E-1); +#947=CARTESIAN_POINT('',(-2.35E0,-1.5E0,3.15E0)); +#948=LINE('',#947,#946); +#949=DIRECTION('',(0.E0,0.E0,-1.E0)); +#950=VECTOR('',#949,9.E-1); +#951=CARTESIAN_POINT('',(2.35E0,-1.5E0,3.15E0)); +#952=LINE('',#951,#950); +#953=DIRECTION('',(4.682358629859E-2,-9.989031743698E-1,0.E0)); +#954=VECTOR('',#953,8.008784239821E-1); +#955=CARTESIAN_POINT('',(5.6125E0,-7.E-1,2.25E0)); +#956=LINE('',#955,#954); +#957=DIRECTION('',(4.682358629859E-2,9.989031743698E-1,0.E0)); +#958=VECTOR('',#957,8.008784239821E-1); +#959=CARTESIAN_POINT('',(2.35E0,-1.5E0,2.25E0)); +#960=LINE('',#959,#958); +#961=DIRECTION('',(0.E0,0.E0,-1.E0)); +#962=VECTOR('',#961,6.5E-1); +#963=CARTESIAN_POINT('',(5.5E0,1.7E0,3.15E0)); +#964=LINE('',#963,#962); +#965=CARTESIAN_POINT('',(-2.E0,2.4E0,2.5E0)); +#966=DIRECTION('',(0.E0,0.E0,-1.E0)); +#967=DIRECTION('',(8.267972847077E-1,5.625E-1,0.E0)); +#968=AXIS2_PLACEMENT_3D('',#965,#966,#967); +#970=DIRECTION('',(0.E0,-1.E0,0.E0)); +#971=VECTOR('',#970,3.55E0); +#972=CARTESIAN_POINT('',(-7.025E0,2.85E0,2.5E0)); +#973=LINE('',#972,#971); +#974=DIRECTION('',(4.682358629859E-2,9.989031743698E-1,0.E0)); +#975=VECTOR('',#974,2.402635271946E0); +#976=CARTESIAN_POINT('',(-5.6125E0,-7.E-1,2.5E0)); +#977=LINE('',#976,#975); +#978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#979=VECTOR('',#978,5.E-1); +#980=CARTESIAN_POINT('',(-5.E0,1.7E0,2.5E0)); +#981=LINE('',#980,#979); +#982=DIRECTION('',(0.E0,1.E0,0.E0)); +#983=VECTOR('',#982,1.2E0); +#984=CARTESIAN_POINT('',(-5.E0,5.E-1,2.5E0)); +#985=LINE('',#984,#983); +#986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#987=VECTOR('',#986,2.E0); +#988=CARTESIAN_POINT('',(-3.E0,5.E-1,2.5E0)); +#989=LINE('',#988,#987); +#990=DIRECTION('',(0.E0,-1.E0,0.E0)); +#991=VECTOR('',#990,1.2E0); +#992=CARTESIAN_POINT('',(-3.E0,1.7E0,2.5E0)); +#993=LINE('',#992,#991); +#994=DIRECTION('',(-1.E0,0.E0,0.E0)); +#995=VECTOR('',#994,5.E-1); +#996=CARTESIAN_POINT('',(-2.5E0,1.7E0,2.5E0)); +#997=LINE('',#996,#995); +#998=DIRECTION('',(-4.682358629859E-2,9.989031743698E-1,0.E0)); +#999=VECTOR('',#998,2.402635271946E0); +#1000=CARTESIAN_POINT('',(-2.3875E0,-7.E-1,2.5E0)); +#1001=LINE('',#1000,#999); +#1002=DIRECTION('',(0.E0,1.E0,0.E0)); +#1003=VECTOR('',#1002,3.1E0); +#1004=CARTESIAN_POINT('',(-1.2E0,-7.E-1,2.5E0)); +#1005=LINE('',#1004,#1003); +#1006=CARTESIAN_POINT('',(2.E0,2.4E0,2.5E0)); +#1007=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1008=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1009=AXIS2_PLACEMENT_3D('',#1006,#1007,#1008); +#1011=DIRECTION('',(0.E0,1.E0,0.E0)); +#1012=VECTOR('',#1011,3.1E0); +#1013=CARTESIAN_POINT('',(1.2E0,-7.E-1,2.5E0)); +#1014=LINE('',#1013,#1012); +#1015=DIRECTION('',(4.682358629859E-2,9.989031743698E-1,0.E0)); +#1016=VECTOR('',#1015,2.402635271946E0); +#1017=CARTESIAN_POINT('',(2.3875E0,-7.E-1,2.5E0)); +#1018=LINE('',#1017,#1016); +#1019=DIRECTION('',(1.E0,0.E0,0.E0)); +#1020=VECTOR('',#1019,5.E-1); +#1021=CARTESIAN_POINT('',(2.5E0,1.7E0,2.5E0)); +#1022=LINE('',#1021,#1020); +#1023=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1024=VECTOR('',#1023,1.2E0); +#1025=CARTESIAN_POINT('',(3.E0,1.7E0,2.5E0)); +#1026=LINE('',#1025,#1024); +#1027=DIRECTION('',(1.E0,0.E0,0.E0)); +#1028=VECTOR('',#1027,2.E0); +#1029=CARTESIAN_POINT('',(3.E0,5.E-1,2.5E0)); +#1030=LINE('',#1029,#1028); +#1031=DIRECTION('',(0.E0,1.E0,0.E0)); +#1032=VECTOR('',#1031,1.2E0); +#1033=CARTESIAN_POINT('',(5.E0,5.E-1,2.5E0)); +#1034=LINE('',#1033,#1032); +#1035=DIRECTION('',(1.E0,0.E0,0.E0)); +#1036=VECTOR('',#1035,5.E-1); +#1037=CARTESIAN_POINT('',(5.E0,1.7E0,2.5E0)); +#1038=LINE('',#1037,#1036); +#1039=DIRECTION('',(-4.682358629859E-2,9.989031743698E-1,0.E0)); +#1040=VECTOR('',#1039,2.402635271946E0); +#1041=CARTESIAN_POINT('',(5.6125E0,-7.E-1,2.5E0)); +#1042=LINE('',#1041,#1040); +#1043=CARTESIAN_POINT('',(-2.E0,3.2E0,2.85E0)); +#1044=CARTESIAN_POINT('',(-1.918950628703E0,3.2E0,2.85E0)); +#1045=CARTESIAN_POINT('',(-1.777372720801E0,3.176917289667E0,2.826917289667E0)); +#1046=CARTESIAN_POINT('',(-1.542536366702E0,3.076400077043E0,2.726400077043E0)); +#1047=CARTESIAN_POINT('',(-1.408834367420E0,2.953290418080E0,2.603290418080E0)); +#1048=CARTESIAN_POINT('',(-1.338562172234E0,2.85E0,2.5E0)); +#1050=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#1051=VECTOR('',#1050,1.039230484541E0); +#1052=CARTESIAN_POINT('',(-7.425E0,2.6E0,2.25E0)); +#1053=LINE('',#1052,#1051); +#1054=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#1055=VECTOR('',#1054,3.535533905933E-1); +#1056=CARTESIAN_POINT('',(-7.025E0,2.6E0,2.25E0)); +#1057=LINE('',#1056,#1055); +#1058=DIRECTION('',(1.E0,0.E0,0.E0)); +#1059=VECTOR('',#1058,5.686437827766E0); +#1060=CARTESIAN_POINT('',(-7.025E0,2.85E0,2.5E0)); +#1061=LINE('',#1060,#1059); +#1062=CARTESIAN_POINT('',(1.338562172234E0,2.85E0,2.5E0)); +#1063=CARTESIAN_POINT('',(1.408834367420E0,2.953290418080E0,2.603290418080E0)); +#1064=CARTESIAN_POINT('',(1.542536366702E0,3.076400077043E0,2.726400077043E0)); +#1065=CARTESIAN_POINT('',(1.777372720801E0,3.176917289667E0,2.826917289667E0)); +#1066=CARTESIAN_POINT('',(1.918950628703E0,3.2E0,2.85E0)); +#1067=CARTESIAN_POINT('',(2.E0,3.2E0,2.85E0)); +#1069=DIRECTION('',(1.E0,0.E0,0.E0)); +#1070=VECTOR('',#1069,5.686437827766E0); +#1071=CARTESIAN_POINT('',(1.338562172234E0,2.85E0,2.5E0)); +#1072=LINE('',#1071,#1070); +#1073=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#1074=VECTOR('',#1073,3.535533905933E-1); +#1075=CARTESIAN_POINT('',(7.025E0,2.6E0,2.25E0)); +#1076=LINE('',#1075,#1074); +#1077=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#1078=VECTOR('',#1077,1.039230484541E0); +#1079=CARTESIAN_POINT('',(-7.425E0,2.6E0,1.15E0)); +#1080=LINE('',#1079,#1078); +#1081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1082=VECTOR('',#1081,2.1E0); +#1083=CARTESIAN_POINT('',(-7.425E0,2.6E0,-1.5E-1)); +#1084=LINE('',#1083,#1082); +#1085=DIRECTION('',(0.E0,1.E0,0.E0)); +#1086=VECTOR('',#1085,3.3E0); +#1087=CARTESIAN_POINT('',(-7.425E0,-7.E-1,-2.25E0)); +#1088=LINE('',#1087,#1086); +#1089=DIRECTION('',(0.E0,0.E0,1.E0)); +#1090=VECTOR('',#1089,2.1E0); +#1091=CARTESIAN_POINT('',(-7.425E0,-7.E-1,-2.25E0)); +#1092=LINE('',#1091,#1090); +#1093=DIRECTION('',(0.E0,1.E0,0.E0)); +#1094=VECTOR('',#1093,3.3E0); +#1095=CARTESIAN_POINT('',(-7.425E0,-7.E-1,-1.5E-1)); +#1096=LINE('',#1095,#1094); +#1097=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1098=VECTOR('',#1097,1.1E0); +#1099=CARTESIAN_POINT('',(-7.425E0,2.6E0,2.25E0)); +#1100=LINE('',#1099,#1098); +#1101=DIRECTION('',(0.E0,1.E0,0.E0)); +#1102=VECTOR('',#1101,3.3E0); +#1103=CARTESIAN_POINT('',(-7.425E0,-7.E-1,1.15E0)); +#1104=LINE('',#1103,#1102); +#1105=DIRECTION('',(0.E0,0.E0,1.E0)); +#1106=VECTOR('',#1105,1.1E0); +#1107=CARTESIAN_POINT('',(-7.425E0,-7.E-1,1.15E0)); +#1108=LINE('',#1107,#1106); +#1109=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1110=VECTOR('',#1109,3.3E0); +#1111=CARTESIAN_POINT('',(-7.425E0,2.6E0,2.25E0)); +#1112=LINE('',#1111,#1110); +#1113=DIRECTION('',(-5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#1114=VECTOR('',#1113,1.039230484541E0); +#1115=CARTESIAN_POINT('',(-7.425E0,2.6E0,-2.25E0)); +#1116=LINE('',#1115,#1114); +#1117=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#1118=VECTOR('',#1117,3.535533905933E-1); +#1119=CARTESIAN_POINT('',(4.E-1,2.6E0,-2.25E0)); +#1120=LINE('',#1119,#1118); +#1121=DIRECTION('',(1.E0,0.E0,0.E0)); +#1122=VECTOR('',#1121,8.E-1); +#1123=CARTESIAN_POINT('',(-4.E-1,2.6E0,-2.25E0)); +#1124=LINE('',#1123,#1122); +#1125=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#1126=VECTOR('',#1125,3.535533905933E-1); +#1127=CARTESIAN_POINT('',(-4.E-1,2.85E0,-2.5E0)); +#1128=LINE('',#1127,#1126); +#1129=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#1130=VECTOR('',#1129,3.535533905933E-1); +#1131=CARTESIAN_POINT('',(-5.595E0,2.6E0,-2.25E0)); +#1132=LINE('',#1131,#1130); +#1133=DIRECTION('',(1.E0,0.E0,0.E0)); +#1134=VECTOR('',#1133,8.E-1); +#1135=CARTESIAN_POINT('',(-6.395E0,2.6E0,-2.25E0)); +#1136=LINE('',#1135,#1134); +#1137=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#1138=VECTOR('',#1137,3.535533905933E-1); +#1139=CARTESIAN_POINT('',(-6.395E0,2.85E0,-2.5E0)); +#1140=LINE('',#1139,#1138); +#1141=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#1142=VECTOR('',#1141,3.535533905933E-1); +#1143=CARTESIAN_POINT('',(-7.025E0,2.6E0,-2.25E0)); +#1144=LINE('',#1143,#1142); +#1145=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#1146=VECTOR('',#1145,1.039230484541E0); +#1147=CARTESIAN_POINT('',(7.425E0,2.6E0,-2.25E0)); +#1148=LINE('',#1147,#1146); +#1149=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#1150=VECTOR('',#1149,3.535533905933E-1); +#1151=CARTESIAN_POINT('',(7.025E0,2.6E0,-2.25E0)); +#1152=LINE('',#1151,#1150); +#1153=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#1154=VECTOR('',#1153,3.535533905933E-1); +#1155=CARTESIAN_POINT('',(6.395E0,2.6E0,-2.25E0)); +#1156=LINE('',#1155,#1154); +#1157=DIRECTION('',(1.E0,0.E0,0.E0)); +#1158=VECTOR('',#1157,8.E-1); +#1159=CARTESIAN_POINT('',(5.595E0,2.6E0,-2.25E0)); +#1160=LINE('',#1159,#1158); +#1161=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#1162=VECTOR('',#1161,3.535533905933E-1); +#1163=CARTESIAN_POINT('',(5.595E0,2.85E0,-2.5E0)); +#1164=LINE('',#1163,#1162); +#1165=DIRECTION('',(0.E0,1.E0,0.E0)); +#1166=VECTOR('',#1165,3.55E0); +#1167=CARTESIAN_POINT('',(-6.395E0,-7.E-1,-2.5E0)); +#1168=LINE('',#1167,#1166); +#1169=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1170=VECTOR('',#1169,6.3E-1); +#1171=CARTESIAN_POINT('',(-6.395E0,-7.E-1,-2.5E0)); +#1172=LINE('',#1171,#1170); +#1173=DIRECTION('',(1.E0,0.E0,0.E0)); +#1174=VECTOR('',#1173,6.3E-1); +#1175=CARTESIAN_POINT('',(-7.025E0,2.85E0,-2.5E0)); +#1176=LINE('',#1175,#1174); +#1177=DIRECTION('',(0.E0,1.E0,0.E0)); +#1178=VECTOR('',#1177,3.55E0); +#1179=CARTESIAN_POINT('',(6.395E0,-7.E-1,-2.5E0)); +#1180=LINE('',#1179,#1178); +#1181=DIRECTION('',(1.E0,0.E0,0.E0)); +#1182=VECTOR('',#1181,6.3E-1); +#1183=CARTESIAN_POINT('',(6.395E0,2.85E0,-2.5E0)); +#1184=LINE('',#1183,#1182); +#1185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1186=VECTOR('',#1185,3.55E0); +#1187=CARTESIAN_POINT('',(7.025E0,2.85E0,-2.5E0)); +#1188=LINE('',#1187,#1186); +#1189=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1190=VECTOR('',#1189,6.3E-1); +#1191=CARTESIAN_POINT('',(7.025E0,-7.E-1,-2.5E0)); +#1192=LINE('',#1191,#1190); +#1193=DIRECTION('',(0.E0,1.E0,0.E0)); +#1194=VECTOR('',#1193,3.55E0); +#1195=CARTESIAN_POINT('',(-4.E-1,-7.E-1,-2.5E0)); +#1196=LINE('',#1195,#1194); +#1197=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1198=VECTOR('',#1197,5.195E0); +#1199=CARTESIAN_POINT('',(-4.E-1,-7.E-1,-2.5E0)); +#1200=LINE('',#1199,#1198); +#1201=DIRECTION('',(0.E0,1.E0,0.E0)); +#1202=VECTOR('',#1201,3.55E0); +#1203=CARTESIAN_POINT('',(-5.595E0,-7.E-1,-2.5E0)); +#1204=LINE('',#1203,#1202); +#1205=DIRECTION('',(1.E0,0.E0,0.E0)); +#1206=VECTOR('',#1205,5.195E0); +#1207=CARTESIAN_POINT('',(-5.595E0,2.85E0,-2.5E0)); +#1208=LINE('',#1207,#1206); +#1209=DIRECTION('',(0.E0,1.E0,0.E0)); +#1210=VECTOR('',#1209,3.55E0); +#1211=CARTESIAN_POINT('',(4.E-1,-7.E-1,-2.5E0)); +#1212=LINE('',#1211,#1210); +#1213=DIRECTION('',(1.E0,0.E0,0.E0)); +#1214=VECTOR('',#1213,5.195E0); +#1215=CARTESIAN_POINT('',(4.E-1,2.85E0,-2.5E0)); +#1216=LINE('',#1215,#1214); +#1217=DIRECTION('',(0.E0,1.E0,0.E0)); +#1218=VECTOR('',#1217,3.55E0); +#1219=CARTESIAN_POINT('',(5.595E0,-7.E-1,-2.5E0)); +#1220=LINE('',#1219,#1218); +#1221=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1222=VECTOR('',#1221,5.195E0); +#1223=CARTESIAN_POINT('',(5.595E0,-7.E-1,-2.5E0)); +#1224=LINE('',#1223,#1222); +#1225=DIRECTION('',(0.E0,1.E0,0.E0)); +#1226=VECTOR('',#1225,3.3E0); +#1227=CARTESIAN_POINT('',(-6.395E0,-7.E-1,-2.25E0)); +#1228=LINE('',#1227,#1226); +#1229=DIRECTION('',(0.E0,1.E0,0.E0)); +#1230=VECTOR('',#1229,3.3E0); +#1231=CARTESIAN_POINT('',(-5.595E0,-7.E-1,-2.25E0)); +#1232=LINE('',#1231,#1230); +#1233=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1234=VECTOR('',#1233,3.55E0); +#1235=CARTESIAN_POINT('',(-7.025E0,2.85E0,-2.5E0)); +#1236=LINE('',#1235,#1234); +#1237=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1238=VECTOR('',#1237,4.E-1); +#1239=CARTESIAN_POINT('',(7.425E0,-7.E-1,-2.25E0)); +#1240=LINE('',#1239,#1238); +#1241=DIRECTION('',(0.E0,1.E0,0.E0)); +#1242=VECTOR('',#1241,3.3E0); +#1243=CARTESIAN_POINT('',(7.025E0,-7.E-1,-2.25E0)); +#1244=LINE('',#1243,#1242); +#1245=DIRECTION('',(1.E0,0.E0,0.E0)); +#1246=VECTOR('',#1245,4.E-1); +#1247=CARTESIAN_POINT('',(7.025E0,2.6E0,-2.25E0)); +#1248=LINE('',#1247,#1246); +#1249=DIRECTION('',(0.E0,1.E0,0.E0)); +#1250=VECTOR('',#1249,3.3E0); +#1251=CARTESIAN_POINT('',(-7.025E0,-7.E-1,-2.25E0)); +#1252=LINE('',#1251,#1250); +#1253=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1254=VECTOR('',#1253,4.E-1); +#1255=CARTESIAN_POINT('',(-7.025E0,-7.E-1,-2.25E0)); +#1256=LINE('',#1255,#1254); +#1257=DIRECTION('',(1.E0,0.E0,0.E0)); +#1258=VECTOR('',#1257,4.E-1); +#1259=CARTESIAN_POINT('',(-7.425E0,2.6E0,-2.25E0)); +#1260=LINE('',#1259,#1258); +#1261=DIRECTION('',(0.E0,0.E0,1.E0)); +#1262=VECTOR('',#1261,1.1E0); +#1263=CARTESIAN_POINT('',(7.425E0,2.6E0,-2.25E0)); +#1264=LINE('',#1263,#1262); +#1265=DIRECTION('',(0.E0,1.E0,0.E0)); +#1266=VECTOR('',#1265,3.3E0); +#1267=CARTESIAN_POINT('',(7.425E0,-7.E-1,-1.15E0)); +#1268=LINE('',#1267,#1266); +#1269=DIRECTION('',(0.E0,0.E0,1.E0)); +#1270=VECTOR('',#1269,1.1E0); +#1271=CARTESIAN_POINT('',(7.425E0,-7.E-1,-2.25E0)); +#1272=LINE('',#1271,#1270); +#1273=DIRECTION('',(0.E0,1.E0,0.E0)); +#1274=VECTOR('',#1273,3.3E0); +#1275=CARTESIAN_POINT('',(7.425E0,-7.E-1,-2.25E0)); +#1276=LINE('',#1275,#1274); +#1277=DIRECTION('',(0.E0,0.E0,1.E0)); +#1278=VECTOR('',#1277,2.1E0); +#1279=CARTESIAN_POINT('',(7.425E0,2.6E0,1.5E-1)); +#1280=LINE('',#1279,#1278); +#1281=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1282=VECTOR('',#1281,3.3E0); +#1283=CARTESIAN_POINT('',(7.425E0,2.6E0,2.25E0)); +#1284=LINE('',#1283,#1282); +#1285=DIRECTION('',(0.E0,0.E0,1.E0)); +#1286=VECTOR('',#1285,2.1E0); +#1287=CARTESIAN_POINT('',(7.425E0,-7.E-1,1.5E-1)); +#1288=LINE('',#1287,#1286); +#1289=DIRECTION('',(0.E0,1.E0,0.E0)); +#1290=VECTOR('',#1289,3.3E0); +#1291=CARTESIAN_POINT('',(7.425E0,-7.E-1,1.5E-1)); +#1292=LINE('',#1291,#1290); +#1293=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,-5.773502691896E-1)); +#1294=VECTOR('',#1293,1.039230484541E0); +#1295=CARTESIAN_POINT('',(7.425E0,2.6E0,-1.15E0)); +#1296=LINE('',#1295,#1294); +#1297=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#1298=VECTOR('',#1297,1.039230484541E0); +#1299=CARTESIAN_POINT('',(7.425E0,2.6E0,2.25E0)); +#1300=LINE('',#1299,#1298); +#1301=DIRECTION('',(5.773502691896E-1,5.773502691896E-1,5.773502691896E-1)); +#1302=VECTOR('',#1301,1.039230484541E0); +#1303=CARTESIAN_POINT('',(7.425E0,2.6E0,1.5E-1)); +#1304=LINE('',#1303,#1302); +#1305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1306=VECTOR('',#1305,9.E-1); +#1307=CARTESIAN_POINT('',(8.325E0,2.6E0,1.5E-1)); +#1308=LINE('',#1307,#1306); +#1309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1310=VECTOR('',#1309,3.3E0); +#1311=CARTESIAN_POINT('',(-7.025E0,2.6E0,2.25E0)); +#1312=LINE('',#1311,#1310); +#1313=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1314=VECTOR('',#1313,4.E-1); +#1315=CARTESIAN_POINT('',(-7.025E0,2.6E0,2.25E0)); +#1316=LINE('',#1315,#1314); +#1317=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1318=VECTOR('',#1317,4.E-1); +#1319=CARTESIAN_POINT('',(7.425E0,2.6E0,2.25E0)); +#1320=LINE('',#1319,#1318); +#1321=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1322=VECTOR('',#1321,3.3E0); +#1323=CARTESIAN_POINT('',(7.025E0,2.6E0,2.25E0)); +#1324=LINE('',#1323,#1322); +#1325=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1326=VECTOR('',#1325,3.55E0); +#1327=CARTESIAN_POINT('',(7.025E0,2.85E0,2.5E0)); +#1328=LINE('',#1327,#1326); +#1329=DIRECTION('',(0.E0,1.E0,0.E0)); +#1330=VECTOR('',#1329,3.3E0); +#1331=CARTESIAN_POINT('',(6.395E0,-7.E-1,-2.25E0)); +#1332=LINE('',#1331,#1330); +#1333=DIRECTION('',(0.E0,1.E0,0.E0)); +#1334=VECTOR('',#1333,3.3E0); +#1335=CARTESIAN_POINT('',(5.595E0,-7.E-1,-2.25E0)); +#1336=LINE('',#1335,#1334); +#1337=DIRECTION('',(0.E0,1.E0,0.E0)); +#1338=VECTOR('',#1337,3.3E0); +#1339=CARTESIAN_POINT('',(-4.E-1,-7.E-1,-2.25E0)); +#1340=LINE('',#1339,#1338); +#1341=DIRECTION('',(0.E0,1.E0,0.E0)); +#1342=VECTOR('',#1341,3.3E0); +#1343=CARTESIAN_POINT('',(4.E-1,-7.E-1,-2.25E0)); +#1344=LINE('',#1343,#1342); +#1345=DIRECTION('',(1.E0,0.E0,0.E0)); +#1346=VECTOR('',#1345,9.E-1); +#1347=CARTESIAN_POINT('',(-8.325E0,2.6E0,-1.5E-1)); +#1348=LINE('',#1347,#1346); +#1349=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1350=VECTOR('',#1349,9.E-1); +#1351=CARTESIAN_POINT('',(-7.425E0,2.6E0,1.15E0)); +#1352=LINE('',#1351,#1350); +#1353=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#1354=VECTOR('',#1353,1.E0); +#1355=CARTESIAN_POINT('',(-6.325E0,3.2E0,3.15E0)); +#1356=LINE('',#1355,#1354); +#1357=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#1358=VECTOR('',#1357,1.E0); +#1359=CARTESIAN_POINT('',(-5.825E0,2.333974596216E0,3.15E0)); +#1360=LINE('',#1359,#1358); +#1361=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1362=VECTOR('',#1361,3.325E0); +#1363=CARTESIAN_POINT('',(-2.E0,3.2E0,3.15E0)); +#1364=LINE('',#1363,#1362); +#1365=CARTESIAN_POINT('',(-2.E0,2.4E0,3.15E0)); +#1366=DIRECTION('',(0.E0,0.E0,1.E0)); +#1367=DIRECTION('',(1.E0,0.E0,0.E0)); +#1368=AXIS2_PLACEMENT_3D('',#1365,#1366,#1367); +#1370=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1371=VECTOR('',#1370,3.1E0); +#1372=CARTESIAN_POINT('',(-1.2E0,2.4E0,3.15E0)); +#1373=LINE('',#1372,#1371); +#1374=DIRECTION('',(1.E0,0.E0,0.E0)); +#1375=VECTOR('',#1374,2.4E0); +#1376=CARTESIAN_POINT('',(-1.2E0,-7.E-1,3.15E0)); +#1377=LINE('',#1376,#1375); +#1378=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1379=VECTOR('',#1378,3.1E0); +#1380=CARTESIAN_POINT('',(1.2E0,2.4E0,3.15E0)); +#1381=LINE('',#1380,#1379); +#1382=CARTESIAN_POINT('',(2.E0,2.4E0,3.15E0)); +#1383=DIRECTION('',(0.E0,0.E0,1.E0)); +#1384=DIRECTION('',(0.E0,1.E0,0.E0)); +#1385=AXIS2_PLACEMENT_3D('',#1382,#1383,#1384); +#1387=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1388=VECTOR('',#1387,6.325E0); +#1389=CARTESIAN_POINT('',(8.325E0,3.2E0,3.15E0)); +#1390=LINE('',#1389,#1388); +#1391=DIRECTION('',(0.E0,1.E0,0.E0)); +#1392=VECTOR('',#1391,4.7E0); +#1393=CARTESIAN_POINT('',(8.325E0,-1.5E0,3.15E0)); +#1394=LINE('',#1393,#1392); +#1395=DIRECTION('',(1.E0,0.E0,0.E0)); +#1396=VECTOR('',#1395,2.675E0); +#1397=CARTESIAN_POINT('',(5.65E0,-1.5E0,3.15E0)); +#1398=LINE('',#1397,#1396); +#1399=DIRECTION('',(4.682358629859E-2,-9.989031743698E-1,0.E0)); +#1400=VECTOR('',#1399,3.203513695928E0); +#1401=CARTESIAN_POINT('',(5.5E0,1.7E0,3.15E0)); +#1402=LINE('',#1401,#1400); +#1403=DIRECTION('',(1.E0,0.E0,0.E0)); +#1404=VECTOR('',#1403,5.E-1); +#1405=CARTESIAN_POINT('',(5.E0,1.7E0,3.15E0)); +#1406=LINE('',#1405,#1404); +#1407=DIRECTION('',(0.E0,1.E0,0.E0)); +#1408=VECTOR('',#1407,1.2E0); +#1409=CARTESIAN_POINT('',(5.E0,5.E-1,3.15E0)); +#1410=LINE('',#1409,#1408); +#1411=DIRECTION('',(1.E0,0.E0,0.E0)); +#1412=VECTOR('',#1411,2.E0); +#1413=CARTESIAN_POINT('',(3.E0,5.E-1,3.15E0)); +#1414=LINE('',#1413,#1412); +#1415=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1416=VECTOR('',#1415,1.2E0); +#1417=CARTESIAN_POINT('',(3.E0,1.7E0,3.15E0)); +#1418=LINE('',#1417,#1416); +#1419=DIRECTION('',(1.E0,0.E0,0.E0)); +#1420=VECTOR('',#1419,5.E-1); +#1421=CARTESIAN_POINT('',(2.5E0,1.7E0,3.15E0)); +#1422=LINE('',#1421,#1420); +#1423=DIRECTION('',(4.682358629859E-2,9.989031743698E-1,0.E0)); +#1424=VECTOR('',#1423,3.203513695928E0); +#1425=CARTESIAN_POINT('',(2.35E0,-1.5E0,3.15E0)); +#1426=LINE('',#1425,#1424); +#1427=DIRECTION('',(1.E0,0.E0,0.E0)); +#1428=VECTOR('',#1427,4.7E0); +#1429=CARTESIAN_POINT('',(-2.35E0,-1.5E0,3.15E0)); +#1430=LINE('',#1429,#1428); +#1431=DIRECTION('',(-4.682358629859E-2,9.989031743698E-1,0.E0)); +#1432=VECTOR('',#1431,3.203513695928E0); +#1433=CARTESIAN_POINT('',(-2.35E0,-1.5E0,3.15E0)); +#1434=LINE('',#1433,#1432); +#1435=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1436=VECTOR('',#1435,5.E-1); +#1437=CARTESIAN_POINT('',(-2.5E0,1.7E0,3.15E0)); +#1438=LINE('',#1437,#1436); +#1439=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1440=VECTOR('',#1439,1.2E0); +#1441=CARTESIAN_POINT('',(-3.E0,1.7E0,3.15E0)); +#1442=LINE('',#1441,#1440); +#1443=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1444=VECTOR('',#1443,2.E0); +#1445=CARTESIAN_POINT('',(-3.E0,5.E-1,3.15E0)); +#1446=LINE('',#1445,#1444); +#1447=DIRECTION('',(0.E0,1.E0,0.E0)); +#1448=VECTOR('',#1447,1.2E0); +#1449=CARTESIAN_POINT('',(-5.E0,5.E-1,3.15E0)); +#1450=LINE('',#1449,#1448); +#1451=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1452=VECTOR('',#1451,5.E-1); +#1453=CARTESIAN_POINT('',(-5.E0,1.7E0,3.15E0)); +#1454=LINE('',#1453,#1452); +#1455=DIRECTION('',(-4.682358629859E-2,-9.989031743698E-1,0.E0)); +#1456=VECTOR('',#1455,3.203513695928E0); +#1457=CARTESIAN_POINT('',(-5.5E0,1.7E0,3.15E0)); +#1458=LINE('',#1457,#1456); +#1459=DIRECTION('',(1.E0,0.E0,0.E0)); +#1460=VECTOR('',#1459,2.675E0); +#1461=CARTESIAN_POINT('',(-8.325E0,-1.5E0,3.15E0)); +#1462=LINE('',#1461,#1460); +#1463=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1464=VECTOR('',#1463,4.7E0); +#1465=CARTESIAN_POINT('',(-8.325E0,3.2E0,3.15E0)); +#1466=LINE('',#1465,#1464); +#1467=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1468=VECTOR('',#1467,2.E0); +#1469=CARTESIAN_POINT('',(-6.325E0,3.2E0,3.15E0)); +#1470=LINE('',#1469,#1468); +#1471=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#1472=VECTOR('',#1471,1.E0); +#1473=CARTESIAN_POINT('',(-5.825E0,2.333974596216E0,3.05E0)); +#1474=LINE('',#1473,#1472); +#1475=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#1476=VECTOR('',#1475,1.E0); +#1477=CARTESIAN_POINT('',(-6.325E0,3.2E0,3.05E0)); +#1478=LINE('',#1477,#1476); +#1479=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1480=VECTOR('',#1479,1.E-1); +#1481=CARTESIAN_POINT('',(-5.825E0,2.333974596216E0,3.15E0)); +#1482=LINE('',#1481,#1480); +#1483=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1484=VECTOR('',#1483,6.5E-1); +#1485=CARTESIAN_POINT('',(-1.2E0,2.4E0,3.15E0)); +#1486=LINE('',#1485,#1484); +#1487=DIRECTION('',(0.E0,0.E0,1.E0)); +#1488=VECTOR('',#1487,6.5E-1); +#1489=CARTESIAN_POINT('',(1.2E0,2.4E0,2.5E0)); +#1490=LINE('',#1489,#1488); +#1491=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1492=VECTOR('',#1491,6.5E-1); +#1493=CARTESIAN_POINT('',(1.2E0,-7.E-1,3.15E0)); +#1494=LINE('',#1493,#1492); +#1495=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1496=VECTOR('',#1495,6.5E-1); +#1497=CARTESIAN_POINT('',(5.E0,1.7E0,3.15E0)); +#1498=LINE('',#1497,#1496); +#1499=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1500=VECTOR('',#1499,6.5E-1); +#1501=CARTESIAN_POINT('',(5.E0,5.E-1,3.15E0)); +#1502=LINE('',#1501,#1500); +#1503=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1504=VECTOR('',#1503,6.5E-1); +#1505=CARTESIAN_POINT('',(3.E0,5.E-1,3.15E0)); +#1506=LINE('',#1505,#1504); +#1507=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1508=VECTOR('',#1507,6.5E-1); +#1509=CARTESIAN_POINT('',(3.E0,1.7E0,3.15E0)); +#1510=LINE('',#1509,#1508); +#1511=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1512=VECTOR('',#1511,6.5E-1); +#1513=CARTESIAN_POINT('',(2.5E0,1.7E0,3.15E0)); +#1514=LINE('',#1513,#1512); +#1515=DIRECTION('',(-4.682358629859E-2,-9.989031743698E-1,0.E0)); +#1516=VECTOR('',#1515,8.008784239821E-1); +#1517=CARTESIAN_POINT('',(-5.6125E0,-7.E-1,2.25E0)); +#1518=LINE('',#1517,#1516); +#1519=DIRECTION('',(-4.682358629859E-2,9.989031743698E-1,0.E0)); +#1520=VECTOR('',#1519,8.008784239821E-1); +#1521=CARTESIAN_POINT('',(-2.35E0,-1.5E0,2.25E0)); +#1522=LINE('',#1521,#1520); +#1523=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1524=VECTOR('',#1523,6.5E-1); +#1525=CARTESIAN_POINT('',(-5.5E0,1.7E0,3.15E0)); +#1526=LINE('',#1525,#1524); +#1527=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1528=VECTOR('',#1527,6.5E-1); +#1529=CARTESIAN_POINT('',(-5.E0,1.7E0,3.15E0)); +#1530=LINE('',#1529,#1528); +#1531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1532=VECTOR('',#1531,6.5E-1); +#1533=CARTESIAN_POINT('',(-5.E0,5.E-1,3.15E0)); +#1534=LINE('',#1533,#1532); +#1535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1536=VECTOR('',#1535,6.5E-1); +#1537=CARTESIAN_POINT('',(-3.E0,5.E-1,3.15E0)); +#1538=LINE('',#1537,#1536); +#1539=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1540=VECTOR('',#1539,6.5E-1); +#1541=CARTESIAN_POINT('',(-3.E0,1.7E0,3.15E0)); +#1542=LINE('',#1541,#1540); +#1543=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1544=VECTOR('',#1543,6.5E-1); +#1545=CARTESIAN_POINT('',(-2.5E0,1.7E0,3.15E0)); +#1546=LINE('',#1545,#1544); +#1547=DIRECTION('',(0.E0,0.E0,1.E0)); +#1548=VECTOR('',#1547,5.E-1); +#1549=CARTESIAN_POINT('',(-5.75E0,2.3E0,-1.25E0)); +#1550=LINE('',#1549,#1548); +#1551=DIRECTION('',(0.E0,1.E0,0.E0)); +#1552=VECTOR('',#1551,3.E0); +#1553=CARTESIAN_POINT('',(-5.75E0,-7.E-1,-1.25E0)); +#1554=LINE('',#1553,#1552); +#1555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1556=VECTOR('',#1555,3.E0); +#1557=CARTESIAN_POINT('',(-5.75E0,2.3E0,-7.5E-1)); +#1558=LINE('',#1557,#1556); +#1559=DIRECTION('',(0.E0,0.E0,1.E0)); +#1560=VECTOR('',#1559,5.E-1); +#1561=CARTESIAN_POINT('',(-5.75E0,2.3E0,7.5E-1)); +#1562=LINE('',#1561,#1560); +#1563=DIRECTION('',(0.E0,1.E0,0.E0)); +#1564=VECTOR('',#1563,3.E0); +#1565=CARTESIAN_POINT('',(-5.75E0,-7.E-1,7.5E-1)); +#1566=LINE('',#1565,#1564); +#1567=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1568=VECTOR('',#1567,3.E0); +#1569=CARTESIAN_POINT('',(-5.75E0,2.3E0,1.25E0)); +#1570=LINE('',#1569,#1568); +#1571=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1572=VECTOR('',#1571,5.E-1); +#1573=CARTESIAN_POINT('',(-5.75E0,-3.9E0,2.05E0)); +#1574=LINE('',#1573,#1572); +#1575=DIRECTION('',(0.E0,0.E0,1.E0)); +#1576=VECTOR('',#1575,6.E-1); +#1577=CARTESIAN_POINT('',(-5.75E0,-3.9E0,1.45E0)); +#1578=LINE('',#1577,#1576); +#1579=CARTESIAN_POINT('',(-5.75E0,-3.7E0,1.45E0)); +#1580=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1581=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#1582=AXIS2_PLACEMENT_3D('',#1579,#1580,#1581); +#1584=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1585=VECTOR('',#1584,5.E-1); +#1586=CARTESIAN_POINT('',(-5.75E0,-3.2E0,1.25E0)); +#1587=LINE('',#1586,#1585); +#1588=DIRECTION('',(0.E0,1.E0,0.E0)); +#1589=VECTOR('',#1588,5.E-1); +#1590=CARTESIAN_POINT('',(-5.75E0,-3.7E0,7.5E-1)); +#1591=LINE('',#1590,#1589); +#1592=CARTESIAN_POINT('',(-5.75E0,-3.7E0,1.45E0)); +#1593=DIRECTION('',(1.E0,0.E0,0.E0)); +#1594=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#1595=AXIS2_PLACEMENT_3D('',#1592,#1593,#1594); +#1597=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1598=VECTOR('',#1597,6.E-1); +#1599=CARTESIAN_POINT('',(-5.75E0,-4.4E0,2.05E0)); +#1600=LINE('',#1599,#1598); +#1601=DIRECTION('',(0.E0,1.E0,0.E0)); +#1602=VECTOR('',#1601,5.E-1); +#1603=CARTESIAN_POINT('',(-5.75E0,-4.4E0,-2.05E0)); +#1604=LINE('',#1603,#1602); +#1605=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1606=VECTOR('',#1605,6.E-1); +#1607=CARTESIAN_POINT('',(-5.75E0,-4.4E0,-1.45E0)); +#1608=LINE('',#1607,#1606); +#1609=CARTESIAN_POINT('',(-5.75E0,-3.7E0,-1.45E0)); +#1610=DIRECTION('',(1.E0,0.E0,0.E0)); +#1611=DIRECTION('',(0.E0,0.E0,1.E0)); +#1612=AXIS2_PLACEMENT_3D('',#1609,#1610,#1611); +#1614=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1615=VECTOR('',#1614,5.E-1); +#1616=CARTESIAN_POINT('',(-5.75E0,-3.2E0,-7.5E-1)); +#1617=LINE('',#1616,#1615); +#1618=DIRECTION('',(0.E0,1.E0,0.E0)); +#1619=VECTOR('',#1618,5.E-1); +#1620=CARTESIAN_POINT('',(-5.75E0,-3.7E0,-1.25E0)); +#1621=LINE('',#1620,#1619); +#1622=CARTESIAN_POINT('',(-5.75E0,-3.7E0,-1.45E0)); +#1623=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1624=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1625=AXIS2_PLACEMENT_3D('',#1622,#1623,#1624); +#1627=DIRECTION('',(0.E0,0.E0,1.E0)); +#1628=VECTOR('',#1627,6.E-1); +#1629=CARTESIAN_POINT('',(-5.75E0,-3.9E0,-2.05E0)); +#1630=LINE('',#1629,#1628); +#1631=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1632=VECTOR('',#1631,2.E-1); +#1633=CARTESIAN_POINT('',(-5.9E0,2.9E0,-9.E-1)); +#1634=LINE('',#1633,#1632); +#1635=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1636=VECTOR('',#1635,2.E-1); +#1637=CARTESIAN_POINT('',(-6.1E0,2.9E0,-9.E-1)); +#1638=LINE('',#1637,#1636); +#1639=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1640=VECTOR('',#1639,2.E-1); +#1641=CARTESIAN_POINT('',(-5.9E0,2.9E0,-1.1E0)); +#1642=LINE('',#1641,#1640); +#1643=DIRECTION('',(0.E0,0.E0,1.E0)); +#1644=VECTOR('',#1643,2.E-1); +#1645=CARTESIAN_POINT('',(-5.9E0,2.9E0,-1.1E0)); +#1646=LINE('',#1645,#1644); +#1647=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#1648=VECTOR('',#1647,6.363961030679E-1); +#1649=CARTESIAN_POINT('',(-6.1E0,2.9E0,-9.E-1)); +#1650=LINE('',#1649,#1648); +#1651=DIRECTION('',(-2.357022603955E-1,9.428090415821E-1,-2.357022603955E-1)); +#1652=VECTOR('',#1651,6.363961030679E-1); +#1653=CARTESIAN_POINT('',(-5.75E0,2.3E0,-7.5E-1)); +#1654=LINE('',#1653,#1652); +#1655=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#1656=VECTOR('',#1655,6.363961030679E-1); +#1657=CARTESIAN_POINT('',(-5.9E0,2.9E0,1.1E0)); +#1658=LINE('',#1657,#1656); +#1659=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1660=VECTOR('',#1659,2.E-1); +#1661=CARTESIAN_POINT('',(-5.9E0,2.9E0,1.1E0)); +#1662=LINE('',#1661,#1660); +#1663=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1664=VECTOR('',#1663,2.E-1); +#1665=CARTESIAN_POINT('',(-6.1E0,2.9E0,1.1E0)); +#1666=LINE('',#1665,#1664); +#1667=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1668=VECTOR('',#1667,2.E-1); +#1669=CARTESIAN_POINT('',(-5.9E0,2.9E0,9.E-1)); +#1670=LINE('',#1669,#1668); +#1671=DIRECTION('',(0.E0,0.E0,1.E0)); +#1672=VECTOR('',#1671,2.E-1); +#1673=CARTESIAN_POINT('',(-5.9E0,2.9E0,9.E-1)); +#1674=LINE('',#1673,#1672); +#1675=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#1676=VECTOR('',#1675,6.363961030679E-1); +#1677=CARTESIAN_POINT('',(-6.1E0,2.9E0,1.1E0)); +#1678=LINE('',#1677,#1676); +#1679=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1680=VECTOR('',#1679,5.E-1); +#1681=CARTESIAN_POINT('',(-5.75E0,2.3E0,1.25E0)); +#1682=LINE('',#1681,#1680); +#1683=DIRECTION('',(1.E0,0.E0,0.E0)); +#1684=VECTOR('',#1683,5.E-1); +#1685=CARTESIAN_POINT('',(-6.25E0,-3.7E0,1.25E0)); +#1686=LINE('',#1685,#1684); +#1687=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1688=VECTOR('',#1687,5.E-1); +#1689=CARTESIAN_POINT('',(-5.75E0,-3.9E0,2.05E0)); +#1690=LINE('',#1689,#1688); +#1691=DIRECTION('',(1.E0,0.E0,0.E0)); +#1692=VECTOR('',#1691,5.E-1); +#1693=CARTESIAN_POINT('',(-6.25E0,-3.9E0,1.45E0)); +#1694=LINE('',#1693,#1692); +#1695=DIRECTION('',(2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#1696=VECTOR('',#1695,6.363961030679E-1); +#1697=CARTESIAN_POINT('',(-5.9E0,-4.05E0,2.65E0)); +#1698=LINE('',#1697,#1696); +#1699=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1700=VECTOR('',#1699,2.E-1); +#1701=CARTESIAN_POINT('',(-5.9E0,-4.25E0,2.65E0)); +#1702=LINE('',#1701,#1700); +#1703=DIRECTION('',(0.E0,1.E0,0.E0)); +#1704=VECTOR('',#1703,2.E-1); +#1705=CARTESIAN_POINT('',(-6.1E0,-4.25E0,2.65E0)); +#1706=LINE('',#1705,#1704); +#1707=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1708=VECTOR('',#1707,2.E-1); +#1709=CARTESIAN_POINT('',(-5.9E0,-4.05E0,2.65E0)); +#1710=LINE('',#1709,#1708); +#1711=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1712=VECTOR('',#1711,2.E-1); +#1713=CARTESIAN_POINT('',(-5.9E0,-4.05E0,2.65E0)); +#1714=LINE('',#1713,#1712); +#1715=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#1716=VECTOR('',#1715,6.363961030679E-1); +#1717=CARTESIAN_POINT('',(-6.1E0,-4.25E0,2.65E0)); +#1718=LINE('',#1717,#1716); +#1719=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#1720=VECTOR('',#1719,6.363961030679E-1); +#1721=CARTESIAN_POINT('',(-5.75E0,-4.4E0,2.05E0)); +#1722=LINE('',#1721,#1720); +#1723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1724=VECTOR('',#1723,5.E-1); +#1725=CARTESIAN_POINT('',(-5.75E0,-4.4E0,2.05E0)); +#1726=LINE('',#1725,#1724); +#1727=DIRECTION('',(1.E0,0.E0,0.E0)); +#1728=VECTOR('',#1727,5.E-1); +#1729=CARTESIAN_POINT('',(-6.25E0,-4.4E0,1.45E0)); +#1730=LINE('',#1729,#1728); +#1731=DIRECTION('',(1.E0,0.E0,0.E0)); +#1732=VECTOR('',#1731,5.E-1); +#1733=CARTESIAN_POINT('',(-6.25E0,-3.7E0,7.5E-1)); +#1734=LINE('',#1733,#1732); +#1735=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1736=VECTOR('',#1735,5.E-1); +#1737=CARTESIAN_POINT('',(-5.75E0,2.3E0,7.5E-1)); +#1738=LINE('',#1737,#1736); +#1739=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#1740=VECTOR('',#1739,6.363961030679E-1); +#1741=CARTESIAN_POINT('',(-5.9E0,2.9E0,9.E-1)); +#1742=LINE('',#1741,#1740); +#1743=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#1744=VECTOR('',#1743,6.363961030679E-1); +#1745=CARTESIAN_POINT('',(-6.1E0,2.9E0,9.E-1)); +#1746=LINE('',#1745,#1744); +#1747=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#1748=VECTOR('',#1747,6.363961030679E-1); +#1749=CARTESIAN_POINT('',(-6.1E0,-4.05E0,2.65E0)); +#1750=LINE('',#1749,#1748); +#1751=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1752=VECTOR('',#1751,2.E-1); +#1753=CARTESIAN_POINT('',(-5.9E0,-4.05E0,-2.65E0)); +#1754=LINE('',#1753,#1752); +#1755=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1756=VECTOR('',#1755,2.E-1); +#1757=CARTESIAN_POINT('',(-6.1E0,-4.05E0,-2.65E0)); +#1758=LINE('',#1757,#1756); +#1759=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1760=VECTOR('',#1759,2.E-1); +#1761=CARTESIAN_POINT('',(-5.9E0,-4.25E0,-2.65E0)); +#1762=LINE('',#1761,#1760); +#1763=DIRECTION('',(0.E0,1.E0,0.E0)); +#1764=VECTOR('',#1763,2.E-1); +#1765=CARTESIAN_POINT('',(-5.9E0,-4.25E0,-2.65E0)); +#1766=LINE('',#1765,#1764); +#1767=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1768=VECTOR('',#1767,5.E-1); +#1769=CARTESIAN_POINT('',(-4.25E0,2.3E0,-7.5E-1)); +#1770=LINE('',#1769,#1768); +#1771=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1772=VECTOR('',#1771,3.E0); +#1773=CARTESIAN_POINT('',(-4.25E0,2.3E0,-7.5E-1)); +#1774=LINE('',#1773,#1772); +#1775=DIRECTION('',(0.E0,1.E0,0.E0)); +#1776=VECTOR('',#1775,3.E0); +#1777=CARTESIAN_POINT('',(-4.25E0,-7.E-1,-1.25E0)); +#1778=LINE('',#1777,#1776); +#1779=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1780=VECTOR('',#1779,5.E-1); +#1781=CARTESIAN_POINT('',(-4.25E0,2.3E0,1.25E0)); +#1782=LINE('',#1781,#1780); +#1783=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1784=VECTOR('',#1783,3.E0); +#1785=CARTESIAN_POINT('',(-4.25E0,2.3E0,1.25E0)); +#1786=LINE('',#1785,#1784); +#1787=DIRECTION('',(0.E0,1.E0,0.E0)); +#1788=VECTOR('',#1787,3.E0); +#1789=CARTESIAN_POINT('',(-4.25E0,-7.E-1,7.5E-1)); +#1790=LINE('',#1789,#1788); +#1791=DIRECTION('',(0.E0,1.E0,0.E0)); +#1792=VECTOR('',#1791,5.E-1); +#1793=CARTESIAN_POINT('',(-4.25E0,-4.4E0,2.05E0)); +#1794=LINE('',#1793,#1792); +#1795=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1796=VECTOR('',#1795,6.E-1); +#1797=CARTESIAN_POINT('',(-4.25E0,-4.4E0,2.05E0)); +#1798=LINE('',#1797,#1796); +#1799=CARTESIAN_POINT('',(-4.25E0,-3.7E0,1.45E0)); +#1800=DIRECTION('',(1.E0,0.E0,0.E0)); +#1801=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#1802=AXIS2_PLACEMENT_3D('',#1799,#1800,#1801); +#1804=DIRECTION('',(0.E0,1.E0,0.E0)); +#1805=VECTOR('',#1804,5.E-1); +#1806=CARTESIAN_POINT('',(-4.25E0,-3.7E0,7.5E-1)); +#1807=LINE('',#1806,#1805); +#1808=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1809=VECTOR('',#1808,5.E-1); +#1810=CARTESIAN_POINT('',(-4.25E0,-3.2E0,1.25E0)); +#1811=LINE('',#1810,#1809); +#1812=CARTESIAN_POINT('',(-4.25E0,-3.7E0,1.45E0)); +#1813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1814=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#1815=AXIS2_PLACEMENT_3D('',#1812,#1813,#1814); +#1817=DIRECTION('',(0.E0,0.E0,1.E0)); +#1818=VECTOR('',#1817,6.E-1); +#1819=CARTESIAN_POINT('',(-4.25E0,-3.9E0,1.45E0)); +#1820=LINE('',#1819,#1818); +#1821=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1822=VECTOR('',#1821,5.E-1); +#1823=CARTESIAN_POINT('',(-4.25E0,-3.9E0,-2.05E0)); +#1824=LINE('',#1823,#1822); +#1825=DIRECTION('',(0.E0,0.E0,1.E0)); +#1826=VECTOR('',#1825,6.E-1); +#1827=CARTESIAN_POINT('',(-4.25E0,-3.9E0,-2.05E0)); +#1828=LINE('',#1827,#1826); +#1829=CARTESIAN_POINT('',(-4.25E0,-3.7E0,-1.45E0)); +#1830=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1831=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1832=AXIS2_PLACEMENT_3D('',#1829,#1830,#1831); +#1834=DIRECTION('',(0.E0,1.E0,0.E0)); +#1835=VECTOR('',#1834,5.E-1); +#1836=CARTESIAN_POINT('',(-4.25E0,-3.7E0,-1.25E0)); +#1837=LINE('',#1836,#1835); +#1838=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1839=VECTOR('',#1838,5.E-1); +#1840=CARTESIAN_POINT('',(-4.25E0,-3.2E0,-7.5E-1)); +#1841=LINE('',#1840,#1839); +#1842=CARTESIAN_POINT('',(-4.25E0,-3.7E0,-1.45E0)); +#1843=DIRECTION('',(1.E0,0.E0,0.E0)); +#1844=DIRECTION('',(0.E0,0.E0,1.E0)); +#1845=AXIS2_PLACEMENT_3D('',#1842,#1843,#1844); +#1847=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1848=VECTOR('',#1847,6.E-1); +#1849=CARTESIAN_POINT('',(-4.25E0,-4.4E0,-1.45E0)); +#1850=LINE('',#1849,#1848); +#1851=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#1852=VECTOR('',#1851,6.363961030679E-1); +#1853=CARTESIAN_POINT('',(-4.1E0,2.9E0,-1.1E0)); +#1854=LINE('',#1853,#1852); +#1855=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#1856=VECTOR('',#1855,6.363961030679E-1); +#1857=CARTESIAN_POINT('',(-3.9E0,2.9E0,-1.1E0)); +#1858=LINE('',#1857,#1856); +#1859=DIRECTION('',(1.E0,0.E0,0.E0)); +#1860=VECTOR('',#1859,5.E-1); +#1861=CARTESIAN_POINT('',(-4.25E0,-3.7E0,-1.25E0)); +#1862=LINE('',#1861,#1860); +#1863=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1864=VECTOR('',#1863,5.E-1); +#1865=CARTESIAN_POINT('',(-3.75E0,2.3E0,-1.25E0)); +#1866=LINE('',#1865,#1864); +#1867=DIRECTION('',(1.E0,0.E0,0.E0)); +#1868=VECTOR('',#1867,5.E-1); +#1869=CARTESIAN_POINT('',(-4.25E0,-3.9E0,-1.45E0)); +#1870=LINE('',#1869,#1868); +#1871=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1872=VECTOR('',#1871,5.E-1); +#1873=CARTESIAN_POINT('',(-3.75E0,-3.9E0,-2.05E0)); +#1874=LINE('',#1873,#1872); +#1875=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#1876=VECTOR('',#1875,6.363961030679E-1); +#1877=CARTESIAN_POINT('',(-4.1E0,-4.05E0,-2.65E0)); +#1878=LINE('',#1877,#1876); +#1879=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#1880=VECTOR('',#1879,6.363961030679E-1); +#1881=CARTESIAN_POINT('',(-3.75E0,-3.9E0,-2.05E0)); +#1882=LINE('',#1881,#1880); +#1883=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#1884=VECTOR('',#1883,6.363961030679E-1); +#1885=CARTESIAN_POINT('',(-4.1E0,-4.25E0,-2.65E0)); +#1886=LINE('',#1885,#1884); +#1887=DIRECTION('',(2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#1888=VECTOR('',#1887,6.363961030679E-1); +#1889=CARTESIAN_POINT('',(-3.9E0,-4.25E0,-2.65E0)); +#1890=LINE('',#1889,#1888); +#1891=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1892=VECTOR('',#1891,5.E-1); +#1893=CARTESIAN_POINT('',(-3.75E0,-4.4E0,-2.05E0)); +#1894=LINE('',#1893,#1892); +#1895=DIRECTION('',(1.E0,0.E0,0.E0)); +#1896=VECTOR('',#1895,5.E-1); +#1897=CARTESIAN_POINT('',(-4.25E0,-4.4E0,-1.45E0)); +#1898=LINE('',#1897,#1896); +#1899=DIRECTION('',(1.E0,0.E0,0.E0)); +#1900=VECTOR('',#1899,5.E-1); +#1901=CARTESIAN_POINT('',(-4.25E0,-3.7E0,-7.5E-1)); +#1902=LINE('',#1901,#1900); +#1903=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1904=VECTOR('',#1903,5.E-1); +#1905=CARTESIAN_POINT('',(-3.75E0,2.3E0,-7.5E-1)); +#1906=LINE('',#1905,#1904); +#1907=DIRECTION('',(0.E0,0.E0,1.E0)); +#1908=VECTOR('',#1907,5.E-1); +#1909=CARTESIAN_POINT('',(-3.75E0,2.3E0,-1.25E0)); +#1910=LINE('',#1909,#1908); +#1911=DIRECTION('',(0.E0,1.E0,0.E0)); +#1912=VECTOR('',#1911,3.E0); +#1913=CARTESIAN_POINT('',(-3.75E0,-7.E-1,-1.25E0)); +#1914=LINE('',#1913,#1912); +#1915=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1916=VECTOR('',#1915,3.E0); +#1917=CARTESIAN_POINT('',(-3.75E0,2.3E0,-7.5E-1)); +#1918=LINE('',#1917,#1916); +#1919=DIRECTION('',(0.E0,0.E0,1.E0)); +#1920=VECTOR('',#1919,5.E-1); +#1921=CARTESIAN_POINT('',(-3.75E0,2.3E0,7.5E-1)); +#1922=LINE('',#1921,#1920); +#1923=DIRECTION('',(0.E0,1.E0,0.E0)); +#1924=VECTOR('',#1923,3.E0); +#1925=CARTESIAN_POINT('',(-3.75E0,-7.E-1,7.5E-1)); +#1926=LINE('',#1925,#1924); +#1927=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1928=VECTOR('',#1927,3.E0); +#1929=CARTESIAN_POINT('',(-3.75E0,2.3E0,1.25E0)); +#1930=LINE('',#1929,#1928); +#1931=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1932=VECTOR('',#1931,5.E-1); +#1933=CARTESIAN_POINT('',(-3.75E0,-3.9E0,2.05E0)); +#1934=LINE('',#1933,#1932); +#1935=DIRECTION('',(0.E0,0.E0,1.E0)); +#1936=VECTOR('',#1935,6.E-1); +#1937=CARTESIAN_POINT('',(-3.75E0,-3.9E0,1.45E0)); +#1938=LINE('',#1937,#1936); +#1939=CARTESIAN_POINT('',(-3.75E0,-3.7E0,1.45E0)); +#1940=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1941=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#1942=AXIS2_PLACEMENT_3D('',#1939,#1940,#1941); +#1944=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1945=VECTOR('',#1944,5.E-1); +#1946=CARTESIAN_POINT('',(-3.75E0,-3.2E0,1.25E0)); +#1947=LINE('',#1946,#1945); +#1948=DIRECTION('',(0.E0,1.E0,0.E0)); +#1949=VECTOR('',#1948,5.E-1); +#1950=CARTESIAN_POINT('',(-3.75E0,-3.7E0,7.5E-1)); +#1951=LINE('',#1950,#1949); +#1952=CARTESIAN_POINT('',(-3.75E0,-3.7E0,1.45E0)); +#1953=DIRECTION('',(1.E0,0.E0,0.E0)); +#1954=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#1955=AXIS2_PLACEMENT_3D('',#1952,#1953,#1954); +#1957=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1958=VECTOR('',#1957,6.E-1); +#1959=CARTESIAN_POINT('',(-3.75E0,-4.4E0,2.05E0)); +#1960=LINE('',#1959,#1958); +#1961=DIRECTION('',(0.E0,1.E0,0.E0)); +#1962=VECTOR('',#1961,5.E-1); +#1963=CARTESIAN_POINT('',(-3.75E0,-4.4E0,-2.05E0)); +#1964=LINE('',#1963,#1962); +#1965=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1966=VECTOR('',#1965,6.E-1); +#1967=CARTESIAN_POINT('',(-3.75E0,-4.4E0,-1.45E0)); +#1968=LINE('',#1967,#1966); +#1969=CARTESIAN_POINT('',(-3.75E0,-3.7E0,-1.45E0)); +#1970=DIRECTION('',(1.E0,0.E0,0.E0)); +#1971=DIRECTION('',(0.E0,0.E0,1.E0)); +#1972=AXIS2_PLACEMENT_3D('',#1969,#1970,#1971); +#1974=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1975=VECTOR('',#1974,5.E-1); +#1976=CARTESIAN_POINT('',(-3.75E0,-3.2E0,-7.5E-1)); +#1977=LINE('',#1976,#1975); +#1978=DIRECTION('',(0.E0,1.E0,0.E0)); +#1979=VECTOR('',#1978,5.E-1); +#1980=CARTESIAN_POINT('',(-3.75E0,-3.7E0,-1.25E0)); +#1981=LINE('',#1980,#1979); +#1982=CARTESIAN_POINT('',(-3.75E0,-3.7E0,-1.45E0)); +#1983=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1984=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1985=AXIS2_PLACEMENT_3D('',#1982,#1983,#1984); +#1987=DIRECTION('',(0.E0,0.E0,1.E0)); +#1988=VECTOR('',#1987,6.E-1); +#1989=CARTESIAN_POINT('',(-3.75E0,-3.9E0,-2.05E0)); +#1990=LINE('',#1989,#1988); +#1991=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1992=VECTOR('',#1991,2.E-1); +#1993=CARTESIAN_POINT('',(-3.9E0,2.9E0,-9.E-1)); +#1994=LINE('',#1993,#1992); +#1995=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1996=VECTOR('',#1995,2.E-1); +#1997=CARTESIAN_POINT('',(-4.1E0,2.9E0,-9.E-1)); +#1998=LINE('',#1997,#1996); +#1999=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2000=VECTOR('',#1999,2.E-1); +#2001=CARTESIAN_POINT('',(-3.9E0,2.9E0,-1.1E0)); +#2002=LINE('',#2001,#2000); +#2003=DIRECTION('',(0.E0,0.E0,1.E0)); +#2004=VECTOR('',#2003,2.E-1); +#2005=CARTESIAN_POINT('',(-3.9E0,2.9E0,-1.1E0)); +#2006=LINE('',#2005,#2004); +#2007=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#2008=VECTOR('',#2007,6.363961030679E-1); +#2009=CARTESIAN_POINT('',(-4.1E0,2.9E0,-9.E-1)); +#2010=LINE('',#2009,#2008); +#2011=DIRECTION('',(-2.357022603955E-1,9.428090415821E-1,-2.357022603955E-1)); +#2012=VECTOR('',#2011,6.363961030679E-1); +#2013=CARTESIAN_POINT('',(-3.75E0,2.3E0,-7.5E-1)); +#2014=LINE('',#2013,#2012); +#2015=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#2016=VECTOR('',#2015,6.363961030679E-1); +#2017=CARTESIAN_POINT('',(-3.9E0,2.9E0,1.1E0)); +#2018=LINE('',#2017,#2016); +#2019=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2020=VECTOR('',#2019,2.E-1); +#2021=CARTESIAN_POINT('',(-3.9E0,2.9E0,1.1E0)); +#2022=LINE('',#2021,#2020); +#2023=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2024=VECTOR('',#2023,2.E-1); +#2025=CARTESIAN_POINT('',(-4.1E0,2.9E0,1.1E0)); +#2026=LINE('',#2025,#2024); +#2027=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2028=VECTOR('',#2027,2.E-1); +#2029=CARTESIAN_POINT('',(-3.9E0,2.9E0,9.E-1)); +#2030=LINE('',#2029,#2028); +#2031=DIRECTION('',(0.E0,0.E0,1.E0)); +#2032=VECTOR('',#2031,2.E-1); +#2033=CARTESIAN_POINT('',(-3.9E0,2.9E0,9.E-1)); +#2034=LINE('',#2033,#2032); +#2035=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#2036=VECTOR('',#2035,6.363961030679E-1); +#2037=CARTESIAN_POINT('',(-4.1E0,2.9E0,1.1E0)); +#2038=LINE('',#2037,#2036); +#2039=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2040=VECTOR('',#2039,5.E-1); +#2041=CARTESIAN_POINT('',(-3.75E0,2.3E0,1.25E0)); +#2042=LINE('',#2041,#2040); +#2043=DIRECTION('',(1.E0,0.E0,0.E0)); +#2044=VECTOR('',#2043,5.E-1); +#2045=CARTESIAN_POINT('',(-4.25E0,-3.7E0,1.25E0)); +#2046=LINE('',#2045,#2044); +#2047=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2048=VECTOR('',#2047,5.E-1); +#2049=CARTESIAN_POINT('',(-3.75E0,-3.9E0,2.05E0)); +#2050=LINE('',#2049,#2048); +#2051=DIRECTION('',(1.E0,0.E0,0.E0)); +#2052=VECTOR('',#2051,5.E-1); +#2053=CARTESIAN_POINT('',(-4.25E0,-3.9E0,1.45E0)); +#2054=LINE('',#2053,#2052); +#2055=DIRECTION('',(2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#2056=VECTOR('',#2055,6.363961030679E-1); +#2057=CARTESIAN_POINT('',(-3.9E0,-4.05E0,2.65E0)); +#2058=LINE('',#2057,#2056); +#2059=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2060=VECTOR('',#2059,2.E-1); +#2061=CARTESIAN_POINT('',(-3.9E0,-4.25E0,2.65E0)); +#2062=LINE('',#2061,#2060); +#2063=DIRECTION('',(0.E0,1.E0,0.E0)); +#2064=VECTOR('',#2063,2.E-1); +#2065=CARTESIAN_POINT('',(-4.1E0,-4.25E0,2.65E0)); +#2066=LINE('',#2065,#2064); +#2067=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2068=VECTOR('',#2067,2.E-1); +#2069=CARTESIAN_POINT('',(-3.9E0,-4.05E0,2.65E0)); +#2070=LINE('',#2069,#2068); +#2071=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2072=VECTOR('',#2071,2.E-1); +#2073=CARTESIAN_POINT('',(-3.9E0,-4.05E0,2.65E0)); +#2074=LINE('',#2073,#2072); +#2075=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#2076=VECTOR('',#2075,6.363961030679E-1); +#2077=CARTESIAN_POINT('',(-4.1E0,-4.25E0,2.65E0)); +#2078=LINE('',#2077,#2076); +#2079=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#2080=VECTOR('',#2079,6.363961030679E-1); +#2081=CARTESIAN_POINT('',(-3.75E0,-4.4E0,2.05E0)); +#2082=LINE('',#2081,#2080); +#2083=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2084=VECTOR('',#2083,5.E-1); +#2085=CARTESIAN_POINT('',(-3.75E0,-4.4E0,2.05E0)); +#2086=LINE('',#2085,#2084); +#2087=DIRECTION('',(1.E0,0.E0,0.E0)); +#2088=VECTOR('',#2087,5.E-1); +#2089=CARTESIAN_POINT('',(-4.25E0,-4.4E0,1.45E0)); +#2090=LINE('',#2089,#2088); +#2091=DIRECTION('',(1.E0,0.E0,0.E0)); +#2092=VECTOR('',#2091,5.E-1); +#2093=CARTESIAN_POINT('',(-4.25E0,-3.7E0,7.5E-1)); +#2094=LINE('',#2093,#2092); +#2095=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2096=VECTOR('',#2095,5.E-1); +#2097=CARTESIAN_POINT('',(-3.75E0,2.3E0,7.5E-1)); +#2098=LINE('',#2097,#2096); +#2099=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#2100=VECTOR('',#2099,6.363961030679E-1); +#2101=CARTESIAN_POINT('',(-3.9E0,2.9E0,9.E-1)); +#2102=LINE('',#2101,#2100); +#2103=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#2104=VECTOR('',#2103,6.363961030679E-1); +#2105=CARTESIAN_POINT('',(-4.1E0,2.9E0,9.E-1)); +#2106=LINE('',#2105,#2104); +#2107=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#2108=VECTOR('',#2107,6.363961030679E-1); +#2109=CARTESIAN_POINT('',(-4.1E0,-4.05E0,2.65E0)); +#2110=LINE('',#2109,#2108); +#2111=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2112=VECTOR('',#2111,2.E-1); +#2113=CARTESIAN_POINT('',(-3.9E0,-4.05E0,-2.65E0)); +#2114=LINE('',#2113,#2112); +#2115=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2116=VECTOR('',#2115,2.E-1); +#2117=CARTESIAN_POINT('',(-4.1E0,-4.05E0,-2.65E0)); +#2118=LINE('',#2117,#2116); +#2119=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2120=VECTOR('',#2119,2.E-1); +#2121=CARTESIAN_POINT('',(-3.9E0,-4.25E0,-2.65E0)); +#2122=LINE('',#2121,#2120); +#2123=DIRECTION('',(0.E0,1.E0,0.E0)); +#2124=VECTOR('',#2123,2.E-1); +#2125=CARTESIAN_POINT('',(-3.9E0,-4.25E0,-2.65E0)); +#2126=LINE('',#2125,#2124); +#2127=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2128=VECTOR('',#2127,5.E-1); +#2129=CARTESIAN_POINT('',(-2.25E0,2.3E0,-7.5E-1)); +#2130=LINE('',#2129,#2128); +#2131=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2132=VECTOR('',#2131,3.E0); +#2133=CARTESIAN_POINT('',(-2.25E0,2.3E0,-7.5E-1)); +#2134=LINE('',#2133,#2132); +#2135=DIRECTION('',(0.E0,1.E0,0.E0)); +#2136=VECTOR('',#2135,3.E0); +#2137=CARTESIAN_POINT('',(-2.25E0,-7.E-1,-1.25E0)); +#2138=LINE('',#2137,#2136); +#2139=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2140=VECTOR('',#2139,5.E-1); +#2141=CARTESIAN_POINT('',(-2.25E0,2.3E0,1.25E0)); +#2142=LINE('',#2141,#2140); +#2143=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2144=VECTOR('',#2143,3.E0); +#2145=CARTESIAN_POINT('',(-2.25E0,2.3E0,1.25E0)); +#2146=LINE('',#2145,#2144); +#2147=DIRECTION('',(0.E0,1.E0,0.E0)); +#2148=VECTOR('',#2147,3.E0); +#2149=CARTESIAN_POINT('',(-2.25E0,-7.E-1,7.5E-1)); +#2150=LINE('',#2149,#2148); +#2151=DIRECTION('',(0.E0,1.E0,0.E0)); +#2152=VECTOR('',#2151,5.E-1); +#2153=CARTESIAN_POINT('',(-2.25E0,-4.4E0,2.05E0)); +#2154=LINE('',#2153,#2152); +#2155=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2156=VECTOR('',#2155,6.E-1); +#2157=CARTESIAN_POINT('',(-2.25E0,-4.4E0,2.05E0)); +#2158=LINE('',#2157,#2156); +#2159=CARTESIAN_POINT('',(-2.25E0,-3.7E0,1.45E0)); +#2160=DIRECTION('',(1.E0,0.E0,0.E0)); +#2161=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#2162=AXIS2_PLACEMENT_3D('',#2159,#2160,#2161); +#2164=DIRECTION('',(0.E0,1.E0,0.E0)); +#2165=VECTOR('',#2164,5.E-1); +#2166=CARTESIAN_POINT('',(-2.25E0,-3.7E0,7.5E-1)); +#2167=LINE('',#2166,#2165); +#2168=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2169=VECTOR('',#2168,5.E-1); +#2170=CARTESIAN_POINT('',(-2.25E0,-3.2E0,1.25E0)); +#2171=LINE('',#2170,#2169); +#2172=CARTESIAN_POINT('',(-2.25E0,-3.7E0,1.45E0)); +#2173=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2174=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#2175=AXIS2_PLACEMENT_3D('',#2172,#2173,#2174); +#2177=DIRECTION('',(0.E0,0.E0,1.E0)); +#2178=VECTOR('',#2177,6.E-1); +#2179=CARTESIAN_POINT('',(-2.25E0,-3.9E0,1.45E0)); +#2180=LINE('',#2179,#2178); +#2181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2182=VECTOR('',#2181,5.E-1); +#2183=CARTESIAN_POINT('',(-2.25E0,-3.9E0,-2.05E0)); +#2184=LINE('',#2183,#2182); +#2185=DIRECTION('',(0.E0,0.E0,1.E0)); +#2186=VECTOR('',#2185,6.E-1); +#2187=CARTESIAN_POINT('',(-2.25E0,-3.9E0,-2.05E0)); +#2188=LINE('',#2187,#2186); +#2189=CARTESIAN_POINT('',(-2.25E0,-3.7E0,-1.45E0)); +#2190=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2191=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2192=AXIS2_PLACEMENT_3D('',#2189,#2190,#2191); +#2194=DIRECTION('',(0.E0,1.E0,0.E0)); +#2195=VECTOR('',#2194,5.E-1); +#2196=CARTESIAN_POINT('',(-2.25E0,-3.7E0,-1.25E0)); +#2197=LINE('',#2196,#2195); +#2198=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2199=VECTOR('',#2198,5.E-1); +#2200=CARTESIAN_POINT('',(-2.25E0,-3.2E0,-7.5E-1)); +#2201=LINE('',#2200,#2199); +#2202=CARTESIAN_POINT('',(-2.25E0,-3.7E0,-1.45E0)); +#2203=DIRECTION('',(1.E0,0.E0,0.E0)); +#2204=DIRECTION('',(0.E0,0.E0,1.E0)); +#2205=AXIS2_PLACEMENT_3D('',#2202,#2203,#2204); +#2207=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2208=VECTOR('',#2207,6.E-1); +#2209=CARTESIAN_POINT('',(-2.25E0,-4.4E0,-1.45E0)); +#2210=LINE('',#2209,#2208); +#2211=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#2212=VECTOR('',#2211,6.363961030679E-1); +#2213=CARTESIAN_POINT('',(-2.1E0,2.9E0,-1.1E0)); +#2214=LINE('',#2213,#2212); +#2215=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#2216=VECTOR('',#2215,6.363961030679E-1); +#2217=CARTESIAN_POINT('',(-1.9E0,2.9E0,-1.1E0)); +#2218=LINE('',#2217,#2216); +#2219=DIRECTION('',(1.E0,0.E0,0.E0)); +#2220=VECTOR('',#2219,5.E-1); +#2221=CARTESIAN_POINT('',(-2.25E0,-3.7E0,-1.25E0)); +#2222=LINE('',#2221,#2220); +#2223=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2224=VECTOR('',#2223,5.E-1); +#2225=CARTESIAN_POINT('',(-1.75E0,2.3E0,-1.25E0)); +#2226=LINE('',#2225,#2224); +#2227=DIRECTION('',(1.E0,0.E0,0.E0)); +#2228=VECTOR('',#2227,5.E-1); +#2229=CARTESIAN_POINT('',(-2.25E0,-3.9E0,-1.45E0)); +#2230=LINE('',#2229,#2228); +#2231=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2232=VECTOR('',#2231,5.E-1); +#2233=CARTESIAN_POINT('',(-1.75E0,-3.9E0,-2.05E0)); +#2234=LINE('',#2233,#2232); +#2235=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#2236=VECTOR('',#2235,6.363961030679E-1); +#2237=CARTESIAN_POINT('',(-2.1E0,-4.05E0,-2.65E0)); +#2238=LINE('',#2237,#2236); +#2239=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#2240=VECTOR('',#2239,6.363961030679E-1); +#2241=CARTESIAN_POINT('',(-1.75E0,-3.9E0,-2.05E0)); +#2242=LINE('',#2241,#2240); +#2243=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#2244=VECTOR('',#2243,6.363961030679E-1); +#2245=CARTESIAN_POINT('',(-2.1E0,-4.25E0,-2.65E0)); +#2246=LINE('',#2245,#2244); +#2247=DIRECTION('',(2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#2248=VECTOR('',#2247,6.363961030679E-1); +#2249=CARTESIAN_POINT('',(-1.9E0,-4.25E0,-2.65E0)); +#2250=LINE('',#2249,#2248); +#2251=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2252=VECTOR('',#2251,5.E-1); +#2253=CARTESIAN_POINT('',(-1.75E0,-4.4E0,-2.05E0)); +#2254=LINE('',#2253,#2252); +#2255=DIRECTION('',(1.E0,0.E0,0.E0)); +#2256=VECTOR('',#2255,5.E-1); +#2257=CARTESIAN_POINT('',(-2.25E0,-4.4E0,-1.45E0)); +#2258=LINE('',#2257,#2256); +#2259=DIRECTION('',(1.E0,0.E0,0.E0)); +#2260=VECTOR('',#2259,5.E-1); +#2261=CARTESIAN_POINT('',(-2.25E0,-3.7E0,-7.5E-1)); +#2262=LINE('',#2261,#2260); +#2263=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2264=VECTOR('',#2263,5.E-1); +#2265=CARTESIAN_POINT('',(-1.75E0,2.3E0,-7.5E-1)); +#2266=LINE('',#2265,#2264); +#2267=DIRECTION('',(0.E0,0.E0,1.E0)); +#2268=VECTOR('',#2267,5.E-1); +#2269=CARTESIAN_POINT('',(-1.75E0,2.3E0,-1.25E0)); +#2270=LINE('',#2269,#2268); +#2271=DIRECTION('',(0.E0,1.E0,0.E0)); +#2272=VECTOR('',#2271,3.E0); +#2273=CARTESIAN_POINT('',(-1.75E0,-7.E-1,-1.25E0)); +#2274=LINE('',#2273,#2272); +#2275=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2276=VECTOR('',#2275,3.E0); +#2277=CARTESIAN_POINT('',(-1.75E0,2.3E0,-7.5E-1)); +#2278=LINE('',#2277,#2276); +#2279=DIRECTION('',(0.E0,0.E0,1.E0)); +#2280=VECTOR('',#2279,5.E-1); +#2281=CARTESIAN_POINT('',(-1.75E0,2.3E0,7.5E-1)); +#2282=LINE('',#2281,#2280); +#2283=DIRECTION('',(0.E0,1.E0,0.E0)); +#2284=VECTOR('',#2283,3.E0); +#2285=CARTESIAN_POINT('',(-1.75E0,-7.E-1,7.5E-1)); +#2286=LINE('',#2285,#2284); +#2287=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2288=VECTOR('',#2287,3.E0); +#2289=CARTESIAN_POINT('',(-1.75E0,2.3E0,1.25E0)); +#2290=LINE('',#2289,#2288); +#2291=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2292=VECTOR('',#2291,5.E-1); +#2293=CARTESIAN_POINT('',(-1.75E0,-3.9E0,2.05E0)); +#2294=LINE('',#2293,#2292); +#2295=DIRECTION('',(0.E0,0.E0,1.E0)); +#2296=VECTOR('',#2295,6.E-1); +#2297=CARTESIAN_POINT('',(-1.75E0,-3.9E0,1.45E0)); +#2298=LINE('',#2297,#2296); +#2299=CARTESIAN_POINT('',(-1.75E0,-3.7E0,1.45E0)); +#2300=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2301=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#2302=AXIS2_PLACEMENT_3D('',#2299,#2300,#2301); +#2304=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2305=VECTOR('',#2304,5.E-1); +#2306=CARTESIAN_POINT('',(-1.75E0,-3.2E0,1.25E0)); +#2307=LINE('',#2306,#2305); +#2308=DIRECTION('',(0.E0,1.E0,0.E0)); +#2309=VECTOR('',#2308,5.E-1); +#2310=CARTESIAN_POINT('',(-1.75E0,-3.7E0,7.5E-1)); +#2311=LINE('',#2310,#2309); +#2312=CARTESIAN_POINT('',(-1.75E0,-3.7E0,1.45E0)); +#2313=DIRECTION('',(1.E0,0.E0,0.E0)); +#2314=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#2315=AXIS2_PLACEMENT_3D('',#2312,#2313,#2314); +#2317=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2318=VECTOR('',#2317,6.E-1); +#2319=CARTESIAN_POINT('',(-1.75E0,-4.4E0,2.05E0)); +#2320=LINE('',#2319,#2318); +#2321=DIRECTION('',(0.E0,1.E0,0.E0)); +#2322=VECTOR('',#2321,5.E-1); +#2323=CARTESIAN_POINT('',(-1.75E0,-4.4E0,-2.05E0)); +#2324=LINE('',#2323,#2322); +#2325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2326=VECTOR('',#2325,6.E-1); +#2327=CARTESIAN_POINT('',(-1.75E0,-4.4E0,-1.45E0)); +#2328=LINE('',#2327,#2326); +#2329=CARTESIAN_POINT('',(-1.75E0,-3.7E0,-1.45E0)); +#2330=DIRECTION('',(1.E0,0.E0,0.E0)); +#2331=DIRECTION('',(0.E0,0.E0,1.E0)); +#2332=AXIS2_PLACEMENT_3D('',#2329,#2330,#2331); +#2334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2335=VECTOR('',#2334,5.E-1); +#2336=CARTESIAN_POINT('',(-1.75E0,-3.2E0,-7.5E-1)); +#2337=LINE('',#2336,#2335); +#2338=DIRECTION('',(0.E0,1.E0,0.E0)); +#2339=VECTOR('',#2338,5.E-1); +#2340=CARTESIAN_POINT('',(-1.75E0,-3.7E0,-1.25E0)); +#2341=LINE('',#2340,#2339); +#2342=CARTESIAN_POINT('',(-1.75E0,-3.7E0,-1.45E0)); +#2343=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2344=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2345=AXIS2_PLACEMENT_3D('',#2342,#2343,#2344); +#2347=DIRECTION('',(0.E0,0.E0,1.E0)); +#2348=VECTOR('',#2347,6.E-1); +#2349=CARTESIAN_POINT('',(-1.75E0,-3.9E0,-2.05E0)); +#2350=LINE('',#2349,#2348); +#2351=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2352=VECTOR('',#2351,2.E-1); +#2353=CARTESIAN_POINT('',(-1.9E0,2.9E0,-9.E-1)); +#2354=LINE('',#2353,#2352); +#2355=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2356=VECTOR('',#2355,2.E-1); +#2357=CARTESIAN_POINT('',(-2.1E0,2.9E0,-9.E-1)); +#2358=LINE('',#2357,#2356); +#2359=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2360=VECTOR('',#2359,2.E-1); +#2361=CARTESIAN_POINT('',(-1.9E0,2.9E0,-1.1E0)); +#2362=LINE('',#2361,#2360); +#2363=DIRECTION('',(0.E0,0.E0,1.E0)); +#2364=VECTOR('',#2363,2.E-1); +#2365=CARTESIAN_POINT('',(-1.9E0,2.9E0,-1.1E0)); +#2366=LINE('',#2365,#2364); +#2367=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#2368=VECTOR('',#2367,6.363961030679E-1); +#2369=CARTESIAN_POINT('',(-2.1E0,2.9E0,-9.E-1)); +#2370=LINE('',#2369,#2368); +#2371=DIRECTION('',(-2.357022603955E-1,9.428090415821E-1,-2.357022603955E-1)); +#2372=VECTOR('',#2371,6.363961030679E-1); +#2373=CARTESIAN_POINT('',(-1.75E0,2.3E0,-7.5E-1)); +#2374=LINE('',#2373,#2372); +#2375=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#2376=VECTOR('',#2375,6.363961030679E-1); +#2377=CARTESIAN_POINT('',(-1.9E0,2.9E0,1.1E0)); +#2378=LINE('',#2377,#2376); +#2379=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2380=VECTOR('',#2379,2.E-1); +#2381=CARTESIAN_POINT('',(-1.9E0,2.9E0,1.1E0)); +#2382=LINE('',#2381,#2380); +#2383=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2384=VECTOR('',#2383,2.E-1); +#2385=CARTESIAN_POINT('',(-2.1E0,2.9E0,1.1E0)); +#2386=LINE('',#2385,#2384); +#2387=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2388=VECTOR('',#2387,2.E-1); +#2389=CARTESIAN_POINT('',(-1.9E0,2.9E0,9.E-1)); +#2390=LINE('',#2389,#2388); +#2391=DIRECTION('',(0.E0,0.E0,1.E0)); +#2392=VECTOR('',#2391,2.E-1); +#2393=CARTESIAN_POINT('',(-1.9E0,2.9E0,9.E-1)); +#2394=LINE('',#2393,#2392); +#2395=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#2396=VECTOR('',#2395,6.363961030679E-1); +#2397=CARTESIAN_POINT('',(-2.1E0,2.9E0,1.1E0)); +#2398=LINE('',#2397,#2396); +#2399=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2400=VECTOR('',#2399,5.E-1); +#2401=CARTESIAN_POINT('',(-1.75E0,2.3E0,1.25E0)); +#2402=LINE('',#2401,#2400); +#2403=DIRECTION('',(1.E0,0.E0,0.E0)); +#2404=VECTOR('',#2403,5.E-1); +#2405=CARTESIAN_POINT('',(-2.25E0,-3.7E0,1.25E0)); +#2406=LINE('',#2405,#2404); +#2407=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2408=VECTOR('',#2407,5.E-1); +#2409=CARTESIAN_POINT('',(-1.75E0,-3.9E0,2.05E0)); +#2410=LINE('',#2409,#2408); +#2411=DIRECTION('',(1.E0,0.E0,0.E0)); +#2412=VECTOR('',#2411,5.E-1); +#2413=CARTESIAN_POINT('',(-2.25E0,-3.9E0,1.45E0)); +#2414=LINE('',#2413,#2412); +#2415=DIRECTION('',(2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#2416=VECTOR('',#2415,6.363961030679E-1); +#2417=CARTESIAN_POINT('',(-1.9E0,-4.05E0,2.65E0)); +#2418=LINE('',#2417,#2416); +#2419=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2420=VECTOR('',#2419,2.E-1); +#2421=CARTESIAN_POINT('',(-1.9E0,-4.25E0,2.65E0)); +#2422=LINE('',#2421,#2420); +#2423=DIRECTION('',(0.E0,1.E0,0.E0)); +#2424=VECTOR('',#2423,2.E-1); +#2425=CARTESIAN_POINT('',(-2.1E0,-4.25E0,2.65E0)); +#2426=LINE('',#2425,#2424); +#2427=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2428=VECTOR('',#2427,2.E-1); +#2429=CARTESIAN_POINT('',(-1.9E0,-4.05E0,2.65E0)); +#2430=LINE('',#2429,#2428); +#2431=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2432=VECTOR('',#2431,2.E-1); +#2433=CARTESIAN_POINT('',(-1.9E0,-4.05E0,2.65E0)); +#2434=LINE('',#2433,#2432); +#2435=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#2436=VECTOR('',#2435,6.363961030679E-1); +#2437=CARTESIAN_POINT('',(-2.1E0,-4.25E0,2.65E0)); +#2438=LINE('',#2437,#2436); +#2439=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#2440=VECTOR('',#2439,6.363961030679E-1); +#2441=CARTESIAN_POINT('',(-1.75E0,-4.4E0,2.05E0)); +#2442=LINE('',#2441,#2440); +#2443=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2444=VECTOR('',#2443,5.E-1); +#2445=CARTESIAN_POINT('',(-1.75E0,-4.4E0,2.05E0)); +#2446=LINE('',#2445,#2444); +#2447=DIRECTION('',(1.E0,0.E0,0.E0)); +#2448=VECTOR('',#2447,5.E-1); +#2449=CARTESIAN_POINT('',(-2.25E0,-4.4E0,1.45E0)); +#2450=LINE('',#2449,#2448); +#2451=DIRECTION('',(1.E0,0.E0,0.E0)); +#2452=VECTOR('',#2451,5.E-1); +#2453=CARTESIAN_POINT('',(-2.25E0,-3.7E0,7.5E-1)); +#2454=LINE('',#2453,#2452); +#2455=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2456=VECTOR('',#2455,5.E-1); +#2457=CARTESIAN_POINT('',(-1.75E0,2.3E0,7.5E-1)); +#2458=LINE('',#2457,#2456); +#2459=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#2460=VECTOR('',#2459,6.363961030679E-1); +#2461=CARTESIAN_POINT('',(-1.9E0,2.9E0,9.E-1)); +#2462=LINE('',#2461,#2460); +#2463=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#2464=VECTOR('',#2463,6.363961030679E-1); +#2465=CARTESIAN_POINT('',(-2.1E0,2.9E0,9.E-1)); +#2466=LINE('',#2465,#2464); +#2467=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#2468=VECTOR('',#2467,6.363961030679E-1); +#2469=CARTESIAN_POINT('',(-2.1E0,-4.05E0,2.65E0)); +#2470=LINE('',#2469,#2468); +#2471=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2472=VECTOR('',#2471,2.E-1); +#2473=CARTESIAN_POINT('',(-1.9E0,-4.05E0,-2.65E0)); +#2474=LINE('',#2473,#2472); +#2475=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2476=VECTOR('',#2475,2.E-1); +#2477=CARTESIAN_POINT('',(-2.1E0,-4.05E0,-2.65E0)); +#2478=LINE('',#2477,#2476); +#2479=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2480=VECTOR('',#2479,2.E-1); +#2481=CARTESIAN_POINT('',(-1.9E0,-4.25E0,-2.65E0)); +#2482=LINE('',#2481,#2480); +#2483=DIRECTION('',(0.E0,1.E0,0.E0)); +#2484=VECTOR('',#2483,2.E-1); +#2485=CARTESIAN_POINT('',(-1.9E0,-4.25E0,-2.65E0)); +#2486=LINE('',#2485,#2484); +#2487=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2488=VECTOR('',#2487,5.E-1); +#2489=CARTESIAN_POINT('',(-2.5E-1,2.3E0,-7.5E-1)); +#2490=LINE('',#2489,#2488); +#2491=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2492=VECTOR('',#2491,3.E0); +#2493=CARTESIAN_POINT('',(-2.5E-1,2.3E0,-7.5E-1)); +#2494=LINE('',#2493,#2492); +#2495=DIRECTION('',(0.E0,1.E0,0.E0)); +#2496=VECTOR('',#2495,3.E0); +#2497=CARTESIAN_POINT('',(-2.5E-1,-7.E-1,-1.25E0)); +#2498=LINE('',#2497,#2496); +#2499=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2500=VECTOR('',#2499,5.E-1); +#2501=CARTESIAN_POINT('',(-2.5E-1,2.3E0,1.25E0)); +#2502=LINE('',#2501,#2500); +#2503=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2504=VECTOR('',#2503,3.E0); +#2505=CARTESIAN_POINT('',(-2.5E-1,2.3E0,1.25E0)); +#2506=LINE('',#2505,#2504); +#2507=DIRECTION('',(0.E0,1.E0,0.E0)); +#2508=VECTOR('',#2507,3.E0); +#2509=CARTESIAN_POINT('',(-2.5E-1,-7.E-1,7.5E-1)); +#2510=LINE('',#2509,#2508); +#2511=DIRECTION('',(0.E0,1.E0,0.E0)); +#2512=VECTOR('',#2511,5.E-1); +#2513=CARTESIAN_POINT('',(-2.5E-1,-4.4E0,2.05E0)); +#2514=LINE('',#2513,#2512); +#2515=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2516=VECTOR('',#2515,6.E-1); +#2517=CARTESIAN_POINT('',(-2.5E-1,-4.4E0,2.05E0)); +#2518=LINE('',#2517,#2516); +#2519=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,1.45E0)); +#2520=DIRECTION('',(1.E0,0.E0,0.E0)); +#2521=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#2522=AXIS2_PLACEMENT_3D('',#2519,#2520,#2521); +#2524=DIRECTION('',(0.E0,1.E0,0.E0)); +#2525=VECTOR('',#2524,5.E-1); +#2526=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,7.5E-1)); +#2527=LINE('',#2526,#2525); +#2528=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2529=VECTOR('',#2528,5.E-1); +#2530=CARTESIAN_POINT('',(-2.5E-1,-3.2E0,1.25E0)); +#2531=LINE('',#2530,#2529); +#2532=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,1.45E0)); +#2533=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2534=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#2535=AXIS2_PLACEMENT_3D('',#2532,#2533,#2534); +#2537=DIRECTION('',(0.E0,0.E0,1.E0)); +#2538=VECTOR('',#2537,6.E-1); +#2539=CARTESIAN_POINT('',(-2.5E-1,-3.9E0,1.45E0)); +#2540=LINE('',#2539,#2538); +#2541=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2542=VECTOR('',#2541,5.E-1); +#2543=CARTESIAN_POINT('',(-2.5E-1,-3.9E0,-2.05E0)); +#2544=LINE('',#2543,#2542); +#2545=DIRECTION('',(0.E0,0.E0,1.E0)); +#2546=VECTOR('',#2545,6.E-1); +#2547=CARTESIAN_POINT('',(-2.5E-1,-3.9E0,-2.05E0)); +#2548=LINE('',#2547,#2546); +#2549=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,-1.45E0)); +#2550=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2551=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2552=AXIS2_PLACEMENT_3D('',#2549,#2550,#2551); +#2554=DIRECTION('',(0.E0,1.E0,0.E0)); +#2555=VECTOR('',#2554,5.E-1); +#2556=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,-1.25E0)); +#2557=LINE('',#2556,#2555); +#2558=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2559=VECTOR('',#2558,5.E-1); +#2560=CARTESIAN_POINT('',(-2.5E-1,-3.2E0,-7.5E-1)); +#2561=LINE('',#2560,#2559); +#2562=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,-1.45E0)); +#2563=DIRECTION('',(1.E0,0.E0,0.E0)); +#2564=DIRECTION('',(0.E0,0.E0,1.E0)); +#2565=AXIS2_PLACEMENT_3D('',#2562,#2563,#2564); +#2567=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2568=VECTOR('',#2567,6.E-1); +#2569=CARTESIAN_POINT('',(-2.5E-1,-4.4E0,-1.45E0)); +#2570=LINE('',#2569,#2568); +#2571=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#2572=VECTOR('',#2571,6.363961030679E-1); +#2573=CARTESIAN_POINT('',(-1.E-1,2.9E0,-1.1E0)); +#2574=LINE('',#2573,#2572); +#2575=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#2576=VECTOR('',#2575,6.363961030679E-1); +#2577=CARTESIAN_POINT('',(1.E-1,2.9E0,-1.1E0)); +#2578=LINE('',#2577,#2576); +#2579=DIRECTION('',(1.E0,0.E0,0.E0)); +#2580=VECTOR('',#2579,5.E-1); +#2581=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,-1.25E0)); +#2582=LINE('',#2581,#2580); +#2583=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2584=VECTOR('',#2583,5.E-1); +#2585=CARTESIAN_POINT('',(2.5E-1,2.3E0,-1.25E0)); +#2586=LINE('',#2585,#2584); +#2587=DIRECTION('',(1.E0,0.E0,0.E0)); +#2588=VECTOR('',#2587,5.E-1); +#2589=CARTESIAN_POINT('',(-2.5E-1,-3.9E0,-1.45E0)); +#2590=LINE('',#2589,#2588); +#2591=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2592=VECTOR('',#2591,5.E-1); +#2593=CARTESIAN_POINT('',(2.5E-1,-3.9E0,-2.05E0)); +#2594=LINE('',#2593,#2592); +#2595=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#2596=VECTOR('',#2595,6.363961030679E-1); +#2597=CARTESIAN_POINT('',(-1.E-1,-4.05E0,-2.65E0)); +#2598=LINE('',#2597,#2596); +#2599=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#2600=VECTOR('',#2599,6.363961030679E-1); +#2601=CARTESIAN_POINT('',(2.5E-1,-3.9E0,-2.05E0)); +#2602=LINE('',#2601,#2600); +#2603=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#2604=VECTOR('',#2603,6.363961030679E-1); +#2605=CARTESIAN_POINT('',(-1.E-1,-4.25E0,-2.65E0)); +#2606=LINE('',#2605,#2604); +#2607=DIRECTION('',(2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#2608=VECTOR('',#2607,6.363961030679E-1); +#2609=CARTESIAN_POINT('',(1.E-1,-4.25E0,-2.65E0)); +#2610=LINE('',#2609,#2608); +#2611=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2612=VECTOR('',#2611,5.E-1); +#2613=CARTESIAN_POINT('',(2.5E-1,-4.4E0,-2.05E0)); +#2614=LINE('',#2613,#2612); +#2615=DIRECTION('',(1.E0,0.E0,0.E0)); +#2616=VECTOR('',#2615,5.E-1); +#2617=CARTESIAN_POINT('',(-2.5E-1,-4.4E0,-1.45E0)); +#2618=LINE('',#2617,#2616); +#2619=DIRECTION('',(1.E0,0.E0,0.E0)); +#2620=VECTOR('',#2619,5.E-1); +#2621=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,-7.5E-1)); +#2622=LINE('',#2621,#2620); +#2623=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2624=VECTOR('',#2623,5.E-1); +#2625=CARTESIAN_POINT('',(2.5E-1,2.3E0,-7.5E-1)); +#2626=LINE('',#2625,#2624); +#2627=DIRECTION('',(0.E0,0.E0,1.E0)); +#2628=VECTOR('',#2627,5.E-1); +#2629=CARTESIAN_POINT('',(2.5E-1,2.3E0,-1.25E0)); +#2630=LINE('',#2629,#2628); +#2631=DIRECTION('',(0.E0,1.E0,0.E0)); +#2632=VECTOR('',#2631,3.E0); +#2633=CARTESIAN_POINT('',(2.5E-1,-7.E-1,-1.25E0)); +#2634=LINE('',#2633,#2632); +#2635=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2636=VECTOR('',#2635,3.E0); +#2637=CARTESIAN_POINT('',(2.5E-1,2.3E0,-7.5E-1)); +#2638=LINE('',#2637,#2636); +#2639=DIRECTION('',(0.E0,0.E0,1.E0)); +#2640=VECTOR('',#2639,5.E-1); +#2641=CARTESIAN_POINT('',(2.5E-1,2.3E0,7.5E-1)); +#2642=LINE('',#2641,#2640); +#2643=DIRECTION('',(0.E0,1.E0,0.E0)); +#2644=VECTOR('',#2643,3.E0); +#2645=CARTESIAN_POINT('',(2.5E-1,-7.E-1,7.5E-1)); +#2646=LINE('',#2645,#2644); +#2647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2648=VECTOR('',#2647,3.E0); +#2649=CARTESIAN_POINT('',(2.5E-1,2.3E0,1.25E0)); +#2650=LINE('',#2649,#2648); +#2651=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2652=VECTOR('',#2651,5.E-1); +#2653=CARTESIAN_POINT('',(2.5E-1,-3.9E0,2.05E0)); +#2654=LINE('',#2653,#2652); +#2655=DIRECTION('',(0.E0,0.E0,1.E0)); +#2656=VECTOR('',#2655,6.E-1); +#2657=CARTESIAN_POINT('',(2.5E-1,-3.9E0,1.45E0)); +#2658=LINE('',#2657,#2656); +#2659=CARTESIAN_POINT('',(2.5E-1,-3.7E0,1.45E0)); +#2660=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2661=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#2662=AXIS2_PLACEMENT_3D('',#2659,#2660,#2661); +#2664=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2665=VECTOR('',#2664,5.E-1); +#2666=CARTESIAN_POINT('',(2.5E-1,-3.2E0,1.25E0)); +#2667=LINE('',#2666,#2665); +#2668=DIRECTION('',(0.E0,1.E0,0.E0)); +#2669=VECTOR('',#2668,5.E-1); +#2670=CARTESIAN_POINT('',(2.5E-1,-3.7E0,7.5E-1)); +#2671=LINE('',#2670,#2669); +#2672=CARTESIAN_POINT('',(2.5E-1,-3.7E0,1.45E0)); +#2673=DIRECTION('',(1.E0,0.E0,0.E0)); +#2674=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#2675=AXIS2_PLACEMENT_3D('',#2672,#2673,#2674); +#2677=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2678=VECTOR('',#2677,6.E-1); +#2679=CARTESIAN_POINT('',(2.5E-1,-4.4E0,2.05E0)); +#2680=LINE('',#2679,#2678); +#2681=DIRECTION('',(0.E0,1.E0,0.E0)); +#2682=VECTOR('',#2681,5.E-1); +#2683=CARTESIAN_POINT('',(2.5E-1,-4.4E0,-2.05E0)); +#2684=LINE('',#2683,#2682); +#2685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2686=VECTOR('',#2685,6.E-1); +#2687=CARTESIAN_POINT('',(2.5E-1,-4.4E0,-1.45E0)); +#2688=LINE('',#2687,#2686); +#2689=CARTESIAN_POINT('',(2.5E-1,-3.7E0,-1.45E0)); +#2690=DIRECTION('',(1.E0,0.E0,0.E0)); +#2691=DIRECTION('',(0.E0,0.E0,1.E0)); +#2692=AXIS2_PLACEMENT_3D('',#2689,#2690,#2691); +#2694=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2695=VECTOR('',#2694,5.E-1); +#2696=CARTESIAN_POINT('',(2.5E-1,-3.2E0,-7.5E-1)); +#2697=LINE('',#2696,#2695); +#2698=DIRECTION('',(0.E0,1.E0,0.E0)); +#2699=VECTOR('',#2698,5.E-1); +#2700=CARTESIAN_POINT('',(2.5E-1,-3.7E0,-1.25E0)); +#2701=LINE('',#2700,#2699); +#2702=CARTESIAN_POINT('',(2.5E-1,-3.7E0,-1.45E0)); +#2703=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2704=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2705=AXIS2_PLACEMENT_3D('',#2702,#2703,#2704); +#2707=DIRECTION('',(0.E0,0.E0,1.E0)); +#2708=VECTOR('',#2707,6.E-1); +#2709=CARTESIAN_POINT('',(2.5E-1,-3.9E0,-2.05E0)); +#2710=LINE('',#2709,#2708); +#2711=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2712=VECTOR('',#2711,2.E-1); +#2713=CARTESIAN_POINT('',(1.E-1,2.9E0,-9.E-1)); +#2714=LINE('',#2713,#2712); +#2715=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2716=VECTOR('',#2715,2.E-1); +#2717=CARTESIAN_POINT('',(-1.E-1,2.9E0,-9.E-1)); +#2718=LINE('',#2717,#2716); +#2719=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2720=VECTOR('',#2719,2.E-1); +#2721=CARTESIAN_POINT('',(1.E-1,2.9E0,-1.1E0)); +#2722=LINE('',#2721,#2720); +#2723=DIRECTION('',(0.E0,0.E0,1.E0)); +#2724=VECTOR('',#2723,2.E-1); +#2725=CARTESIAN_POINT('',(1.E-1,2.9E0,-1.1E0)); +#2726=LINE('',#2725,#2724); +#2727=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#2728=VECTOR('',#2727,6.363961030679E-1); +#2729=CARTESIAN_POINT('',(-1.E-1,2.9E0,-9.E-1)); +#2730=LINE('',#2729,#2728); +#2731=DIRECTION('',(-2.357022603955E-1,9.428090415821E-1,-2.357022603955E-1)); +#2732=VECTOR('',#2731,6.363961030679E-1); +#2733=CARTESIAN_POINT('',(2.5E-1,2.3E0,-7.5E-1)); +#2734=LINE('',#2733,#2732); +#2735=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#2736=VECTOR('',#2735,6.363961030679E-1); +#2737=CARTESIAN_POINT('',(1.E-1,2.9E0,1.1E0)); +#2738=LINE('',#2737,#2736); +#2739=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2740=VECTOR('',#2739,2.E-1); +#2741=CARTESIAN_POINT('',(1.E-1,2.9E0,1.1E0)); +#2742=LINE('',#2741,#2740); +#2743=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2744=VECTOR('',#2743,2.E-1); +#2745=CARTESIAN_POINT('',(-1.E-1,2.9E0,1.1E0)); +#2746=LINE('',#2745,#2744); +#2747=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2748=VECTOR('',#2747,2.E-1); +#2749=CARTESIAN_POINT('',(1.E-1,2.9E0,9.E-1)); +#2750=LINE('',#2749,#2748); +#2751=DIRECTION('',(0.E0,0.E0,1.E0)); +#2752=VECTOR('',#2751,2.E-1); +#2753=CARTESIAN_POINT('',(1.E-1,2.9E0,9.E-1)); +#2754=LINE('',#2753,#2752); +#2755=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#2756=VECTOR('',#2755,6.363961030679E-1); +#2757=CARTESIAN_POINT('',(-1.E-1,2.9E0,1.1E0)); +#2758=LINE('',#2757,#2756); +#2759=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2760=VECTOR('',#2759,5.E-1); +#2761=CARTESIAN_POINT('',(2.5E-1,2.3E0,1.25E0)); +#2762=LINE('',#2761,#2760); +#2763=DIRECTION('',(1.E0,0.E0,0.E0)); +#2764=VECTOR('',#2763,5.E-1); +#2765=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,1.25E0)); +#2766=LINE('',#2765,#2764); +#2767=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2768=VECTOR('',#2767,5.E-1); +#2769=CARTESIAN_POINT('',(2.5E-1,-3.9E0,2.05E0)); +#2770=LINE('',#2769,#2768); +#2771=DIRECTION('',(1.E0,0.E0,0.E0)); +#2772=VECTOR('',#2771,5.E-1); +#2773=CARTESIAN_POINT('',(-2.5E-1,-3.9E0,1.45E0)); +#2774=LINE('',#2773,#2772); +#2775=DIRECTION('',(2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#2776=VECTOR('',#2775,6.363961030679E-1); +#2777=CARTESIAN_POINT('',(1.E-1,-4.05E0,2.65E0)); +#2778=LINE('',#2777,#2776); +#2779=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2780=VECTOR('',#2779,2.E-1); +#2781=CARTESIAN_POINT('',(1.E-1,-4.25E0,2.65E0)); +#2782=LINE('',#2781,#2780); +#2783=DIRECTION('',(0.E0,1.E0,0.E0)); +#2784=VECTOR('',#2783,2.E-1); +#2785=CARTESIAN_POINT('',(-1.E-1,-4.25E0,2.65E0)); +#2786=LINE('',#2785,#2784); +#2787=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2788=VECTOR('',#2787,2.E-1); +#2789=CARTESIAN_POINT('',(1.E-1,-4.05E0,2.65E0)); +#2790=LINE('',#2789,#2788); +#2791=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2792=VECTOR('',#2791,2.E-1); +#2793=CARTESIAN_POINT('',(1.E-1,-4.05E0,2.65E0)); +#2794=LINE('',#2793,#2792); +#2795=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#2796=VECTOR('',#2795,6.363961030679E-1); +#2797=CARTESIAN_POINT('',(-1.E-1,-4.25E0,2.65E0)); +#2798=LINE('',#2797,#2796); +#2799=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#2800=VECTOR('',#2799,6.363961030679E-1); +#2801=CARTESIAN_POINT('',(2.5E-1,-4.4E0,2.05E0)); +#2802=LINE('',#2801,#2800); +#2803=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2804=VECTOR('',#2803,5.E-1); +#2805=CARTESIAN_POINT('',(2.5E-1,-4.4E0,2.05E0)); +#2806=LINE('',#2805,#2804); +#2807=DIRECTION('',(1.E0,0.E0,0.E0)); +#2808=VECTOR('',#2807,5.E-1); +#2809=CARTESIAN_POINT('',(-2.5E-1,-4.4E0,1.45E0)); +#2810=LINE('',#2809,#2808); +#2811=DIRECTION('',(1.E0,0.E0,0.E0)); +#2812=VECTOR('',#2811,5.E-1); +#2813=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,7.5E-1)); +#2814=LINE('',#2813,#2812); +#2815=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2816=VECTOR('',#2815,5.E-1); +#2817=CARTESIAN_POINT('',(2.5E-1,2.3E0,7.5E-1)); +#2818=LINE('',#2817,#2816); +#2819=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#2820=VECTOR('',#2819,6.363961030679E-1); +#2821=CARTESIAN_POINT('',(1.E-1,2.9E0,9.E-1)); +#2822=LINE('',#2821,#2820); +#2823=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#2824=VECTOR('',#2823,6.363961030679E-1); +#2825=CARTESIAN_POINT('',(-1.E-1,2.9E0,9.E-1)); +#2826=LINE('',#2825,#2824); +#2827=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#2828=VECTOR('',#2827,6.363961030679E-1); +#2829=CARTESIAN_POINT('',(-1.E-1,-4.05E0,2.65E0)); +#2830=LINE('',#2829,#2828); +#2831=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2832=VECTOR('',#2831,2.E-1); +#2833=CARTESIAN_POINT('',(1.E-1,-4.05E0,-2.65E0)); +#2834=LINE('',#2833,#2832); +#2835=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2836=VECTOR('',#2835,2.E-1); +#2837=CARTESIAN_POINT('',(-1.E-1,-4.05E0,-2.65E0)); +#2838=LINE('',#2837,#2836); +#2839=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2840=VECTOR('',#2839,2.E-1); +#2841=CARTESIAN_POINT('',(1.E-1,-4.25E0,-2.65E0)); +#2842=LINE('',#2841,#2840); +#2843=DIRECTION('',(0.E0,1.E0,0.E0)); +#2844=VECTOR('',#2843,2.E-1); +#2845=CARTESIAN_POINT('',(1.E-1,-4.25E0,-2.65E0)); +#2846=LINE('',#2845,#2844); +#2847=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2848=VECTOR('',#2847,5.E-1); +#2849=CARTESIAN_POINT('',(1.75E0,2.3E0,-7.5E-1)); +#2850=LINE('',#2849,#2848); +#2851=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2852=VECTOR('',#2851,3.E0); +#2853=CARTESIAN_POINT('',(1.75E0,2.3E0,-7.5E-1)); +#2854=LINE('',#2853,#2852); +#2855=DIRECTION('',(0.E0,1.E0,0.E0)); +#2856=VECTOR('',#2855,3.E0); +#2857=CARTESIAN_POINT('',(1.75E0,-7.E-1,-1.25E0)); +#2858=LINE('',#2857,#2856); +#2859=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2860=VECTOR('',#2859,5.E-1); +#2861=CARTESIAN_POINT('',(1.75E0,2.3E0,1.25E0)); +#2862=LINE('',#2861,#2860); +#2863=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2864=VECTOR('',#2863,3.E0); +#2865=CARTESIAN_POINT('',(1.75E0,2.3E0,1.25E0)); +#2866=LINE('',#2865,#2864); +#2867=DIRECTION('',(0.E0,1.E0,0.E0)); +#2868=VECTOR('',#2867,3.E0); +#2869=CARTESIAN_POINT('',(1.75E0,-7.E-1,7.5E-1)); +#2870=LINE('',#2869,#2868); +#2871=DIRECTION('',(0.E0,1.E0,0.E0)); +#2872=VECTOR('',#2871,5.E-1); +#2873=CARTESIAN_POINT('',(1.75E0,-4.4E0,2.05E0)); +#2874=LINE('',#2873,#2872); +#2875=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2876=VECTOR('',#2875,6.E-1); +#2877=CARTESIAN_POINT('',(1.75E0,-4.4E0,2.05E0)); +#2878=LINE('',#2877,#2876); +#2879=CARTESIAN_POINT('',(1.75E0,-3.7E0,1.45E0)); +#2880=DIRECTION('',(1.E0,0.E0,0.E0)); +#2881=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#2882=AXIS2_PLACEMENT_3D('',#2879,#2880,#2881); +#2884=DIRECTION('',(0.E0,1.E0,0.E0)); +#2885=VECTOR('',#2884,5.E-1); +#2886=CARTESIAN_POINT('',(1.75E0,-3.7E0,7.5E-1)); +#2887=LINE('',#2886,#2885); +#2888=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2889=VECTOR('',#2888,5.E-1); +#2890=CARTESIAN_POINT('',(1.75E0,-3.2E0,1.25E0)); +#2891=LINE('',#2890,#2889); +#2892=CARTESIAN_POINT('',(1.75E0,-3.7E0,1.45E0)); +#2893=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2894=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#2895=AXIS2_PLACEMENT_3D('',#2892,#2893,#2894); +#2897=DIRECTION('',(0.E0,0.E0,1.E0)); +#2898=VECTOR('',#2897,6.E-1); +#2899=CARTESIAN_POINT('',(1.75E0,-3.9E0,1.45E0)); +#2900=LINE('',#2899,#2898); +#2901=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2902=VECTOR('',#2901,5.E-1); +#2903=CARTESIAN_POINT('',(1.75E0,-3.9E0,-2.05E0)); +#2904=LINE('',#2903,#2902); +#2905=DIRECTION('',(0.E0,0.E0,1.E0)); +#2906=VECTOR('',#2905,6.E-1); +#2907=CARTESIAN_POINT('',(1.75E0,-3.9E0,-2.05E0)); +#2908=LINE('',#2907,#2906); +#2909=CARTESIAN_POINT('',(1.75E0,-3.7E0,-1.45E0)); +#2910=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2911=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2912=AXIS2_PLACEMENT_3D('',#2909,#2910,#2911); +#2914=DIRECTION('',(0.E0,1.E0,0.E0)); +#2915=VECTOR('',#2914,5.E-1); +#2916=CARTESIAN_POINT('',(1.75E0,-3.7E0,-1.25E0)); +#2917=LINE('',#2916,#2915); +#2918=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2919=VECTOR('',#2918,5.E-1); +#2920=CARTESIAN_POINT('',(1.75E0,-3.2E0,-7.5E-1)); +#2921=LINE('',#2920,#2919); +#2922=CARTESIAN_POINT('',(1.75E0,-3.7E0,-1.45E0)); +#2923=DIRECTION('',(1.E0,0.E0,0.E0)); +#2924=DIRECTION('',(0.E0,0.E0,1.E0)); +#2925=AXIS2_PLACEMENT_3D('',#2922,#2923,#2924); +#2927=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2928=VECTOR('',#2927,6.E-1); +#2929=CARTESIAN_POINT('',(1.75E0,-4.4E0,-1.45E0)); +#2930=LINE('',#2929,#2928); +#2931=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#2932=VECTOR('',#2931,6.363961030679E-1); +#2933=CARTESIAN_POINT('',(1.9E0,2.9E0,-1.1E0)); +#2934=LINE('',#2933,#2932); +#2935=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#2936=VECTOR('',#2935,6.363961030679E-1); +#2937=CARTESIAN_POINT('',(2.1E0,2.9E0,-1.1E0)); +#2938=LINE('',#2937,#2936); +#2939=DIRECTION('',(1.E0,0.E0,0.E0)); +#2940=VECTOR('',#2939,5.E-1); +#2941=CARTESIAN_POINT('',(1.75E0,-3.7E0,-1.25E0)); +#2942=LINE('',#2941,#2940); +#2943=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2944=VECTOR('',#2943,5.E-1); +#2945=CARTESIAN_POINT('',(2.25E0,2.3E0,-1.25E0)); +#2946=LINE('',#2945,#2944); +#2947=DIRECTION('',(1.E0,0.E0,0.E0)); +#2948=VECTOR('',#2947,5.E-1); +#2949=CARTESIAN_POINT('',(1.75E0,-3.9E0,-1.45E0)); +#2950=LINE('',#2949,#2948); +#2951=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2952=VECTOR('',#2951,5.E-1); +#2953=CARTESIAN_POINT('',(2.25E0,-3.9E0,-2.05E0)); +#2954=LINE('',#2953,#2952); +#2955=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#2956=VECTOR('',#2955,6.363961030679E-1); +#2957=CARTESIAN_POINT('',(1.9E0,-4.05E0,-2.65E0)); +#2958=LINE('',#2957,#2956); +#2959=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#2960=VECTOR('',#2959,6.363961030679E-1); +#2961=CARTESIAN_POINT('',(2.25E0,-3.9E0,-2.05E0)); +#2962=LINE('',#2961,#2960); +#2963=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#2964=VECTOR('',#2963,6.363961030679E-1); +#2965=CARTESIAN_POINT('',(1.9E0,-4.25E0,-2.65E0)); +#2966=LINE('',#2965,#2964); +#2967=DIRECTION('',(2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#2968=VECTOR('',#2967,6.363961030679E-1); +#2969=CARTESIAN_POINT('',(2.1E0,-4.25E0,-2.65E0)); +#2970=LINE('',#2969,#2968); +#2971=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2972=VECTOR('',#2971,5.E-1); +#2973=CARTESIAN_POINT('',(2.25E0,-4.4E0,-2.05E0)); +#2974=LINE('',#2973,#2972); +#2975=DIRECTION('',(1.E0,0.E0,0.E0)); +#2976=VECTOR('',#2975,5.E-1); +#2977=CARTESIAN_POINT('',(1.75E0,-4.4E0,-1.45E0)); +#2978=LINE('',#2977,#2976); +#2979=DIRECTION('',(1.E0,0.E0,0.E0)); +#2980=VECTOR('',#2979,5.E-1); +#2981=CARTESIAN_POINT('',(1.75E0,-3.7E0,-7.5E-1)); +#2982=LINE('',#2981,#2980); +#2983=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2984=VECTOR('',#2983,5.E-1); +#2985=CARTESIAN_POINT('',(2.25E0,2.3E0,-7.5E-1)); +#2986=LINE('',#2985,#2984); +#2987=DIRECTION('',(0.E0,0.E0,1.E0)); +#2988=VECTOR('',#2987,5.E-1); +#2989=CARTESIAN_POINT('',(2.25E0,2.3E0,-1.25E0)); +#2990=LINE('',#2989,#2988); +#2991=DIRECTION('',(0.E0,1.E0,0.E0)); +#2992=VECTOR('',#2991,3.E0); +#2993=CARTESIAN_POINT('',(2.25E0,-7.E-1,-1.25E0)); +#2994=LINE('',#2993,#2992); +#2995=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2996=VECTOR('',#2995,3.E0); +#2997=CARTESIAN_POINT('',(2.25E0,2.3E0,-7.5E-1)); +#2998=LINE('',#2997,#2996); +#2999=DIRECTION('',(0.E0,0.E0,1.E0)); +#3000=VECTOR('',#2999,5.E-1); +#3001=CARTESIAN_POINT('',(2.25E0,2.3E0,7.5E-1)); +#3002=LINE('',#3001,#3000); +#3003=DIRECTION('',(0.E0,1.E0,0.E0)); +#3004=VECTOR('',#3003,3.E0); +#3005=CARTESIAN_POINT('',(2.25E0,-7.E-1,7.5E-1)); +#3006=LINE('',#3005,#3004); +#3007=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3008=VECTOR('',#3007,3.E0); +#3009=CARTESIAN_POINT('',(2.25E0,2.3E0,1.25E0)); +#3010=LINE('',#3009,#3008); +#3011=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3012=VECTOR('',#3011,5.E-1); +#3013=CARTESIAN_POINT('',(2.25E0,-3.9E0,2.05E0)); +#3014=LINE('',#3013,#3012); +#3015=DIRECTION('',(0.E0,0.E0,1.E0)); +#3016=VECTOR('',#3015,6.E-1); +#3017=CARTESIAN_POINT('',(2.25E0,-3.9E0,1.45E0)); +#3018=LINE('',#3017,#3016); +#3019=CARTESIAN_POINT('',(2.25E0,-3.7E0,1.45E0)); +#3020=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3021=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#3022=AXIS2_PLACEMENT_3D('',#3019,#3020,#3021); +#3024=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3025=VECTOR('',#3024,5.E-1); +#3026=CARTESIAN_POINT('',(2.25E0,-3.2E0,1.25E0)); +#3027=LINE('',#3026,#3025); +#3028=DIRECTION('',(0.E0,1.E0,0.E0)); +#3029=VECTOR('',#3028,5.E-1); +#3030=CARTESIAN_POINT('',(2.25E0,-3.7E0,7.5E-1)); +#3031=LINE('',#3030,#3029); +#3032=CARTESIAN_POINT('',(2.25E0,-3.7E0,1.45E0)); +#3033=DIRECTION('',(1.E0,0.E0,0.E0)); +#3034=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#3035=AXIS2_PLACEMENT_3D('',#3032,#3033,#3034); +#3037=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3038=VECTOR('',#3037,6.E-1); +#3039=CARTESIAN_POINT('',(2.25E0,-4.4E0,2.05E0)); +#3040=LINE('',#3039,#3038); +#3041=DIRECTION('',(0.E0,1.E0,0.E0)); +#3042=VECTOR('',#3041,5.E-1); +#3043=CARTESIAN_POINT('',(2.25E0,-4.4E0,-2.05E0)); +#3044=LINE('',#3043,#3042); +#3045=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3046=VECTOR('',#3045,6.E-1); +#3047=CARTESIAN_POINT('',(2.25E0,-4.4E0,-1.45E0)); +#3048=LINE('',#3047,#3046); +#3049=CARTESIAN_POINT('',(2.25E0,-3.7E0,-1.45E0)); +#3050=DIRECTION('',(1.E0,0.E0,0.E0)); +#3051=DIRECTION('',(0.E0,0.E0,1.E0)); +#3052=AXIS2_PLACEMENT_3D('',#3049,#3050,#3051); +#3054=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3055=VECTOR('',#3054,5.E-1); +#3056=CARTESIAN_POINT('',(2.25E0,-3.2E0,-7.5E-1)); +#3057=LINE('',#3056,#3055); +#3058=DIRECTION('',(0.E0,1.E0,0.E0)); +#3059=VECTOR('',#3058,5.E-1); +#3060=CARTESIAN_POINT('',(2.25E0,-3.7E0,-1.25E0)); +#3061=LINE('',#3060,#3059); +#3062=CARTESIAN_POINT('',(2.25E0,-3.7E0,-1.45E0)); +#3063=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3064=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3065=AXIS2_PLACEMENT_3D('',#3062,#3063,#3064); +#3067=DIRECTION('',(0.E0,0.E0,1.E0)); +#3068=VECTOR('',#3067,6.E-1); +#3069=CARTESIAN_POINT('',(2.25E0,-3.9E0,-2.05E0)); +#3070=LINE('',#3069,#3068); +#3071=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3072=VECTOR('',#3071,2.E-1); +#3073=CARTESIAN_POINT('',(2.1E0,2.9E0,-9.E-1)); +#3074=LINE('',#3073,#3072); +#3075=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3076=VECTOR('',#3075,2.E-1); +#3077=CARTESIAN_POINT('',(1.9E0,2.9E0,-9.E-1)); +#3078=LINE('',#3077,#3076); +#3079=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3080=VECTOR('',#3079,2.E-1); +#3081=CARTESIAN_POINT('',(2.1E0,2.9E0,-1.1E0)); +#3082=LINE('',#3081,#3080); +#3083=DIRECTION('',(0.E0,0.E0,1.E0)); +#3084=VECTOR('',#3083,2.E-1); +#3085=CARTESIAN_POINT('',(2.1E0,2.9E0,-1.1E0)); +#3086=LINE('',#3085,#3084); +#3087=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#3088=VECTOR('',#3087,6.363961030679E-1); +#3089=CARTESIAN_POINT('',(1.9E0,2.9E0,-9.E-1)); +#3090=LINE('',#3089,#3088); +#3091=DIRECTION('',(-2.357022603955E-1,9.428090415821E-1,-2.357022603955E-1)); +#3092=VECTOR('',#3091,6.363961030679E-1); +#3093=CARTESIAN_POINT('',(2.25E0,2.3E0,-7.5E-1)); +#3094=LINE('',#3093,#3092); +#3095=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#3096=VECTOR('',#3095,6.363961030679E-1); +#3097=CARTESIAN_POINT('',(2.1E0,2.9E0,1.1E0)); +#3098=LINE('',#3097,#3096); +#3099=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3100=VECTOR('',#3099,2.E-1); +#3101=CARTESIAN_POINT('',(2.1E0,2.9E0,1.1E0)); +#3102=LINE('',#3101,#3100); +#3103=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3104=VECTOR('',#3103,2.E-1); +#3105=CARTESIAN_POINT('',(1.9E0,2.9E0,1.1E0)); +#3106=LINE('',#3105,#3104); +#3107=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3108=VECTOR('',#3107,2.E-1); +#3109=CARTESIAN_POINT('',(2.1E0,2.9E0,9.E-1)); +#3110=LINE('',#3109,#3108); +#3111=DIRECTION('',(0.E0,0.E0,1.E0)); +#3112=VECTOR('',#3111,2.E-1); +#3113=CARTESIAN_POINT('',(2.1E0,2.9E0,9.E-1)); +#3114=LINE('',#3113,#3112); +#3115=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#3116=VECTOR('',#3115,6.363961030679E-1); +#3117=CARTESIAN_POINT('',(1.9E0,2.9E0,1.1E0)); +#3118=LINE('',#3117,#3116); +#3119=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3120=VECTOR('',#3119,5.E-1); +#3121=CARTESIAN_POINT('',(2.25E0,2.3E0,1.25E0)); +#3122=LINE('',#3121,#3120); +#3123=DIRECTION('',(1.E0,0.E0,0.E0)); +#3124=VECTOR('',#3123,5.E-1); +#3125=CARTESIAN_POINT('',(1.75E0,-3.7E0,1.25E0)); +#3126=LINE('',#3125,#3124); +#3127=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3128=VECTOR('',#3127,5.E-1); +#3129=CARTESIAN_POINT('',(2.25E0,-3.9E0,2.05E0)); +#3130=LINE('',#3129,#3128); +#3131=DIRECTION('',(1.E0,0.E0,0.E0)); +#3132=VECTOR('',#3131,5.E-1); +#3133=CARTESIAN_POINT('',(1.75E0,-3.9E0,1.45E0)); +#3134=LINE('',#3133,#3132); +#3135=DIRECTION('',(2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#3136=VECTOR('',#3135,6.363961030679E-1); +#3137=CARTESIAN_POINT('',(2.1E0,-4.05E0,2.65E0)); +#3138=LINE('',#3137,#3136); +#3139=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3140=VECTOR('',#3139,2.E-1); +#3141=CARTESIAN_POINT('',(2.1E0,-4.25E0,2.65E0)); +#3142=LINE('',#3141,#3140); +#3143=DIRECTION('',(0.E0,1.E0,0.E0)); +#3144=VECTOR('',#3143,2.E-1); +#3145=CARTESIAN_POINT('',(1.9E0,-4.25E0,2.65E0)); +#3146=LINE('',#3145,#3144); +#3147=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3148=VECTOR('',#3147,2.E-1); +#3149=CARTESIAN_POINT('',(2.1E0,-4.05E0,2.65E0)); +#3150=LINE('',#3149,#3148); +#3151=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3152=VECTOR('',#3151,2.E-1); +#3153=CARTESIAN_POINT('',(2.1E0,-4.05E0,2.65E0)); +#3154=LINE('',#3153,#3152); +#3155=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#3156=VECTOR('',#3155,6.363961030679E-1); +#3157=CARTESIAN_POINT('',(1.9E0,-4.25E0,2.65E0)); +#3158=LINE('',#3157,#3156); +#3159=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#3160=VECTOR('',#3159,6.363961030679E-1); +#3161=CARTESIAN_POINT('',(2.25E0,-4.4E0,2.05E0)); +#3162=LINE('',#3161,#3160); +#3163=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3164=VECTOR('',#3163,5.E-1); +#3165=CARTESIAN_POINT('',(2.25E0,-4.4E0,2.05E0)); +#3166=LINE('',#3165,#3164); +#3167=DIRECTION('',(1.E0,0.E0,0.E0)); +#3168=VECTOR('',#3167,5.E-1); +#3169=CARTESIAN_POINT('',(1.75E0,-4.4E0,1.45E0)); +#3170=LINE('',#3169,#3168); +#3171=DIRECTION('',(1.E0,0.E0,0.E0)); +#3172=VECTOR('',#3171,5.E-1); +#3173=CARTESIAN_POINT('',(1.75E0,-3.7E0,7.5E-1)); +#3174=LINE('',#3173,#3172); +#3175=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3176=VECTOR('',#3175,5.E-1); +#3177=CARTESIAN_POINT('',(2.25E0,2.3E0,7.5E-1)); +#3178=LINE('',#3177,#3176); +#3179=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#3180=VECTOR('',#3179,6.363961030679E-1); +#3181=CARTESIAN_POINT('',(2.1E0,2.9E0,9.E-1)); +#3182=LINE('',#3181,#3180); +#3183=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#3184=VECTOR('',#3183,6.363961030679E-1); +#3185=CARTESIAN_POINT('',(1.9E0,2.9E0,9.E-1)); +#3186=LINE('',#3185,#3184); +#3187=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#3188=VECTOR('',#3187,6.363961030679E-1); +#3189=CARTESIAN_POINT('',(1.9E0,-4.05E0,2.65E0)); +#3190=LINE('',#3189,#3188); +#3191=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3192=VECTOR('',#3191,2.E-1); +#3193=CARTESIAN_POINT('',(2.1E0,-4.05E0,-2.65E0)); +#3194=LINE('',#3193,#3192); +#3195=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3196=VECTOR('',#3195,2.E-1); +#3197=CARTESIAN_POINT('',(1.9E0,-4.05E0,-2.65E0)); +#3198=LINE('',#3197,#3196); +#3199=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3200=VECTOR('',#3199,2.E-1); +#3201=CARTESIAN_POINT('',(2.1E0,-4.25E0,-2.65E0)); +#3202=LINE('',#3201,#3200); +#3203=DIRECTION('',(0.E0,1.E0,0.E0)); +#3204=VECTOR('',#3203,2.E-1); +#3205=CARTESIAN_POINT('',(2.1E0,-4.25E0,-2.65E0)); +#3206=LINE('',#3205,#3204); +#3207=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3208=VECTOR('',#3207,5.E-1); +#3209=CARTESIAN_POINT('',(3.75E0,2.3E0,-7.5E-1)); +#3210=LINE('',#3209,#3208); +#3211=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3212=VECTOR('',#3211,3.E0); +#3213=CARTESIAN_POINT('',(3.75E0,2.3E0,-7.5E-1)); +#3214=LINE('',#3213,#3212); +#3215=DIRECTION('',(0.E0,1.E0,0.E0)); +#3216=VECTOR('',#3215,3.E0); +#3217=CARTESIAN_POINT('',(3.75E0,-7.E-1,-1.25E0)); +#3218=LINE('',#3217,#3216); +#3219=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3220=VECTOR('',#3219,5.E-1); +#3221=CARTESIAN_POINT('',(3.75E0,2.3E0,1.25E0)); +#3222=LINE('',#3221,#3220); +#3223=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3224=VECTOR('',#3223,3.E0); +#3225=CARTESIAN_POINT('',(3.75E0,2.3E0,1.25E0)); +#3226=LINE('',#3225,#3224); +#3227=DIRECTION('',(0.E0,1.E0,0.E0)); +#3228=VECTOR('',#3227,3.E0); +#3229=CARTESIAN_POINT('',(3.75E0,-7.E-1,7.5E-1)); +#3230=LINE('',#3229,#3228); +#3231=DIRECTION('',(0.E0,1.E0,0.E0)); +#3232=VECTOR('',#3231,5.E-1); +#3233=CARTESIAN_POINT('',(3.75E0,-4.4E0,2.05E0)); +#3234=LINE('',#3233,#3232); +#3235=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3236=VECTOR('',#3235,6.E-1); +#3237=CARTESIAN_POINT('',(3.75E0,-4.4E0,2.05E0)); +#3238=LINE('',#3237,#3236); +#3239=CARTESIAN_POINT('',(3.75E0,-3.7E0,1.45E0)); +#3240=DIRECTION('',(1.E0,0.E0,0.E0)); +#3241=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#3242=AXIS2_PLACEMENT_3D('',#3239,#3240,#3241); +#3244=DIRECTION('',(0.E0,1.E0,0.E0)); +#3245=VECTOR('',#3244,5.E-1); +#3246=CARTESIAN_POINT('',(3.75E0,-3.7E0,7.5E-1)); +#3247=LINE('',#3246,#3245); +#3248=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3249=VECTOR('',#3248,5.E-1); +#3250=CARTESIAN_POINT('',(3.75E0,-3.2E0,1.25E0)); +#3251=LINE('',#3250,#3249); +#3252=CARTESIAN_POINT('',(3.75E0,-3.7E0,1.45E0)); +#3253=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3254=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#3255=AXIS2_PLACEMENT_3D('',#3252,#3253,#3254); +#3257=DIRECTION('',(0.E0,0.E0,1.E0)); +#3258=VECTOR('',#3257,6.E-1); +#3259=CARTESIAN_POINT('',(3.75E0,-3.9E0,1.45E0)); +#3260=LINE('',#3259,#3258); +#3261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3262=VECTOR('',#3261,5.E-1); +#3263=CARTESIAN_POINT('',(3.75E0,-3.9E0,-2.05E0)); +#3264=LINE('',#3263,#3262); +#3265=DIRECTION('',(0.E0,0.E0,1.E0)); +#3266=VECTOR('',#3265,6.E-1); +#3267=CARTESIAN_POINT('',(3.75E0,-3.9E0,-2.05E0)); +#3268=LINE('',#3267,#3266); +#3269=CARTESIAN_POINT('',(3.75E0,-3.7E0,-1.45E0)); +#3270=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3271=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3272=AXIS2_PLACEMENT_3D('',#3269,#3270,#3271); +#3274=DIRECTION('',(0.E0,1.E0,0.E0)); +#3275=VECTOR('',#3274,5.E-1); +#3276=CARTESIAN_POINT('',(3.75E0,-3.7E0,-1.25E0)); +#3277=LINE('',#3276,#3275); +#3278=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3279=VECTOR('',#3278,5.E-1); +#3280=CARTESIAN_POINT('',(3.75E0,-3.2E0,-7.5E-1)); +#3281=LINE('',#3280,#3279); +#3282=CARTESIAN_POINT('',(3.75E0,-3.7E0,-1.45E0)); +#3283=DIRECTION('',(1.E0,0.E0,0.E0)); +#3284=DIRECTION('',(0.E0,0.E0,1.E0)); +#3285=AXIS2_PLACEMENT_3D('',#3282,#3283,#3284); +#3287=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3288=VECTOR('',#3287,6.E-1); +#3289=CARTESIAN_POINT('',(3.75E0,-4.4E0,-1.45E0)); +#3290=LINE('',#3289,#3288); +#3291=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#3292=VECTOR('',#3291,6.363961030679E-1); +#3293=CARTESIAN_POINT('',(3.9E0,2.9E0,-1.1E0)); +#3294=LINE('',#3293,#3292); +#3295=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#3296=VECTOR('',#3295,6.363961030679E-1); +#3297=CARTESIAN_POINT('',(4.1E0,2.9E0,-1.1E0)); +#3298=LINE('',#3297,#3296); +#3299=DIRECTION('',(1.E0,0.E0,0.E0)); +#3300=VECTOR('',#3299,5.E-1); +#3301=CARTESIAN_POINT('',(3.75E0,-3.7E0,-1.25E0)); +#3302=LINE('',#3301,#3300); +#3303=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3304=VECTOR('',#3303,5.E-1); +#3305=CARTESIAN_POINT('',(4.25E0,2.3E0,-1.25E0)); +#3306=LINE('',#3305,#3304); +#3307=DIRECTION('',(1.E0,0.E0,0.E0)); +#3308=VECTOR('',#3307,5.E-1); +#3309=CARTESIAN_POINT('',(3.75E0,-3.9E0,-1.45E0)); +#3310=LINE('',#3309,#3308); +#3311=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3312=VECTOR('',#3311,5.E-1); +#3313=CARTESIAN_POINT('',(4.25E0,-3.9E0,-2.05E0)); +#3314=LINE('',#3313,#3312); +#3315=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#3316=VECTOR('',#3315,6.363961030679E-1); +#3317=CARTESIAN_POINT('',(3.9E0,-4.05E0,-2.65E0)); +#3318=LINE('',#3317,#3316); +#3319=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#3320=VECTOR('',#3319,6.363961030679E-1); +#3321=CARTESIAN_POINT('',(4.25E0,-3.9E0,-2.05E0)); +#3322=LINE('',#3321,#3320); +#3323=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#3324=VECTOR('',#3323,6.363961030679E-1); +#3325=CARTESIAN_POINT('',(3.9E0,-4.25E0,-2.65E0)); +#3326=LINE('',#3325,#3324); +#3327=DIRECTION('',(2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#3328=VECTOR('',#3327,6.363961030679E-1); +#3329=CARTESIAN_POINT('',(4.1E0,-4.25E0,-2.65E0)); +#3330=LINE('',#3329,#3328); +#3331=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3332=VECTOR('',#3331,5.E-1); +#3333=CARTESIAN_POINT('',(4.25E0,-4.4E0,-2.05E0)); +#3334=LINE('',#3333,#3332); +#3335=DIRECTION('',(1.E0,0.E0,0.E0)); +#3336=VECTOR('',#3335,5.E-1); +#3337=CARTESIAN_POINT('',(3.75E0,-4.4E0,-1.45E0)); +#3338=LINE('',#3337,#3336); +#3339=DIRECTION('',(1.E0,0.E0,0.E0)); +#3340=VECTOR('',#3339,5.E-1); +#3341=CARTESIAN_POINT('',(3.75E0,-3.7E0,-7.5E-1)); +#3342=LINE('',#3341,#3340); +#3343=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3344=VECTOR('',#3343,5.E-1); +#3345=CARTESIAN_POINT('',(4.25E0,2.3E0,-7.5E-1)); +#3346=LINE('',#3345,#3344); +#3347=DIRECTION('',(0.E0,0.E0,1.E0)); +#3348=VECTOR('',#3347,5.E-1); +#3349=CARTESIAN_POINT('',(4.25E0,2.3E0,-1.25E0)); +#3350=LINE('',#3349,#3348); +#3351=DIRECTION('',(0.E0,1.E0,0.E0)); +#3352=VECTOR('',#3351,3.E0); +#3353=CARTESIAN_POINT('',(4.25E0,-7.E-1,-1.25E0)); +#3354=LINE('',#3353,#3352); +#3355=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3356=VECTOR('',#3355,3.E0); +#3357=CARTESIAN_POINT('',(4.25E0,2.3E0,-7.5E-1)); +#3358=LINE('',#3357,#3356); +#3359=DIRECTION('',(0.E0,0.E0,1.E0)); +#3360=VECTOR('',#3359,5.E-1); +#3361=CARTESIAN_POINT('',(4.25E0,2.3E0,7.5E-1)); +#3362=LINE('',#3361,#3360); +#3363=DIRECTION('',(0.E0,1.E0,0.E0)); +#3364=VECTOR('',#3363,3.E0); +#3365=CARTESIAN_POINT('',(4.25E0,-7.E-1,7.5E-1)); +#3366=LINE('',#3365,#3364); +#3367=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3368=VECTOR('',#3367,3.E0); +#3369=CARTESIAN_POINT('',(4.25E0,2.3E0,1.25E0)); +#3370=LINE('',#3369,#3368); +#3371=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3372=VECTOR('',#3371,5.E-1); +#3373=CARTESIAN_POINT('',(4.25E0,-3.9E0,2.05E0)); +#3374=LINE('',#3373,#3372); +#3375=DIRECTION('',(0.E0,0.E0,1.E0)); +#3376=VECTOR('',#3375,6.E-1); +#3377=CARTESIAN_POINT('',(4.25E0,-3.9E0,1.45E0)); +#3378=LINE('',#3377,#3376); +#3379=CARTESIAN_POINT('',(4.25E0,-3.7E0,1.45E0)); +#3380=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3381=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#3382=AXIS2_PLACEMENT_3D('',#3379,#3380,#3381); +#3384=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3385=VECTOR('',#3384,5.E-1); +#3386=CARTESIAN_POINT('',(4.25E0,-3.2E0,1.25E0)); +#3387=LINE('',#3386,#3385); +#3388=DIRECTION('',(0.E0,1.E0,0.E0)); +#3389=VECTOR('',#3388,5.E-1); +#3390=CARTESIAN_POINT('',(4.25E0,-3.7E0,7.5E-1)); +#3391=LINE('',#3390,#3389); +#3392=CARTESIAN_POINT('',(4.25E0,-3.7E0,1.45E0)); +#3393=DIRECTION('',(1.E0,0.E0,0.E0)); +#3394=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#3395=AXIS2_PLACEMENT_3D('',#3392,#3393,#3394); +#3397=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3398=VECTOR('',#3397,6.E-1); +#3399=CARTESIAN_POINT('',(4.25E0,-4.4E0,2.05E0)); +#3400=LINE('',#3399,#3398); +#3401=DIRECTION('',(0.E0,1.E0,0.E0)); +#3402=VECTOR('',#3401,5.E-1); +#3403=CARTESIAN_POINT('',(4.25E0,-4.4E0,-2.05E0)); +#3404=LINE('',#3403,#3402); +#3405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3406=VECTOR('',#3405,6.E-1); +#3407=CARTESIAN_POINT('',(4.25E0,-4.4E0,-1.45E0)); +#3408=LINE('',#3407,#3406); +#3409=CARTESIAN_POINT('',(4.25E0,-3.7E0,-1.45E0)); +#3410=DIRECTION('',(1.E0,0.E0,0.E0)); +#3411=DIRECTION('',(0.E0,0.E0,1.E0)); +#3412=AXIS2_PLACEMENT_3D('',#3409,#3410,#3411); +#3414=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3415=VECTOR('',#3414,5.E-1); +#3416=CARTESIAN_POINT('',(4.25E0,-3.2E0,-7.5E-1)); +#3417=LINE('',#3416,#3415); +#3418=DIRECTION('',(0.E0,1.E0,0.E0)); +#3419=VECTOR('',#3418,5.E-1); +#3420=CARTESIAN_POINT('',(4.25E0,-3.7E0,-1.25E0)); +#3421=LINE('',#3420,#3419); +#3422=CARTESIAN_POINT('',(4.25E0,-3.7E0,-1.45E0)); +#3423=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3424=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3425=AXIS2_PLACEMENT_3D('',#3422,#3423,#3424); +#3427=DIRECTION('',(0.E0,0.E0,1.E0)); +#3428=VECTOR('',#3427,6.E-1); +#3429=CARTESIAN_POINT('',(4.25E0,-3.9E0,-2.05E0)); +#3430=LINE('',#3429,#3428); +#3431=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3432=VECTOR('',#3431,2.E-1); +#3433=CARTESIAN_POINT('',(4.1E0,2.9E0,-9.E-1)); +#3434=LINE('',#3433,#3432); +#3435=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3436=VECTOR('',#3435,2.E-1); +#3437=CARTESIAN_POINT('',(3.9E0,2.9E0,-9.E-1)); +#3438=LINE('',#3437,#3436); +#3439=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3440=VECTOR('',#3439,2.E-1); +#3441=CARTESIAN_POINT('',(4.1E0,2.9E0,-1.1E0)); +#3442=LINE('',#3441,#3440); +#3443=DIRECTION('',(0.E0,0.E0,1.E0)); +#3444=VECTOR('',#3443,2.E-1); +#3445=CARTESIAN_POINT('',(4.1E0,2.9E0,-1.1E0)); +#3446=LINE('',#3445,#3444); +#3447=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#3448=VECTOR('',#3447,6.363961030679E-1); +#3449=CARTESIAN_POINT('',(3.9E0,2.9E0,-9.E-1)); +#3450=LINE('',#3449,#3448); +#3451=DIRECTION('',(-2.357022603955E-1,9.428090415821E-1,-2.357022603955E-1)); +#3452=VECTOR('',#3451,6.363961030679E-1); +#3453=CARTESIAN_POINT('',(4.25E0,2.3E0,-7.5E-1)); +#3454=LINE('',#3453,#3452); +#3455=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#3456=VECTOR('',#3455,6.363961030679E-1); +#3457=CARTESIAN_POINT('',(4.1E0,2.9E0,1.1E0)); +#3458=LINE('',#3457,#3456); +#3459=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3460=VECTOR('',#3459,2.E-1); +#3461=CARTESIAN_POINT('',(4.1E0,2.9E0,1.1E0)); +#3462=LINE('',#3461,#3460); +#3463=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3464=VECTOR('',#3463,2.E-1); +#3465=CARTESIAN_POINT('',(3.9E0,2.9E0,1.1E0)); +#3466=LINE('',#3465,#3464); +#3467=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3468=VECTOR('',#3467,2.E-1); +#3469=CARTESIAN_POINT('',(4.1E0,2.9E0,9.E-1)); +#3470=LINE('',#3469,#3468); +#3471=DIRECTION('',(0.E0,0.E0,1.E0)); +#3472=VECTOR('',#3471,2.E-1); +#3473=CARTESIAN_POINT('',(4.1E0,2.9E0,9.E-1)); +#3474=LINE('',#3473,#3472); +#3475=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#3476=VECTOR('',#3475,6.363961030679E-1); +#3477=CARTESIAN_POINT('',(3.9E0,2.9E0,1.1E0)); +#3478=LINE('',#3477,#3476); +#3479=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3480=VECTOR('',#3479,5.E-1); +#3481=CARTESIAN_POINT('',(4.25E0,2.3E0,1.25E0)); +#3482=LINE('',#3481,#3480); +#3483=DIRECTION('',(1.E0,0.E0,0.E0)); +#3484=VECTOR('',#3483,5.E-1); +#3485=CARTESIAN_POINT('',(3.75E0,-3.7E0,1.25E0)); +#3486=LINE('',#3485,#3484); +#3487=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3488=VECTOR('',#3487,5.E-1); +#3489=CARTESIAN_POINT('',(4.25E0,-3.9E0,2.05E0)); +#3490=LINE('',#3489,#3488); +#3491=DIRECTION('',(1.E0,0.E0,0.E0)); +#3492=VECTOR('',#3491,5.E-1); +#3493=CARTESIAN_POINT('',(3.75E0,-3.9E0,1.45E0)); +#3494=LINE('',#3493,#3492); +#3495=DIRECTION('',(2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#3496=VECTOR('',#3495,6.363961030679E-1); +#3497=CARTESIAN_POINT('',(4.1E0,-4.05E0,2.65E0)); +#3498=LINE('',#3497,#3496); +#3499=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3500=VECTOR('',#3499,2.E-1); +#3501=CARTESIAN_POINT('',(4.1E0,-4.25E0,2.65E0)); +#3502=LINE('',#3501,#3500); +#3503=DIRECTION('',(0.E0,1.E0,0.E0)); +#3504=VECTOR('',#3503,2.E-1); +#3505=CARTESIAN_POINT('',(3.9E0,-4.25E0,2.65E0)); +#3506=LINE('',#3505,#3504); +#3507=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3508=VECTOR('',#3507,2.E-1); +#3509=CARTESIAN_POINT('',(4.1E0,-4.05E0,2.65E0)); +#3510=LINE('',#3509,#3508); +#3511=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3512=VECTOR('',#3511,2.E-1); +#3513=CARTESIAN_POINT('',(4.1E0,-4.05E0,2.65E0)); +#3514=LINE('',#3513,#3512); +#3515=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#3516=VECTOR('',#3515,6.363961030679E-1); +#3517=CARTESIAN_POINT('',(3.9E0,-4.25E0,2.65E0)); +#3518=LINE('',#3517,#3516); +#3519=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#3520=VECTOR('',#3519,6.363961030679E-1); +#3521=CARTESIAN_POINT('',(4.25E0,-4.4E0,2.05E0)); +#3522=LINE('',#3521,#3520); +#3523=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3524=VECTOR('',#3523,5.E-1); +#3525=CARTESIAN_POINT('',(4.25E0,-4.4E0,2.05E0)); +#3526=LINE('',#3525,#3524); +#3527=DIRECTION('',(1.E0,0.E0,0.E0)); +#3528=VECTOR('',#3527,5.E-1); +#3529=CARTESIAN_POINT('',(3.75E0,-4.4E0,1.45E0)); +#3530=LINE('',#3529,#3528); +#3531=DIRECTION('',(1.E0,0.E0,0.E0)); +#3532=VECTOR('',#3531,5.E-1); +#3533=CARTESIAN_POINT('',(3.75E0,-3.7E0,7.5E-1)); +#3534=LINE('',#3533,#3532); +#3535=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3536=VECTOR('',#3535,5.E-1); +#3537=CARTESIAN_POINT('',(4.25E0,2.3E0,7.5E-1)); +#3538=LINE('',#3537,#3536); +#3539=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#3540=VECTOR('',#3539,6.363961030679E-1); +#3541=CARTESIAN_POINT('',(4.1E0,2.9E0,9.E-1)); +#3542=LINE('',#3541,#3540); +#3543=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#3544=VECTOR('',#3543,6.363961030679E-1); +#3545=CARTESIAN_POINT('',(3.9E0,2.9E0,9.E-1)); +#3546=LINE('',#3545,#3544); +#3547=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#3548=VECTOR('',#3547,6.363961030679E-1); +#3549=CARTESIAN_POINT('',(3.9E0,-4.05E0,2.65E0)); +#3550=LINE('',#3549,#3548); +#3551=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3552=VECTOR('',#3551,2.E-1); +#3553=CARTESIAN_POINT('',(4.1E0,-4.05E0,-2.65E0)); +#3554=LINE('',#3553,#3552); +#3555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3556=VECTOR('',#3555,2.E-1); +#3557=CARTESIAN_POINT('',(3.9E0,-4.05E0,-2.65E0)); +#3558=LINE('',#3557,#3556); +#3559=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3560=VECTOR('',#3559,2.E-1); +#3561=CARTESIAN_POINT('',(4.1E0,-4.25E0,-2.65E0)); +#3562=LINE('',#3561,#3560); +#3563=DIRECTION('',(0.E0,1.E0,0.E0)); +#3564=VECTOR('',#3563,2.E-1); +#3565=CARTESIAN_POINT('',(4.1E0,-4.25E0,-2.65E0)); +#3566=LINE('',#3565,#3564); +#3567=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3568=VECTOR('',#3567,5.E-1); +#3569=CARTESIAN_POINT('',(5.75E0,2.3E0,-7.5E-1)); +#3570=LINE('',#3569,#3568); +#3571=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3572=VECTOR('',#3571,3.E0); +#3573=CARTESIAN_POINT('',(5.75E0,2.3E0,-7.5E-1)); +#3574=LINE('',#3573,#3572); +#3575=DIRECTION('',(0.E0,1.E0,0.E0)); +#3576=VECTOR('',#3575,3.E0); +#3577=CARTESIAN_POINT('',(5.75E0,-7.E-1,-1.25E0)); +#3578=LINE('',#3577,#3576); +#3579=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3580=VECTOR('',#3579,5.E-1); +#3581=CARTESIAN_POINT('',(5.75E0,2.3E0,1.25E0)); +#3582=LINE('',#3581,#3580); +#3583=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3584=VECTOR('',#3583,3.E0); +#3585=CARTESIAN_POINT('',(5.75E0,2.3E0,1.25E0)); +#3586=LINE('',#3585,#3584); +#3587=DIRECTION('',(0.E0,1.E0,0.E0)); +#3588=VECTOR('',#3587,3.E0); +#3589=CARTESIAN_POINT('',(5.75E0,-7.E-1,7.5E-1)); +#3590=LINE('',#3589,#3588); +#3591=DIRECTION('',(0.E0,1.E0,0.E0)); +#3592=VECTOR('',#3591,5.E-1); +#3593=CARTESIAN_POINT('',(5.75E0,-4.4E0,2.05E0)); +#3594=LINE('',#3593,#3592); +#3595=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3596=VECTOR('',#3595,6.E-1); +#3597=CARTESIAN_POINT('',(5.75E0,-4.4E0,2.05E0)); +#3598=LINE('',#3597,#3596); +#3599=CARTESIAN_POINT('',(5.75E0,-3.7E0,1.45E0)); +#3600=DIRECTION('',(1.E0,0.E0,0.E0)); +#3601=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#3602=AXIS2_PLACEMENT_3D('',#3599,#3600,#3601); +#3604=DIRECTION('',(0.E0,1.E0,0.E0)); +#3605=VECTOR('',#3604,5.E-1); +#3606=CARTESIAN_POINT('',(5.75E0,-3.7E0,7.5E-1)); +#3607=LINE('',#3606,#3605); +#3608=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3609=VECTOR('',#3608,5.E-1); +#3610=CARTESIAN_POINT('',(5.75E0,-3.2E0,1.25E0)); +#3611=LINE('',#3610,#3609); +#3612=CARTESIAN_POINT('',(5.75E0,-3.7E0,1.45E0)); +#3613=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3614=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#3615=AXIS2_PLACEMENT_3D('',#3612,#3613,#3614); +#3617=DIRECTION('',(0.E0,0.E0,1.E0)); +#3618=VECTOR('',#3617,6.E-1); +#3619=CARTESIAN_POINT('',(5.75E0,-3.9E0,1.45E0)); +#3620=LINE('',#3619,#3618); +#3621=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3622=VECTOR('',#3621,5.E-1); +#3623=CARTESIAN_POINT('',(5.75E0,-3.9E0,-2.05E0)); +#3624=LINE('',#3623,#3622); +#3625=DIRECTION('',(0.E0,0.E0,1.E0)); +#3626=VECTOR('',#3625,6.E-1); +#3627=CARTESIAN_POINT('',(5.75E0,-3.9E0,-2.05E0)); +#3628=LINE('',#3627,#3626); +#3629=CARTESIAN_POINT('',(5.75E0,-3.7E0,-1.45E0)); +#3630=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3631=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3632=AXIS2_PLACEMENT_3D('',#3629,#3630,#3631); +#3634=DIRECTION('',(0.E0,1.E0,0.E0)); +#3635=VECTOR('',#3634,5.E-1); +#3636=CARTESIAN_POINT('',(5.75E0,-3.7E0,-1.25E0)); +#3637=LINE('',#3636,#3635); +#3638=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3639=VECTOR('',#3638,5.E-1); +#3640=CARTESIAN_POINT('',(5.75E0,-3.2E0,-7.5E-1)); +#3641=LINE('',#3640,#3639); +#3642=CARTESIAN_POINT('',(5.75E0,-3.7E0,-1.45E0)); +#3643=DIRECTION('',(1.E0,0.E0,0.E0)); +#3644=DIRECTION('',(0.E0,0.E0,1.E0)); +#3645=AXIS2_PLACEMENT_3D('',#3642,#3643,#3644); +#3647=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3648=VECTOR('',#3647,6.E-1); +#3649=CARTESIAN_POINT('',(5.75E0,-4.4E0,-1.45E0)); +#3650=LINE('',#3649,#3648); +#3651=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#3652=VECTOR('',#3651,6.363961030679E-1); +#3653=CARTESIAN_POINT('',(5.9E0,2.9E0,-1.1E0)); +#3654=LINE('',#3653,#3652); +#3655=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#3656=VECTOR('',#3655,6.363961030679E-1); +#3657=CARTESIAN_POINT('',(6.1E0,2.9E0,-1.1E0)); +#3658=LINE('',#3657,#3656); +#3659=DIRECTION('',(1.E0,0.E0,0.E0)); +#3660=VECTOR('',#3659,5.E-1); +#3661=CARTESIAN_POINT('',(5.75E0,-3.7E0,-1.25E0)); +#3662=LINE('',#3661,#3660); +#3663=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3664=VECTOR('',#3663,5.E-1); +#3665=CARTESIAN_POINT('',(6.25E0,2.3E0,-1.25E0)); +#3666=LINE('',#3665,#3664); +#3667=DIRECTION('',(1.E0,0.E0,0.E0)); +#3668=VECTOR('',#3667,5.E-1); +#3669=CARTESIAN_POINT('',(5.75E0,-3.9E0,-1.45E0)); +#3670=LINE('',#3669,#3668); +#3671=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3672=VECTOR('',#3671,5.E-1); +#3673=CARTESIAN_POINT('',(6.25E0,-3.9E0,-2.05E0)); +#3674=LINE('',#3673,#3672); +#3675=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#3676=VECTOR('',#3675,6.363961030679E-1); +#3677=CARTESIAN_POINT('',(5.9E0,-4.05E0,-2.65E0)); +#3678=LINE('',#3677,#3676); +#3679=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#3680=VECTOR('',#3679,6.363961030679E-1); +#3681=CARTESIAN_POINT('',(6.25E0,-3.9E0,-2.05E0)); +#3682=LINE('',#3681,#3680); +#3683=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#3684=VECTOR('',#3683,6.363961030679E-1); +#3685=CARTESIAN_POINT('',(5.9E0,-4.25E0,-2.65E0)); +#3686=LINE('',#3685,#3684); +#3687=DIRECTION('',(2.357022603955E-1,-2.357022603955E-1,9.428090415821E-1)); +#3688=VECTOR('',#3687,6.363961030679E-1); +#3689=CARTESIAN_POINT('',(6.1E0,-4.25E0,-2.65E0)); +#3690=LINE('',#3689,#3688); +#3691=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3692=VECTOR('',#3691,5.E-1); +#3693=CARTESIAN_POINT('',(6.25E0,-4.4E0,-2.05E0)); +#3694=LINE('',#3693,#3692); +#3695=DIRECTION('',(1.E0,0.E0,0.E0)); +#3696=VECTOR('',#3695,5.E-1); +#3697=CARTESIAN_POINT('',(5.75E0,-4.4E0,-1.45E0)); +#3698=LINE('',#3697,#3696); +#3699=DIRECTION('',(1.E0,0.E0,0.E0)); +#3700=VECTOR('',#3699,5.E-1); +#3701=CARTESIAN_POINT('',(5.75E0,-3.7E0,-7.5E-1)); +#3702=LINE('',#3701,#3700); +#3703=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3704=VECTOR('',#3703,5.E-1); +#3705=CARTESIAN_POINT('',(6.25E0,2.3E0,-7.5E-1)); +#3706=LINE('',#3705,#3704); +#3707=DIRECTION('',(0.E0,0.E0,1.E0)); +#3708=VECTOR('',#3707,5.E-1); +#3709=CARTESIAN_POINT('',(6.25E0,2.3E0,-1.25E0)); +#3710=LINE('',#3709,#3708); +#3711=DIRECTION('',(0.E0,1.E0,0.E0)); +#3712=VECTOR('',#3711,3.E0); +#3713=CARTESIAN_POINT('',(6.25E0,-7.E-1,-1.25E0)); +#3714=LINE('',#3713,#3712); +#3715=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3716=VECTOR('',#3715,3.E0); +#3717=CARTESIAN_POINT('',(6.25E0,2.3E0,-7.5E-1)); +#3718=LINE('',#3717,#3716); +#3719=DIRECTION('',(0.E0,0.E0,1.E0)); +#3720=VECTOR('',#3719,5.E-1); +#3721=CARTESIAN_POINT('',(6.25E0,2.3E0,7.5E-1)); +#3722=LINE('',#3721,#3720); +#3723=DIRECTION('',(0.E0,1.E0,0.E0)); +#3724=VECTOR('',#3723,3.E0); +#3725=CARTESIAN_POINT('',(6.25E0,-7.E-1,7.5E-1)); +#3726=LINE('',#3725,#3724); +#3727=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3728=VECTOR('',#3727,3.E0); +#3729=CARTESIAN_POINT('',(6.25E0,2.3E0,1.25E0)); +#3730=LINE('',#3729,#3728); +#3731=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3732=VECTOR('',#3731,5.E-1); +#3733=CARTESIAN_POINT('',(6.25E0,-3.9E0,2.05E0)); +#3734=LINE('',#3733,#3732); +#3735=DIRECTION('',(0.E0,0.E0,1.E0)); +#3736=VECTOR('',#3735,6.E-1); +#3737=CARTESIAN_POINT('',(6.25E0,-3.9E0,1.45E0)); +#3738=LINE('',#3737,#3736); +#3739=CARTESIAN_POINT('',(6.25E0,-3.7E0,1.45E0)); +#3740=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3741=DIRECTION('',(0.E0,-2.220446049250E-14,-1.E0)); +#3742=AXIS2_PLACEMENT_3D('',#3739,#3740,#3741); +#3744=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3745=VECTOR('',#3744,5.E-1); +#3746=CARTESIAN_POINT('',(6.25E0,-3.2E0,1.25E0)); +#3747=LINE('',#3746,#3745); +#3748=DIRECTION('',(0.E0,1.E0,0.E0)); +#3749=VECTOR('',#3748,5.E-1); +#3750=CARTESIAN_POINT('',(6.25E0,-3.7E0,7.5E-1)); +#3751=LINE('',#3750,#3749); +#3752=CARTESIAN_POINT('',(6.25E0,-3.7E0,1.45E0)); +#3753=DIRECTION('',(1.E0,0.E0,0.E0)); +#3754=DIRECTION('',(0.E0,-1.E0,5.804880385897E-14)); +#3755=AXIS2_PLACEMENT_3D('',#3752,#3753,#3754); +#3757=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3758=VECTOR('',#3757,6.E-1); +#3759=CARTESIAN_POINT('',(6.25E0,-4.4E0,2.05E0)); +#3760=LINE('',#3759,#3758); +#3761=DIRECTION('',(0.E0,1.E0,0.E0)); +#3762=VECTOR('',#3761,5.E-1); +#3763=CARTESIAN_POINT('',(6.25E0,-4.4E0,-2.05E0)); +#3764=LINE('',#3763,#3762); +#3765=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3766=VECTOR('',#3765,6.E-1); +#3767=CARTESIAN_POINT('',(6.25E0,-4.4E0,-1.45E0)); +#3768=LINE('',#3767,#3766); +#3769=CARTESIAN_POINT('',(6.25E0,-3.7E0,-1.45E0)); +#3770=DIRECTION('',(1.E0,0.E0,0.E0)); +#3771=DIRECTION('',(0.E0,0.E0,1.E0)); +#3772=AXIS2_PLACEMENT_3D('',#3769,#3770,#3771); +#3774=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3775=VECTOR('',#3774,5.E-1); +#3776=CARTESIAN_POINT('',(6.25E0,-3.2E0,-7.5E-1)); +#3777=LINE('',#3776,#3775); +#3778=DIRECTION('',(0.E0,1.E0,0.E0)); +#3779=VECTOR('',#3778,5.E-1); +#3780=CARTESIAN_POINT('',(6.25E0,-3.7E0,-1.25E0)); +#3781=LINE('',#3780,#3779); +#3782=CARTESIAN_POINT('',(6.25E0,-3.7E0,-1.45E0)); +#3783=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3784=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3785=AXIS2_PLACEMENT_3D('',#3782,#3783,#3784); +#3787=DIRECTION('',(0.E0,0.E0,1.E0)); +#3788=VECTOR('',#3787,6.E-1); +#3789=CARTESIAN_POINT('',(6.25E0,-3.9E0,-2.05E0)); +#3790=LINE('',#3789,#3788); +#3791=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3792=VECTOR('',#3791,2.E-1); +#3793=CARTESIAN_POINT('',(6.1E0,2.9E0,-9.E-1)); +#3794=LINE('',#3793,#3792); +#3795=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3796=VECTOR('',#3795,2.E-1); +#3797=CARTESIAN_POINT('',(5.9E0,2.9E0,-9.E-1)); +#3798=LINE('',#3797,#3796); +#3799=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3800=VECTOR('',#3799,2.E-1); +#3801=CARTESIAN_POINT('',(6.1E0,2.9E0,-1.1E0)); +#3802=LINE('',#3801,#3800); +#3803=DIRECTION('',(0.E0,0.E0,1.E0)); +#3804=VECTOR('',#3803,2.E-1); +#3805=CARTESIAN_POINT('',(6.1E0,2.9E0,-1.1E0)); +#3806=LINE('',#3805,#3804); +#3807=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#3808=VECTOR('',#3807,6.363961030679E-1); +#3809=CARTESIAN_POINT('',(5.9E0,2.9E0,-9.E-1)); +#3810=LINE('',#3809,#3808); +#3811=DIRECTION('',(-2.357022603955E-1,9.428090415821E-1,-2.357022603955E-1)); +#3812=VECTOR('',#3811,6.363961030679E-1); +#3813=CARTESIAN_POINT('',(6.25E0,2.3E0,-7.5E-1)); +#3814=LINE('',#3813,#3812); +#3815=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#3816=VECTOR('',#3815,6.363961030679E-1); +#3817=CARTESIAN_POINT('',(6.1E0,2.9E0,1.1E0)); +#3818=LINE('',#3817,#3816); +#3819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3820=VECTOR('',#3819,2.E-1); +#3821=CARTESIAN_POINT('',(6.1E0,2.9E0,1.1E0)); +#3822=LINE('',#3821,#3820); +#3823=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3824=VECTOR('',#3823,2.E-1); +#3825=CARTESIAN_POINT('',(5.9E0,2.9E0,1.1E0)); +#3826=LINE('',#3825,#3824); +#3827=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3828=VECTOR('',#3827,2.E-1); +#3829=CARTESIAN_POINT('',(6.1E0,2.9E0,9.E-1)); +#3830=LINE('',#3829,#3828); +#3831=DIRECTION('',(0.E0,0.E0,1.E0)); +#3832=VECTOR('',#3831,2.E-1); +#3833=CARTESIAN_POINT('',(6.1E0,2.9E0,9.E-1)); +#3834=LINE('',#3833,#3832); +#3835=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,2.357022603955E-1)); +#3836=VECTOR('',#3835,6.363961030679E-1); +#3837=CARTESIAN_POINT('',(5.9E0,2.9E0,1.1E0)); +#3838=LINE('',#3837,#3836); +#3839=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3840=VECTOR('',#3839,5.E-1); +#3841=CARTESIAN_POINT('',(6.25E0,2.3E0,1.25E0)); +#3842=LINE('',#3841,#3840); +#3843=DIRECTION('',(1.E0,0.E0,0.E0)); +#3844=VECTOR('',#3843,5.E-1); +#3845=CARTESIAN_POINT('',(5.75E0,-3.7E0,1.25E0)); +#3846=LINE('',#3845,#3844); +#3847=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3848=VECTOR('',#3847,5.E-1); +#3849=CARTESIAN_POINT('',(6.25E0,-3.9E0,2.05E0)); +#3850=LINE('',#3849,#3848); +#3851=DIRECTION('',(1.E0,0.E0,0.E0)); +#3852=VECTOR('',#3851,5.E-1); +#3853=CARTESIAN_POINT('',(5.75E0,-3.9E0,1.45E0)); +#3854=LINE('',#3853,#3852); +#3855=DIRECTION('',(2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#3856=VECTOR('',#3855,6.363961030679E-1); +#3857=CARTESIAN_POINT('',(6.1E0,-4.05E0,2.65E0)); +#3858=LINE('',#3857,#3856); +#3859=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3860=VECTOR('',#3859,2.E-1); +#3861=CARTESIAN_POINT('',(6.1E0,-4.25E0,2.65E0)); +#3862=LINE('',#3861,#3860); +#3863=DIRECTION('',(0.E0,1.E0,0.E0)); +#3864=VECTOR('',#3863,2.E-1); +#3865=CARTESIAN_POINT('',(5.9E0,-4.25E0,2.65E0)); +#3866=LINE('',#3865,#3864); +#3867=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3868=VECTOR('',#3867,2.E-1); +#3869=CARTESIAN_POINT('',(6.1E0,-4.05E0,2.65E0)); +#3870=LINE('',#3869,#3868); +#3871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3872=VECTOR('',#3871,2.E-1); +#3873=CARTESIAN_POINT('',(6.1E0,-4.05E0,2.65E0)); +#3874=LINE('',#3873,#3872); +#3875=DIRECTION('',(-2.357022603955E-1,-2.357022603955E-1,-9.428090415821E-1)); +#3876=VECTOR('',#3875,6.363961030679E-1); +#3877=CARTESIAN_POINT('',(5.9E0,-4.25E0,2.65E0)); +#3878=LINE('',#3877,#3876); +#3879=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,9.428090415821E-1)); +#3880=VECTOR('',#3879,6.363961030679E-1); +#3881=CARTESIAN_POINT('',(6.25E0,-4.4E0,2.05E0)); +#3882=LINE('',#3881,#3880); +#3883=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3884=VECTOR('',#3883,5.E-1); +#3885=CARTESIAN_POINT('',(6.25E0,-4.4E0,2.05E0)); +#3886=LINE('',#3885,#3884); +#3887=DIRECTION('',(1.E0,0.E0,0.E0)); +#3888=VECTOR('',#3887,5.E-1); +#3889=CARTESIAN_POINT('',(5.75E0,-4.4E0,1.45E0)); +#3890=LINE('',#3889,#3888); +#3891=DIRECTION('',(1.E0,0.E0,0.E0)); +#3892=VECTOR('',#3891,5.E-1); +#3893=CARTESIAN_POINT('',(5.75E0,-3.7E0,7.5E-1)); +#3894=LINE('',#3893,#3892); +#3895=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3896=VECTOR('',#3895,5.E-1); +#3897=CARTESIAN_POINT('',(6.25E0,2.3E0,7.5E-1)); +#3898=LINE('',#3897,#3896); +#3899=DIRECTION('',(2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#3900=VECTOR('',#3899,6.363961030679E-1); +#3901=CARTESIAN_POINT('',(6.1E0,2.9E0,9.E-1)); +#3902=LINE('',#3901,#3900); +#3903=DIRECTION('',(-2.357022603955E-1,-9.428090415821E-1,-2.357022603955E-1)); +#3904=VECTOR('',#3903,6.363961030679E-1); +#3905=CARTESIAN_POINT('',(5.9E0,2.9E0,9.E-1)); +#3906=LINE('',#3905,#3904); +#3907=DIRECTION('',(-2.357022603955E-1,2.357022603955E-1,-9.428090415821E-1)); +#3908=VECTOR('',#3907,6.363961030679E-1); +#3909=CARTESIAN_POINT('',(5.9E0,-4.05E0,2.65E0)); +#3910=LINE('',#3909,#3908); +#3911=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3912=VECTOR('',#3911,2.E-1); +#3913=CARTESIAN_POINT('',(6.1E0,-4.05E0,-2.65E0)); +#3914=LINE('',#3913,#3912); +#3915=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3916=VECTOR('',#3915,2.E-1); +#3917=CARTESIAN_POINT('',(5.9E0,-4.05E0,-2.65E0)); +#3918=LINE('',#3917,#3916); +#3919=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3920=VECTOR('',#3919,2.E-1); +#3921=CARTESIAN_POINT('',(6.1E0,-4.25E0,-2.65E0)); +#3922=LINE('',#3921,#3920); +#3923=DIRECTION('',(0.E0,1.E0,0.E0)); +#3924=VECTOR('',#3923,2.E-1); +#3925=CARTESIAN_POINT('',(6.1E0,-4.25E0,-2.65E0)); +#3926=LINE('',#3925,#3924); +#3927=DIRECTION('',(2.352301212227E-9,-9.999999999970E-1,-2.435804719076E-6)); +#3928=VECTOR('',#3927,2.049995009465E0); +#3929=CARTESIAN_POINT('',(5.E0,-3.2E0,4.25E-1)); +#3930=LINE('',#3929,#3928); +#3931=DIRECTION('',(-2.352301645486E-9,-9.999999999970E-1,2.435804719130E-6)); +#3932=VECTOR('',#3931,2.049995009465E0); +#3933=CARTESIAN_POINT('',(5.E0,-3.2E0,-4.25E-1)); +#3934=LINE('',#3933,#3932); +#3935=CARTESIAN_POINT('',(5.E0,-5.399994922166E0,0.E0)); +#3936=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3938=AXIS2_PLACEMENT_3D('',#3935,#3936,#3937); +#3940=CARTESIAN_POINT('',(5.E0,-5.249987870122E0,0.E0)); +#3941=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3942=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3943=AXIS2_PLACEMENT_3D('',#3940,#3941,#3942); +#3945=DIRECTION('',(-3.120091924841E-9,7.071100111089E-1,-7.071035512495E-1)); +#3946=VECTOR('',#3945,2.121320340819E-1); +#3947=CARTESIAN_POINT('',(4.999999995840E0,-5.399995694435E0, +-2.749956919794E-1)); +#3948=LINE('',#3947,#3946); +#3949=CARTESIAN_POINT('',(-5.E0,-5.399994922166E0,0.E0)); +#3950=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3951=DIRECTION('',(0.E0,0.E0,1.E0)); +#3952=AXIS2_PLACEMENT_3D('',#3949,#3950,#3951); +#3954=CARTESIAN_POINT('',(-5.E0,-5.249987870122E0,0.E0)); +#3955=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3956=DIRECTION('',(0.E0,0.E0,1.E0)); +#3957=AXIS2_PLACEMENT_3D('',#3954,#3955,#3956); +#3959=DIRECTION('',(3.120096111754E-9,7.071100111089E-1,7.071035512495E-1)); +#3960=VECTOR('',#3959,2.121320340819E-1); +#3961=CARTESIAN_POINT('',(-4.999999995840E0,-5.399995694435E0, +2.749956919794E-1)); +#3962=LINE('',#3961,#3960); +#3963=CARTESIAN_POINT('',(-5.E0,-5.399994922166E0,0.E0)); +#3964=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3965=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3966=AXIS2_PLACEMENT_3D('',#3963,#3964,#3965); +#3968=CARTESIAN_POINT('',(-5.E0,-5.249987870122E0,0.E0)); +#3969=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3970=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3971=AXIS2_PLACEMENT_3D('',#3968,#3969,#3970); +#3973=DIRECTION('',(-3.120096111754E-9,7.071100111089E-1,-7.071035512495E-1)); +#3974=VECTOR('',#3973,2.121320340819E-1); +#3975=CARTESIAN_POINT('',(-5.000000004160E0,-5.399995694435E0, +-2.749956919794E-1)); +#3976=LINE('',#3975,#3974); +#3977=DIRECTION('',(-2.352301212227E-9,-9.999999999970E-1,2.435804719022E-6)); +#3978=VECTOR('',#3977,2.049995009465E0); +#3979=CARTESIAN_POINT('',(-5.E0,-3.2E0,-4.25E-1)); +#3980=LINE('',#3979,#3978); +#3981=DIRECTION('',(2.352300778968E-9,-9.999999999970E-1,-2.435804719103E-6)); +#3982=VECTOR('',#3981,2.049995009465E0); +#3983=CARTESIAN_POINT('',(-5.E0,-3.2E0,4.25E-1)); +#3984=LINE('',#3983,#3982); +#3985=CARTESIAN_POINT('',(5.E0,-5.399994922166E0,0.E0)); +#3986=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3987=DIRECTION('',(0.E0,0.E0,1.E0)); +#3988=AXIS2_PLACEMENT_3D('',#3985,#3986,#3987); +#3990=CARTESIAN_POINT('',(5.E0,-5.249987870122E0,0.E0)); +#3991=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3992=DIRECTION('',(0.E0,0.E0,1.E0)); +#3993=AXIS2_PLACEMENT_3D('',#3990,#3991,#3992); +#3995=DIRECTION('',(3.120100298667E-9,7.071100111089E-1,7.071035512495E-1)); +#3996=VECTOR('',#3995,2.121320340819E-1); +#3997=CARTESIAN_POINT('',(5.000000004160E0,-5.399995694435E0, +2.749956919794E-1)); +#3998=LINE('',#3997,#3996); +#3999=CARTESIAN_POINT('',(8.325E0,3.2E0,-3.15E0)); +#4000=CARTESIAN_POINT('',(-8.325E0,3.2E0,-3.15E0)); +#4001=VERTEX_POINT('',#3999); +#4002=VERTEX_POINT('',#4000); +#4003=CARTESIAN_POINT('',(-7.5E0,-1.5E0,-2.E0)); +#4004=CARTESIAN_POINT('',(-7.5E0,-1.5E0,2.E0)); +#4005=VERTEX_POINT('',#4003); +#4006=VERTEX_POINT('',#4004); +#4007=CARTESIAN_POINT('',(7.5E0,-1.5E0,2.E0)); +#4008=VERTEX_POINT('',#4007); +#4009=CARTESIAN_POINT('',(7.5E0,-1.5E0,-2.E0)); +#4010=VERTEX_POINT('',#4009); +#4011=CARTESIAN_POINT('',(-7.5E0,-3.2E0,-2.E0)); +#4012=CARTESIAN_POINT('',(-7.5E0,-3.2E0,2.E0)); +#4013=VERTEX_POINT('',#4011); +#4014=VERTEX_POINT('',#4012); +#4015=CARTESIAN_POINT('',(7.5E0,-3.2E0,2.E0)); +#4016=VERTEX_POINT('',#4015); +#4017=CARTESIAN_POINT('',(7.5E0,-3.2E0,-2.E0)); +#4018=VERTEX_POINT('',#4017); +#4019=CARTESIAN_POINT('',(-8.325E0,-1.5E0,-3.15E0)); +#4020=VERTEX_POINT('',#4019); +#4021=CARTESIAN_POINT('',(8.325E0,-1.5E0,-3.15E0)); +#4022=VERTEX_POINT('',#4021); +#4023=CARTESIAN_POINT('',(-8.325E0,3.2E0,3.15E0)); +#4024=CARTESIAN_POINT('',(-8.325E0,-1.5E0,3.15E0)); +#4025=VERTEX_POINT('',#4023); +#4026=VERTEX_POINT('',#4024); +#4027=CARTESIAN_POINT('',(8.325E0,-1.5E0,3.15E0)); +#4028=CARTESIAN_POINT('',(8.325E0,3.2E0,3.15E0)); +#4029=VERTEX_POINT('',#4027); +#4030=VERTEX_POINT('',#4028); +#4031=CARTESIAN_POINT('',(-6.25E0,-3.7E0,-1.25E0)); +#4032=CARTESIAN_POINT('',(-6.25E0,-3.2E0,-1.25E0)); +#4033=VERTEX_POINT('',#4031); +#4034=VERTEX_POINT('',#4032); +#4035=CARTESIAN_POINT('',(-6.25E0,-3.2E0,-7.5E-1)); +#4036=CARTESIAN_POINT('',(-6.25E0,-3.7E0,-7.5E-1)); +#4037=VERTEX_POINT('',#4035); +#4038=VERTEX_POINT('',#4036); +#4039=CARTESIAN_POINT('',(-6.25E0,-3.2E0,1.25E0)); +#4040=CARTESIAN_POINT('',(-6.25E0,-3.7E0,1.25E0)); +#4041=VERTEX_POINT('',#4039); +#4042=VERTEX_POINT('',#4040); +#4043=CARTESIAN_POINT('',(-6.25E0,-3.7E0,7.5E-1)); +#4044=CARTESIAN_POINT('',(-6.25E0,-3.2E0,7.5E-1)); +#4045=VERTEX_POINT('',#4043); +#4046=VERTEX_POINT('',#4044); +#4047=CARTESIAN_POINT('',(-5.75E0,-3.7E0,-1.25E0)); +#4048=CARTESIAN_POINT('',(-5.75E0,-3.2E0,-1.25E0)); +#4049=VERTEX_POINT('',#4047); +#4050=VERTEX_POINT('',#4048); +#4051=CARTESIAN_POINT('',(-5.75E0,-3.2E0,-7.5E-1)); +#4052=CARTESIAN_POINT('',(-5.75E0,-3.7E0,-7.5E-1)); +#4053=VERTEX_POINT('',#4051); +#4054=VERTEX_POINT('',#4052); +#4055=CARTESIAN_POINT('',(-5.75E0,-3.2E0,1.25E0)); +#4056=CARTESIAN_POINT('',(-5.75E0,-3.7E0,1.25E0)); +#4057=VERTEX_POINT('',#4055); +#4058=VERTEX_POINT('',#4056); +#4059=CARTESIAN_POINT('',(-5.75E0,-3.7E0,7.5E-1)); +#4060=CARTESIAN_POINT('',(-5.75E0,-3.2E0,7.5E-1)); +#4061=VERTEX_POINT('',#4059); +#4062=VERTEX_POINT('',#4060); +#4063=CARTESIAN_POINT('',(7.425E0,-7.E-1,-2.25E0)); +#4064=CARTESIAN_POINT('',(7.025E0,-7.E-1,-2.25E0)); +#4065=VERTEX_POINT('',#4063); +#4066=VERTEX_POINT('',#4064); +#4067=CARTESIAN_POINT('',(-8.325E0,-7.E-1,1.15E0)); +#4068=CARTESIAN_POINT('',(-8.325E0,-7.E-1,-1.5E-1)); +#4069=VERTEX_POINT('',#4067); +#4070=VERTEX_POINT('',#4068); +#4071=CARTESIAN_POINT('',(8.325E0,-7.E-1,1.5E-1)); +#4072=CARTESIAN_POINT('',(8.325E0,-7.E-1,-1.15E0)); +#4073=VERTEX_POINT('',#4071); +#4074=VERTEX_POINT('',#4072); +#4075=CARTESIAN_POINT('',(7.425E0,-7.E-1,1.5E-1)); +#4076=VERTEX_POINT('',#4075); +#4077=CARTESIAN_POINT('',(7.425E0,-7.E-1,-1.15E0)); +#4078=VERTEX_POINT('',#4077); +#4079=CARTESIAN_POINT('',(-7.425E0,-7.E-1,1.15E0)); +#4080=VERTEX_POINT('',#4079); +#4081=CARTESIAN_POINT('',(-7.425E0,-7.E-1,-1.5E-1)); +#4082=VERTEX_POINT('',#4081); +#4083=CARTESIAN_POINT('',(-7.025E0,-7.E-1,-2.25E0)); +#4084=CARTESIAN_POINT('',(-7.025E0,2.6E0,-2.25E0)); +#4085=VERTEX_POINT('',#4083); +#4086=VERTEX_POINT('',#4084); +#4087=CARTESIAN_POINT('',(7.025E0,2.6E0,-2.25E0)); +#4088=VERTEX_POINT('',#4087); +#4089=CARTESIAN_POINT('',(-7.425E0,-7.E-1,-2.25E0)); +#4090=VERTEX_POINT('',#4089); +#4091=CARTESIAN_POINT('',(-7.025E0,-7.E-1,-2.5E0)); +#4092=VERTEX_POINT('',#4091); +#4093=CARTESIAN_POINT('',(7.025E0,-7.E-1,-2.5E0)); +#4094=VERTEX_POINT('',#4093); +#4095=CARTESIAN_POINT('',(-7.025E0,2.85E0,-2.5E0)); +#4096=VERTEX_POINT('',#4095); +#4097=CARTESIAN_POINT('',(7.025E0,2.85E0,-2.5E0)); +#4098=VERTEX_POINT('',#4097); +#4099=CARTESIAN_POINT('',(-7.425E0,-7.E-1,2.25E0)); +#4100=VERTEX_POINT('',#4099); +#4101=CARTESIAN_POINT('',(7.425E0,-7.E-1,2.25E0)); +#4102=VERTEX_POINT('',#4101); +#4103=CARTESIAN_POINT('',(-6.25E0,-7.E-1,1.25E0)); +#4104=CARTESIAN_POINT('',(-6.25E0,-7.E-1,7.5E-1)); +#4105=VERTEX_POINT('',#4103); +#4106=VERTEX_POINT('',#4104); +#4107=CARTESIAN_POINT('',(-6.25E0,-7.E-1,-7.5E-1)); +#4108=CARTESIAN_POINT('',(-6.25E0,-7.E-1,-1.25E0)); +#4109=VERTEX_POINT('',#4107); +#4110=VERTEX_POINT('',#4108); +#4111=CARTESIAN_POINT('',(-5.75E0,-7.E-1,1.25E0)); +#4112=CARTESIAN_POINT('',(-5.75E0,-7.E-1,7.5E-1)); +#4113=VERTEX_POINT('',#4111); +#4114=VERTEX_POINT('',#4112); +#4115=CARTESIAN_POINT('',(-5.75E0,-7.E-1,-7.5E-1)); +#4116=CARTESIAN_POINT('',(-5.75E0,-7.E-1,-1.25E0)); +#4117=VERTEX_POINT('',#4115); +#4118=VERTEX_POINT('',#4116); +#4119=CARTESIAN_POINT('',(-6.325E0,3.2E0,3.15E0)); +#4120=CARTESIAN_POINT('',(-5.825E0,2.333974596216E0,3.15E0)); +#4121=VERTEX_POINT('',#4119); +#4122=VERTEX_POINT('',#4120); +#4123=CARTESIAN_POINT('',(-5.325E0,3.2E0,3.15E0)); +#4124=VERTEX_POINT('',#4123); +#4125=CARTESIAN_POINT('',(-6.325E0,3.2E0,3.05E0)); +#4126=VERTEX_POINT('',#4125); +#4127=CARTESIAN_POINT('',(-5.325E0,3.2E0,3.05E0)); +#4128=VERTEX_POINT('',#4127); +#4129=CARTESIAN_POINT('',(-5.825E0,2.333974596216E0,3.05E0)); +#4130=VERTEX_POINT('',#4129); +#4131=CARTESIAN_POINT('',(5.E0,-3.2E0,4.25E-1)); +#4132=CARTESIAN_POINT('',(5.E0,-3.2E0,-4.25E-1)); +#4133=VERTEX_POINT('',#4131); +#4134=VERTEX_POINT('',#4132); +#4135=CARTESIAN_POINT('',(-5.E0,-3.2E0,4.25E-1)); +#4136=CARTESIAN_POINT('',(-5.E0,-3.2E0,-4.25E-1)); +#4137=VERTEX_POINT('',#4135); +#4138=VERTEX_POINT('',#4136); +#4139=CARTESIAN_POINT('',(5.000000004822E0,-5.249995009459E0, +4.249950066125E-1)); +#4140=VERTEX_POINT('',#4139); +#4141=CARTESIAN_POINT('',(4.999999995178E0,-5.249995009459E0, +-4.249950066125E-1)); +#4142=VERTEX_POINT('',#4141); +#4143=CARTESIAN_POINT('',(-4.999999995178E0,-5.249995009459E0, +4.249950066125E-1)); +#4144=VERTEX_POINT('',#4143); +#4145=CARTESIAN_POINT('',(-5.000000004822E0,-5.249995009459E0, +-4.249950066125E-1)); +#4146=VERTEX_POINT('',#4145); +#4147=CARTESIAN_POINT('',(-6.25E0,-4.4E0,-1.45E0)); +#4148=VERTEX_POINT('',#4147); +#4149=CARTESIAN_POINT('',(-6.25E0,-3.9E0,-1.45E0)); +#4150=VERTEX_POINT('',#4149); +#4151=CARTESIAN_POINT('',(-6.25E0,-3.9E0,1.45E0)); +#4152=VERTEX_POINT('',#4151); +#4153=CARTESIAN_POINT('',(-6.25E0,-4.4E0,1.45E0)); +#4154=VERTEX_POINT('',#4153); +#4155=CARTESIAN_POINT('',(-5.75E0,-4.4E0,-1.45E0)); +#4156=VERTEX_POINT('',#4155); +#4157=CARTESIAN_POINT('',(-5.75E0,-3.9E0,-1.45E0)); +#4158=VERTEX_POINT('',#4157); +#4159=CARTESIAN_POINT('',(-5.75E0,-3.9E0,1.45E0)); +#4160=VERTEX_POINT('',#4159); +#4161=CARTESIAN_POINT('',(-5.75E0,-4.4E0,1.45E0)); +#4162=VERTEX_POINT('',#4161); +#4163=CARTESIAN_POINT('',(-5.E0,1.7E0,3.15E0)); +#4164=CARTESIAN_POINT('',(-5.5E0,1.7E0,3.15E0)); +#4165=VERTEX_POINT('',#4163); +#4166=VERTEX_POINT('',#4164); +#4167=CARTESIAN_POINT('',(-5.65E0,-1.5E0,3.15E0)); +#4168=VERTEX_POINT('',#4167); +#4169=CARTESIAN_POINT('',(-2.35E0,-1.5E0,3.15E0)); +#4170=CARTESIAN_POINT('',(-2.5E0,1.7E0,3.15E0)); +#4171=VERTEX_POINT('',#4169); +#4172=VERTEX_POINT('',#4170); +#4173=CARTESIAN_POINT('',(-3.E0,1.7E0,3.15E0)); +#4174=VERTEX_POINT('',#4173); +#4175=CARTESIAN_POINT('',(-5.6125E0,-7.E-1,2.25E0)); +#4176=CARTESIAN_POINT('',(-5.65E0,-1.5E0,2.25E0)); +#4177=VERTEX_POINT('',#4175); +#4178=VERTEX_POINT('',#4176); +#4179=CARTESIAN_POINT('',(-2.35E0,-1.5E0,2.25E0)); +#4180=CARTESIAN_POINT('',(-2.3875E0,-7.E-1,2.25E0)); +#4181=VERTEX_POINT('',#4179); +#4182=VERTEX_POINT('',#4180); +#4183=CARTESIAN_POINT('',(-5.5E0,1.7E0,2.5E0)); +#4184=VERTEX_POINT('',#4183); +#4185=CARTESIAN_POINT('',(-2.5E0,1.7E0,2.5E0)); +#4186=VERTEX_POINT('',#4185); +#4187=CARTESIAN_POINT('',(-7.025E0,2.6E0,2.25E0)); +#4188=CARTESIAN_POINT('',(-7.025E0,-7.E-1,2.25E0)); +#4189=VERTEX_POINT('',#4187); +#4190=VERTEX_POINT('',#4188); +#4191=CARTESIAN_POINT('',(7.025E0,-7.E-1,2.25E0)); +#4192=VERTEX_POINT('',#4191); +#4193=CARTESIAN_POINT('',(-7.025E0,-7.E-1,2.5E0)); +#4194=VERTEX_POINT('',#4193); +#4195=CARTESIAN_POINT('',(-3.E0,5.E-1,3.15E0)); +#4196=VERTEX_POINT('',#4195); +#4197=CARTESIAN_POINT('',(-5.E0,5.E-1,3.15E0)); +#4198=VERTEX_POINT('',#4197); +#4199=CARTESIAN_POINT('',(-3.E0,1.7E0,2.5E0)); +#4200=VERTEX_POINT('',#4199); +#4201=CARTESIAN_POINT('',(-3.E0,5.E-1,2.5E0)); +#4202=VERTEX_POINT('',#4201); +#4203=CARTESIAN_POINT('',(-5.E0,5.E-1,2.5E0)); +#4204=VERTEX_POINT('',#4203); +#4205=CARTESIAN_POINT('',(-5.E0,1.7E0,2.5E0)); +#4206=VERTEX_POINT('',#4205); +#4207=CARTESIAN_POINT('',(-5.6125E0,-7.E-1,2.5E0)); +#4208=VERTEX_POINT('',#4207); +#4209=CARTESIAN_POINT('',(-2.3875E0,-7.E-1,2.5E0)); +#4210=VERTEX_POINT('',#4209); +#4211=CARTESIAN_POINT('',(-5.75E0,2.3E0,-7.5E-1)); +#4212=CARTESIAN_POINT('',(-6.25E0,2.3E0,-7.5E-1)); +#4213=VERTEX_POINT('',#4211); +#4214=VERTEX_POINT('',#4212); +#4215=CARTESIAN_POINT('',(-5.9E0,2.9E0,-9.E-1)); +#4216=CARTESIAN_POINT('',(-6.1E0,2.9E0,-9.E-1)); +#4217=VERTEX_POINT('',#4215); +#4218=VERTEX_POINT('',#4216); +#4219=CARTESIAN_POINT('',(-5.9E0,2.9E0,-1.1E0)); +#4220=VERTEX_POINT('',#4219); +#4221=CARTESIAN_POINT('',(-5.75E0,2.3E0,-1.25E0)); +#4222=VERTEX_POINT('',#4221); +#4223=CARTESIAN_POINT('',(-6.25E0,2.3E0,-1.25E0)); +#4224=VERTEX_POINT('',#4223); +#4225=CARTESIAN_POINT('',(-6.1E0,2.9E0,-1.1E0)); +#4226=VERTEX_POINT('',#4225); +#4227=CARTESIAN_POINT('',(-5.75E0,2.3E0,1.25E0)); +#4228=CARTESIAN_POINT('',(-6.25E0,2.3E0,1.25E0)); +#4229=VERTEX_POINT('',#4227); +#4230=VERTEX_POINT('',#4228); +#4231=CARTESIAN_POINT('',(-5.9E0,2.9E0,1.1E0)); +#4232=CARTESIAN_POINT('',(-6.1E0,2.9E0,1.1E0)); +#4233=VERTEX_POINT('',#4231); +#4234=VERTEX_POINT('',#4232); +#4235=CARTESIAN_POINT('',(-5.9E0,2.9E0,9.E-1)); +#4236=VERTEX_POINT('',#4235); +#4237=CARTESIAN_POINT('',(-5.75E0,2.3E0,7.5E-1)); +#4238=VERTEX_POINT('',#4237); +#4239=CARTESIAN_POINT('',(-6.25E0,2.3E0,7.5E-1)); +#4240=VERTEX_POINT('',#4239); +#4241=CARTESIAN_POINT('',(-6.1E0,2.9E0,9.E-1)); +#4242=VERTEX_POINT('',#4241); +#4243=CARTESIAN_POINT('',(-5.75E0,-4.4E0,2.05E0)); +#4244=CARTESIAN_POINT('',(-6.25E0,-4.4E0,2.05E0)); +#4245=VERTEX_POINT('',#4243); +#4246=VERTEX_POINT('',#4244); +#4247=CARTESIAN_POINT('',(-5.9E0,-4.25E0,2.65E0)); +#4248=CARTESIAN_POINT('',(-6.1E0,-4.25E0,2.65E0)); +#4249=VERTEX_POINT('',#4247); +#4250=VERTEX_POINT('',#4248); +#4251=CARTESIAN_POINT('',(-5.9E0,-4.05E0,2.65E0)); +#4252=VERTEX_POINT('',#4251); +#4253=CARTESIAN_POINT('',(-5.75E0,-3.9E0,2.05E0)); +#4254=VERTEX_POINT('',#4253); +#4255=CARTESIAN_POINT('',(-6.25E0,-3.9E0,2.05E0)); +#4256=VERTEX_POINT('',#4255); +#4257=CARTESIAN_POINT('',(-6.1E0,-4.05E0,2.65E0)); +#4258=VERTEX_POINT('',#4257); +#4259=CARTESIAN_POINT('',(-5.75E0,-3.9E0,-2.05E0)); +#4260=CARTESIAN_POINT('',(-6.25E0,-3.9E0,-2.05E0)); +#4261=VERTEX_POINT('',#4259); +#4262=VERTEX_POINT('',#4260); +#4263=CARTESIAN_POINT('',(-5.9E0,-4.05E0,-2.65E0)); +#4264=CARTESIAN_POINT('',(-6.1E0,-4.05E0,-2.65E0)); +#4265=VERTEX_POINT('',#4263); +#4266=VERTEX_POINT('',#4264); +#4267=CARTESIAN_POINT('',(-5.9E0,-4.25E0,-2.65E0)); +#4268=VERTEX_POINT('',#4267); +#4269=CARTESIAN_POINT('',(-5.75E0,-4.4E0,-2.05E0)); +#4270=VERTEX_POINT('',#4269); +#4271=CARTESIAN_POINT('',(-6.25E0,-4.4E0,-2.05E0)); +#4272=VERTEX_POINT('',#4271); +#4273=CARTESIAN_POINT('',(-6.1E0,-4.25E0,-2.65E0)); +#4274=VERTEX_POINT('',#4273); +#4275=CARTESIAN_POINT('',(-8.325E0,2.6E0,-1.5E-1)); +#4276=CARTESIAN_POINT('',(-8.325E0,3.2E0,-7.5E-1)); +#4277=VERTEX_POINT('',#4275); +#4278=VERTEX_POINT('',#4276); +#4279=CARTESIAN_POINT('',(-8.025E0,3.2E0,-7.5E-1)); +#4280=VERTEX_POINT('',#4279); +#4281=CARTESIAN_POINT('',(-7.425E0,2.6E0,-1.5E-1)); +#4282=VERTEX_POINT('',#4281); +#4283=CARTESIAN_POINT('',(-7.425E0,2.6E0,-2.25E0)); +#4284=VERTEX_POINT('',#4283); +#4285=CARTESIAN_POINT('',(-8.025E0,3.2E0,-2.85E0)); +#4286=VERTEX_POINT('',#4285); +#4287=CARTESIAN_POINT('',(8.025E0,3.2E0,-2.85E0)); +#4288=VERTEX_POINT('',#4287); +#4289=CARTESIAN_POINT('',(7.425E0,2.6E0,-2.25E0)); +#4290=CARTESIAN_POINT('',(7.425E0,2.6E0,-1.15E0)); +#4291=VERTEX_POINT('',#4289); +#4292=VERTEX_POINT('',#4290); +#4293=CARTESIAN_POINT('',(8.025E0,3.2E0,-1.75E0)); +#4294=VERTEX_POINT('',#4293); +#4295=CARTESIAN_POINT('',(8.325E0,3.2E0,-1.75E0)); +#4296=CARTESIAN_POINT('',(8.325E0,2.6E0,-1.15E0)); +#4297=VERTEX_POINT('',#4295); +#4298=VERTEX_POINT('',#4296); +#4299=CARTESIAN_POINT('',(8.325E0,2.6E0,1.5E-1)); +#4300=CARTESIAN_POINT('',(8.325E0,3.2E0,7.5E-1)); +#4301=VERTEX_POINT('',#4299); +#4302=VERTEX_POINT('',#4300); +#4303=CARTESIAN_POINT('',(8.025E0,3.2E0,7.5E-1)); +#4304=VERTEX_POINT('',#4303); +#4305=CARTESIAN_POINT('',(7.425E0,2.6E0,1.5E-1)); +#4306=VERTEX_POINT('',#4305); +#4307=CARTESIAN_POINT('',(7.425E0,2.6E0,2.25E0)); +#4308=VERTEX_POINT('',#4307); +#4309=CARTESIAN_POINT('',(8.025E0,3.2E0,2.85E0)); +#4310=VERTEX_POINT('',#4309); +#4311=CARTESIAN_POINT('',(-7.425E0,2.6E0,2.25E0)); +#4312=CARTESIAN_POINT('',(-7.425E0,2.6E0,1.15E0)); +#4313=VERTEX_POINT('',#4311); +#4314=VERTEX_POINT('',#4312); +#4315=CARTESIAN_POINT('',(-8.025E0,3.2E0,2.85E0)); +#4316=CARTESIAN_POINT('',(-8.025E0,3.2E0,1.75E0)); +#4317=VERTEX_POINT('',#4315); +#4318=VERTEX_POINT('',#4316); +#4319=CARTESIAN_POINT('',(-8.325E0,3.2E0,1.75E0)); +#4320=CARTESIAN_POINT('',(-8.325E0,2.6E0,1.15E0)); +#4321=VERTEX_POINT('',#4319); +#4322=VERTEX_POINT('',#4320); +#4323=CARTESIAN_POINT('',(-5.E0,-5.399994922166E0,2.749921575205E-1)); +#4324=CARTESIAN_POINT('',(-5.E0,-5.399994922166E0,-2.749921575205E-1)); +#4325=VERTEX_POINT('',#4323); +#4326=VERTEX_POINT('',#4324); +#4327=CARTESIAN_POINT('',(5.E0,-5.399994922166E0,2.749921575205E-1)); +#4328=CARTESIAN_POINT('',(5.E0,-5.399994922166E0,-2.749921575205E-1)); +#4329=VERTEX_POINT('',#4327); +#4330=VERTEX_POINT('',#4328); +#4331=CARTESIAN_POINT('',(-7.025E0,2.85E0,2.5E0)); +#4332=VERTEX_POINT('',#4331); +#4333=CARTESIAN_POINT('',(7.025E0,2.6E0,2.25E0)); +#4334=VERTEX_POINT('',#4333); +#4335=CARTESIAN_POINT('',(-4.25E0,-3.7E0,-7.5E-1)); +#4336=CARTESIAN_POINT('',(-4.25E0,-4.4E0,-1.45E0)); +#4337=VERTEX_POINT('',#4335); +#4338=VERTEX_POINT('',#4336); +#4339=CARTESIAN_POINT('',(-4.25E0,-3.9E0,-1.45E0)); +#4340=CARTESIAN_POINT('',(-4.25E0,-3.7E0,-1.25E0)); +#4341=VERTEX_POINT('',#4339); +#4342=VERTEX_POINT('',#4340); +#4343=CARTESIAN_POINT('',(-4.25E0,-3.7E0,1.25E0)); +#4344=CARTESIAN_POINT('',(-4.25E0,-3.9E0,1.45E0)); +#4345=VERTEX_POINT('',#4343); +#4346=VERTEX_POINT('',#4344); +#4347=CARTESIAN_POINT('',(-4.25E0,-4.4E0,1.45E0)); +#4348=CARTESIAN_POINT('',(-4.25E0,-3.7E0,7.5E-1)); +#4349=VERTEX_POINT('',#4347); +#4350=VERTEX_POINT('',#4348); +#4351=CARTESIAN_POINT('',(-3.75E0,-3.7E0,-7.5E-1)); +#4352=CARTESIAN_POINT('',(-3.75E0,-4.4E0,-1.45E0)); +#4353=VERTEX_POINT('',#4351); +#4354=VERTEX_POINT('',#4352); +#4355=CARTESIAN_POINT('',(-3.75E0,-3.9E0,-1.45E0)); +#4356=CARTESIAN_POINT('',(-3.75E0,-3.7E0,-1.25E0)); +#4357=VERTEX_POINT('',#4355); +#4358=VERTEX_POINT('',#4356); +#4359=CARTESIAN_POINT('',(-3.75E0,-3.7E0,1.25E0)); +#4360=CARTESIAN_POINT('',(-3.75E0,-3.9E0,1.45E0)); +#4361=VERTEX_POINT('',#4359); +#4362=VERTEX_POINT('',#4360); +#4363=CARTESIAN_POINT('',(-3.75E0,-4.4E0,1.45E0)); +#4364=CARTESIAN_POINT('',(-3.75E0,-3.7E0,7.5E-1)); +#4365=VERTEX_POINT('',#4363); +#4366=VERTEX_POINT('',#4364); +#4367=CARTESIAN_POINT('',(-4.25E0,-7.E-1,1.25E0)); +#4368=CARTESIAN_POINT('',(-4.25E0,-7.E-1,7.5E-1)); +#4369=VERTEX_POINT('',#4367); +#4370=VERTEX_POINT('',#4368); +#4371=CARTESIAN_POINT('',(-4.25E0,-7.E-1,-7.5E-1)); +#4372=CARTESIAN_POINT('',(-4.25E0,-7.E-1,-1.25E0)); +#4373=VERTEX_POINT('',#4371); +#4374=VERTEX_POINT('',#4372); +#4375=CARTESIAN_POINT('',(-3.75E0,-7.E-1,1.25E0)); +#4376=CARTESIAN_POINT('',(-3.75E0,-7.E-1,7.5E-1)); +#4377=VERTEX_POINT('',#4375); +#4378=VERTEX_POINT('',#4376); +#4379=CARTESIAN_POINT('',(-3.75E0,-7.E-1,-7.5E-1)); +#4380=CARTESIAN_POINT('',(-3.75E0,-7.E-1,-1.25E0)); +#4381=VERTEX_POINT('',#4379); +#4382=VERTEX_POINT('',#4380); +#4383=CARTESIAN_POINT('',(-4.25E0,-3.2E0,-1.25E0)); +#4384=VERTEX_POINT('',#4383); +#4385=CARTESIAN_POINT('',(-4.25E0,-3.2E0,-7.5E-1)); +#4386=VERTEX_POINT('',#4385); +#4387=CARTESIAN_POINT('',(-4.25E0,-3.2E0,1.25E0)); +#4388=VERTEX_POINT('',#4387); +#4389=CARTESIAN_POINT('',(-4.25E0,-3.2E0,7.5E-1)); +#4390=VERTEX_POINT('',#4389); +#4391=CARTESIAN_POINT('',(-3.75E0,-3.2E0,-1.25E0)); +#4392=VERTEX_POINT('',#4391); +#4393=CARTESIAN_POINT('',(-3.75E0,-3.2E0,-7.5E-1)); +#4394=VERTEX_POINT('',#4393); +#4395=CARTESIAN_POINT('',(-3.75E0,-3.2E0,1.25E0)); +#4396=VERTEX_POINT('',#4395); +#4397=CARTESIAN_POINT('',(-3.75E0,-3.2E0,7.5E-1)); +#4398=VERTEX_POINT('',#4397); +#4399=CARTESIAN_POINT('',(-2.25E0,-3.7E0,-7.5E-1)); +#4400=CARTESIAN_POINT('',(-2.25E0,-4.4E0,-1.45E0)); +#4401=VERTEX_POINT('',#4399); +#4402=VERTEX_POINT('',#4400); +#4403=CARTESIAN_POINT('',(-2.25E0,-3.9E0,-1.45E0)); +#4404=CARTESIAN_POINT('',(-2.25E0,-3.7E0,-1.25E0)); +#4405=VERTEX_POINT('',#4403); +#4406=VERTEX_POINT('',#4404); +#4407=CARTESIAN_POINT('',(-2.25E0,-3.7E0,1.25E0)); +#4408=CARTESIAN_POINT('',(-2.25E0,-3.9E0,1.45E0)); +#4409=VERTEX_POINT('',#4407); +#4410=VERTEX_POINT('',#4408); +#4411=CARTESIAN_POINT('',(-2.25E0,-4.4E0,1.45E0)); +#4412=CARTESIAN_POINT('',(-2.25E0,-3.7E0,7.5E-1)); +#4413=VERTEX_POINT('',#4411); +#4414=VERTEX_POINT('',#4412); +#4415=CARTESIAN_POINT('',(-1.75E0,-3.7E0,-7.5E-1)); +#4416=CARTESIAN_POINT('',(-1.75E0,-4.4E0,-1.45E0)); +#4417=VERTEX_POINT('',#4415); +#4418=VERTEX_POINT('',#4416); +#4419=CARTESIAN_POINT('',(-1.75E0,-3.9E0,-1.45E0)); +#4420=CARTESIAN_POINT('',(-1.75E0,-3.7E0,-1.25E0)); +#4421=VERTEX_POINT('',#4419); +#4422=VERTEX_POINT('',#4420); +#4423=CARTESIAN_POINT('',(-1.75E0,-3.7E0,1.25E0)); +#4424=CARTESIAN_POINT('',(-1.75E0,-3.9E0,1.45E0)); +#4425=VERTEX_POINT('',#4423); +#4426=VERTEX_POINT('',#4424); +#4427=CARTESIAN_POINT('',(-1.75E0,-4.4E0,1.45E0)); +#4428=CARTESIAN_POINT('',(-1.75E0,-3.7E0,7.5E-1)); +#4429=VERTEX_POINT('',#4427); +#4430=VERTEX_POINT('',#4428); +#4431=CARTESIAN_POINT('',(-2.25E0,-7.E-1,1.25E0)); +#4432=CARTESIAN_POINT('',(-2.25E0,-7.E-1,7.5E-1)); +#4433=VERTEX_POINT('',#4431); +#4434=VERTEX_POINT('',#4432); +#4435=CARTESIAN_POINT('',(-2.25E0,-7.E-1,-7.5E-1)); +#4436=CARTESIAN_POINT('',(-2.25E0,-7.E-1,-1.25E0)); +#4437=VERTEX_POINT('',#4435); +#4438=VERTEX_POINT('',#4436); +#4439=CARTESIAN_POINT('',(-1.75E0,-7.E-1,1.25E0)); +#4440=CARTESIAN_POINT('',(-1.75E0,-7.E-1,7.5E-1)); +#4441=VERTEX_POINT('',#4439); +#4442=VERTEX_POINT('',#4440); +#4443=CARTESIAN_POINT('',(-1.75E0,-7.E-1,-7.5E-1)); +#4444=CARTESIAN_POINT('',(-1.75E0,-7.E-1,-1.25E0)); +#4445=VERTEX_POINT('',#4443); +#4446=VERTEX_POINT('',#4444); +#4447=CARTESIAN_POINT('',(-2.25E0,-3.2E0,-1.25E0)); +#4448=VERTEX_POINT('',#4447); +#4449=CARTESIAN_POINT('',(-2.25E0,-3.2E0,-7.5E-1)); +#4450=VERTEX_POINT('',#4449); +#4451=CARTESIAN_POINT('',(-2.25E0,-3.2E0,1.25E0)); +#4452=VERTEX_POINT('',#4451); +#4453=CARTESIAN_POINT('',(-2.25E0,-3.2E0,7.5E-1)); +#4454=VERTEX_POINT('',#4453); +#4455=CARTESIAN_POINT('',(-1.75E0,-3.2E0,-1.25E0)); +#4456=VERTEX_POINT('',#4455); +#4457=CARTESIAN_POINT('',(-1.75E0,-3.2E0,-7.5E-1)); +#4458=VERTEX_POINT('',#4457); +#4459=CARTESIAN_POINT('',(-1.75E0,-3.2E0,1.25E0)); +#4460=VERTEX_POINT('',#4459); +#4461=CARTESIAN_POINT('',(-1.75E0,-3.2E0,7.5E-1)); +#4462=VERTEX_POINT('',#4461); +#4463=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,-7.5E-1)); +#4464=CARTESIAN_POINT('',(-2.5E-1,-4.4E0,-1.45E0)); +#4465=VERTEX_POINT('',#4463); +#4466=VERTEX_POINT('',#4464); +#4467=CARTESIAN_POINT('',(-2.5E-1,-3.9E0,-1.45E0)); +#4468=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,-1.25E0)); +#4469=VERTEX_POINT('',#4467); +#4470=VERTEX_POINT('',#4468); +#4471=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,1.25E0)); +#4472=CARTESIAN_POINT('',(-2.5E-1,-3.9E0,1.45E0)); +#4473=VERTEX_POINT('',#4471); +#4474=VERTEX_POINT('',#4472); +#4475=CARTESIAN_POINT('',(-2.5E-1,-4.4E0,1.45E0)); +#4476=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,7.5E-1)); +#4477=VERTEX_POINT('',#4475); +#4478=VERTEX_POINT('',#4476); +#4479=CARTESIAN_POINT('',(2.5E-1,-3.7E0,-7.5E-1)); +#4480=CARTESIAN_POINT('',(2.5E-1,-4.4E0,-1.45E0)); +#4481=VERTEX_POINT('',#4479); +#4482=VERTEX_POINT('',#4480); +#4483=CARTESIAN_POINT('',(2.5E-1,-3.9E0,-1.45E0)); +#4484=CARTESIAN_POINT('',(2.5E-1,-3.7E0,-1.25E0)); +#4485=VERTEX_POINT('',#4483); +#4486=VERTEX_POINT('',#4484); +#4487=CARTESIAN_POINT('',(2.5E-1,-3.7E0,1.25E0)); +#4488=CARTESIAN_POINT('',(2.5E-1,-3.9E0,1.45E0)); +#4489=VERTEX_POINT('',#4487); +#4490=VERTEX_POINT('',#4488); +#4491=CARTESIAN_POINT('',(2.5E-1,-4.4E0,1.45E0)); +#4492=CARTESIAN_POINT('',(2.5E-1,-3.7E0,7.5E-1)); +#4493=VERTEX_POINT('',#4491); +#4494=VERTEX_POINT('',#4492); +#4495=CARTESIAN_POINT('',(-2.5E-1,-7.E-1,1.25E0)); +#4496=CARTESIAN_POINT('',(-2.5E-1,-7.E-1,7.5E-1)); +#4497=VERTEX_POINT('',#4495); +#4498=VERTEX_POINT('',#4496); +#4499=CARTESIAN_POINT('',(-2.5E-1,-7.E-1,-7.5E-1)); +#4500=CARTESIAN_POINT('',(-2.5E-1,-7.E-1,-1.25E0)); +#4501=VERTEX_POINT('',#4499); +#4502=VERTEX_POINT('',#4500); +#4503=CARTESIAN_POINT('',(2.5E-1,-7.E-1,1.25E0)); +#4504=CARTESIAN_POINT('',(2.5E-1,-7.E-1,7.5E-1)); +#4505=VERTEX_POINT('',#4503); +#4506=VERTEX_POINT('',#4504); +#4507=CARTESIAN_POINT('',(2.5E-1,-7.E-1,-7.5E-1)); +#4508=CARTESIAN_POINT('',(2.5E-1,-7.E-1,-1.25E0)); +#4509=VERTEX_POINT('',#4507); +#4510=VERTEX_POINT('',#4508); +#4511=CARTESIAN_POINT('',(-2.5E-1,-3.2E0,-1.25E0)); +#4512=VERTEX_POINT('',#4511); +#4513=CARTESIAN_POINT('',(-2.5E-1,-3.2E0,-7.5E-1)); +#4514=VERTEX_POINT('',#4513); +#4515=CARTESIAN_POINT('',(-2.5E-1,-3.2E0,1.25E0)); +#4516=VERTEX_POINT('',#4515); +#4517=CARTESIAN_POINT('',(-2.5E-1,-3.2E0,7.5E-1)); +#4518=VERTEX_POINT('',#4517); +#4519=CARTESIAN_POINT('',(2.5E-1,-3.2E0,-1.25E0)); +#4520=VERTEX_POINT('',#4519); +#4521=CARTESIAN_POINT('',(2.5E-1,-3.2E0,-7.5E-1)); +#4522=VERTEX_POINT('',#4521); +#4523=CARTESIAN_POINT('',(2.5E-1,-3.2E0,1.25E0)); +#4524=VERTEX_POINT('',#4523); +#4525=CARTESIAN_POINT('',(2.5E-1,-3.2E0,7.5E-1)); +#4526=VERTEX_POINT('',#4525); +#4527=CARTESIAN_POINT('',(1.75E0,-3.7E0,-7.5E-1)); +#4528=CARTESIAN_POINT('',(1.75E0,-4.4E0,-1.45E0)); +#4529=VERTEX_POINT('',#4527); +#4530=VERTEX_POINT('',#4528); +#4531=CARTESIAN_POINT('',(1.75E0,-3.9E0,-1.45E0)); +#4532=CARTESIAN_POINT('',(1.75E0,-3.7E0,-1.25E0)); +#4533=VERTEX_POINT('',#4531); +#4534=VERTEX_POINT('',#4532); +#4535=CARTESIAN_POINT('',(1.75E0,-3.7E0,1.25E0)); +#4536=CARTESIAN_POINT('',(1.75E0,-3.9E0,1.45E0)); +#4537=VERTEX_POINT('',#4535); +#4538=VERTEX_POINT('',#4536); +#4539=CARTESIAN_POINT('',(1.75E0,-4.4E0,1.45E0)); +#4540=CARTESIAN_POINT('',(1.75E0,-3.7E0,7.5E-1)); +#4541=VERTEX_POINT('',#4539); +#4542=VERTEX_POINT('',#4540); +#4543=CARTESIAN_POINT('',(2.25E0,-3.7E0,-7.5E-1)); +#4544=CARTESIAN_POINT('',(2.25E0,-4.4E0,-1.45E0)); +#4545=VERTEX_POINT('',#4543); +#4546=VERTEX_POINT('',#4544); +#4547=CARTESIAN_POINT('',(2.25E0,-3.9E0,-1.45E0)); +#4548=CARTESIAN_POINT('',(2.25E0,-3.7E0,-1.25E0)); +#4549=VERTEX_POINT('',#4547); +#4550=VERTEX_POINT('',#4548); +#4551=CARTESIAN_POINT('',(2.25E0,-3.7E0,1.25E0)); +#4552=CARTESIAN_POINT('',(2.25E0,-3.9E0,1.45E0)); +#4553=VERTEX_POINT('',#4551); +#4554=VERTEX_POINT('',#4552); +#4555=CARTESIAN_POINT('',(2.25E0,-4.4E0,1.45E0)); +#4556=CARTESIAN_POINT('',(2.25E0,-3.7E0,7.5E-1)); +#4557=VERTEX_POINT('',#4555); +#4558=VERTEX_POINT('',#4556); +#4559=CARTESIAN_POINT('',(1.75E0,-7.E-1,1.25E0)); +#4560=CARTESIAN_POINT('',(1.75E0,-7.E-1,7.5E-1)); +#4561=VERTEX_POINT('',#4559); +#4562=VERTEX_POINT('',#4560); +#4563=CARTESIAN_POINT('',(1.75E0,-7.E-1,-7.5E-1)); +#4564=CARTESIAN_POINT('',(1.75E0,-7.E-1,-1.25E0)); +#4565=VERTEX_POINT('',#4563); +#4566=VERTEX_POINT('',#4564); +#4567=CARTESIAN_POINT('',(2.25E0,-7.E-1,1.25E0)); +#4568=CARTESIAN_POINT('',(2.25E0,-7.E-1,7.5E-1)); +#4569=VERTEX_POINT('',#4567); +#4570=VERTEX_POINT('',#4568); +#4571=CARTESIAN_POINT('',(2.25E0,-7.E-1,-7.5E-1)); +#4572=CARTESIAN_POINT('',(2.25E0,-7.E-1,-1.25E0)); +#4573=VERTEX_POINT('',#4571); +#4574=VERTEX_POINT('',#4572); +#4575=CARTESIAN_POINT('',(1.75E0,-3.2E0,-1.25E0)); +#4576=VERTEX_POINT('',#4575); +#4577=CARTESIAN_POINT('',(1.75E0,-3.2E0,-7.5E-1)); +#4578=VERTEX_POINT('',#4577); +#4579=CARTESIAN_POINT('',(1.75E0,-3.2E0,1.25E0)); +#4580=VERTEX_POINT('',#4579); +#4581=CARTESIAN_POINT('',(1.75E0,-3.2E0,7.5E-1)); +#4582=VERTEX_POINT('',#4581); +#4583=CARTESIAN_POINT('',(2.25E0,-3.2E0,-1.25E0)); +#4584=VERTEX_POINT('',#4583); +#4585=CARTESIAN_POINT('',(2.25E0,-3.2E0,-7.5E-1)); +#4586=VERTEX_POINT('',#4585); +#4587=CARTESIAN_POINT('',(2.25E0,-3.2E0,1.25E0)); +#4588=VERTEX_POINT('',#4587); +#4589=CARTESIAN_POINT('',(2.25E0,-3.2E0,7.5E-1)); +#4590=VERTEX_POINT('',#4589); +#4591=CARTESIAN_POINT('',(-3.75E0,2.3E0,-7.5E-1)); +#4592=CARTESIAN_POINT('',(-4.25E0,2.3E0,-7.5E-1)); +#4593=VERTEX_POINT('',#4591); +#4594=VERTEX_POINT('',#4592); +#4595=CARTESIAN_POINT('',(-3.9E0,2.9E0,-9.E-1)); +#4596=CARTESIAN_POINT('',(-4.1E0,2.9E0,-9.E-1)); +#4597=VERTEX_POINT('',#4595); +#4598=VERTEX_POINT('',#4596); +#4599=CARTESIAN_POINT('',(-3.9E0,2.9E0,-1.1E0)); +#4600=VERTEX_POINT('',#4599); +#4601=CARTESIAN_POINT('',(-3.75E0,2.3E0,-1.25E0)); +#4602=VERTEX_POINT('',#4601); +#4603=CARTESIAN_POINT('',(-4.25E0,2.3E0,-1.25E0)); +#4604=VERTEX_POINT('',#4603); +#4605=CARTESIAN_POINT('',(-4.1E0,2.9E0,-1.1E0)); +#4606=VERTEX_POINT('',#4605); +#4607=CARTESIAN_POINT('',(-3.75E0,2.3E0,1.25E0)); +#4608=CARTESIAN_POINT('',(-4.25E0,2.3E0,1.25E0)); +#4609=VERTEX_POINT('',#4607); +#4610=VERTEX_POINT('',#4608); +#4611=CARTESIAN_POINT('',(-3.9E0,2.9E0,1.1E0)); +#4612=CARTESIAN_POINT('',(-4.1E0,2.9E0,1.1E0)); +#4613=VERTEX_POINT('',#4611); +#4614=VERTEX_POINT('',#4612); +#4615=CARTESIAN_POINT('',(-3.9E0,2.9E0,9.E-1)); +#4616=VERTEX_POINT('',#4615); +#4617=CARTESIAN_POINT('',(-3.75E0,2.3E0,7.5E-1)); +#4618=VERTEX_POINT('',#4617); +#4619=CARTESIAN_POINT('',(-4.25E0,2.3E0,7.5E-1)); +#4620=VERTEX_POINT('',#4619); +#4621=CARTESIAN_POINT('',(-4.1E0,2.9E0,9.E-1)); +#4622=VERTEX_POINT('',#4621); +#4623=CARTESIAN_POINT('',(-3.75E0,-4.4E0,2.05E0)); +#4624=CARTESIAN_POINT('',(-4.25E0,-4.4E0,2.05E0)); +#4625=VERTEX_POINT('',#4623); +#4626=VERTEX_POINT('',#4624); +#4627=CARTESIAN_POINT('',(-3.9E0,-4.25E0,2.65E0)); +#4628=CARTESIAN_POINT('',(-4.1E0,-4.25E0,2.65E0)); +#4629=VERTEX_POINT('',#4627); +#4630=VERTEX_POINT('',#4628); +#4631=CARTESIAN_POINT('',(-3.9E0,-4.05E0,2.65E0)); +#4632=VERTEX_POINT('',#4631); +#4633=CARTESIAN_POINT('',(-3.75E0,-3.9E0,2.05E0)); +#4634=VERTEX_POINT('',#4633); +#4635=CARTESIAN_POINT('',(-4.25E0,-3.9E0,2.05E0)); +#4636=VERTEX_POINT('',#4635); +#4637=CARTESIAN_POINT('',(-4.1E0,-4.05E0,2.65E0)); +#4638=VERTEX_POINT('',#4637); +#4639=CARTESIAN_POINT('',(-3.75E0,-3.9E0,-2.05E0)); +#4640=CARTESIAN_POINT('',(-4.25E0,-3.9E0,-2.05E0)); +#4641=VERTEX_POINT('',#4639); +#4642=VERTEX_POINT('',#4640); +#4643=CARTESIAN_POINT('',(-3.9E0,-4.05E0,-2.65E0)); +#4644=CARTESIAN_POINT('',(-4.1E0,-4.05E0,-2.65E0)); +#4645=VERTEX_POINT('',#4643); +#4646=VERTEX_POINT('',#4644); +#4647=CARTESIAN_POINT('',(-3.9E0,-4.25E0,-2.65E0)); +#4648=VERTEX_POINT('',#4647); +#4649=CARTESIAN_POINT('',(-3.75E0,-4.4E0,-2.05E0)); +#4650=VERTEX_POINT('',#4649); +#4651=CARTESIAN_POINT('',(-4.25E0,-4.4E0,-2.05E0)); +#4652=VERTEX_POINT('',#4651); +#4653=CARTESIAN_POINT('',(-4.1E0,-4.25E0,-2.65E0)); +#4654=VERTEX_POINT('',#4653); +#4655=CARTESIAN_POINT('',(-1.75E0,2.3E0,-7.5E-1)); +#4656=CARTESIAN_POINT('',(-2.25E0,2.3E0,-7.5E-1)); +#4657=VERTEX_POINT('',#4655); +#4658=VERTEX_POINT('',#4656); +#4659=CARTESIAN_POINT('',(-1.9E0,2.9E0,-9.E-1)); +#4660=CARTESIAN_POINT('',(-2.1E0,2.9E0,-9.E-1)); +#4661=VERTEX_POINT('',#4659); +#4662=VERTEX_POINT('',#4660); +#4663=CARTESIAN_POINT('',(-1.9E0,2.9E0,-1.1E0)); +#4664=VERTEX_POINT('',#4663); +#4665=CARTESIAN_POINT('',(-1.75E0,2.3E0,-1.25E0)); +#4666=VERTEX_POINT('',#4665); +#4667=CARTESIAN_POINT('',(-2.25E0,2.3E0,-1.25E0)); +#4668=VERTEX_POINT('',#4667); +#4669=CARTESIAN_POINT('',(-2.1E0,2.9E0,-1.1E0)); +#4670=VERTEX_POINT('',#4669); +#4671=CARTESIAN_POINT('',(-1.75E0,2.3E0,1.25E0)); +#4672=CARTESIAN_POINT('',(-2.25E0,2.3E0,1.25E0)); +#4673=VERTEX_POINT('',#4671); +#4674=VERTEX_POINT('',#4672); +#4675=CARTESIAN_POINT('',(-1.9E0,2.9E0,1.1E0)); +#4676=CARTESIAN_POINT('',(-2.1E0,2.9E0,1.1E0)); +#4677=VERTEX_POINT('',#4675); +#4678=VERTEX_POINT('',#4676); +#4679=CARTESIAN_POINT('',(-1.9E0,2.9E0,9.E-1)); +#4680=VERTEX_POINT('',#4679); +#4681=CARTESIAN_POINT('',(-1.75E0,2.3E0,7.5E-1)); +#4682=VERTEX_POINT('',#4681); +#4683=CARTESIAN_POINT('',(-2.25E0,2.3E0,7.5E-1)); +#4684=VERTEX_POINT('',#4683); +#4685=CARTESIAN_POINT('',(-2.1E0,2.9E0,9.E-1)); +#4686=VERTEX_POINT('',#4685); +#4687=CARTESIAN_POINT('',(-1.75E0,-4.4E0,2.05E0)); +#4688=CARTESIAN_POINT('',(-2.25E0,-4.4E0,2.05E0)); +#4689=VERTEX_POINT('',#4687); +#4690=VERTEX_POINT('',#4688); +#4691=CARTESIAN_POINT('',(-1.9E0,-4.25E0,2.65E0)); +#4692=CARTESIAN_POINT('',(-2.1E0,-4.25E0,2.65E0)); +#4693=VERTEX_POINT('',#4691); +#4694=VERTEX_POINT('',#4692); +#4695=CARTESIAN_POINT('',(-1.9E0,-4.05E0,2.65E0)); +#4696=VERTEX_POINT('',#4695); +#4697=CARTESIAN_POINT('',(-1.75E0,-3.9E0,2.05E0)); +#4698=VERTEX_POINT('',#4697); +#4699=CARTESIAN_POINT('',(-2.25E0,-3.9E0,2.05E0)); +#4700=VERTEX_POINT('',#4699); +#4701=CARTESIAN_POINT('',(-2.1E0,-4.05E0,2.65E0)); +#4702=VERTEX_POINT('',#4701); +#4703=CARTESIAN_POINT('',(-1.75E0,-3.9E0,-2.05E0)); +#4704=CARTESIAN_POINT('',(-2.25E0,-3.9E0,-2.05E0)); +#4705=VERTEX_POINT('',#4703); +#4706=VERTEX_POINT('',#4704); +#4707=CARTESIAN_POINT('',(-1.9E0,-4.05E0,-2.65E0)); +#4708=CARTESIAN_POINT('',(-2.1E0,-4.05E0,-2.65E0)); +#4709=VERTEX_POINT('',#4707); +#4710=VERTEX_POINT('',#4708); +#4711=CARTESIAN_POINT('',(-1.9E0,-4.25E0,-2.65E0)); +#4712=VERTEX_POINT('',#4711); +#4713=CARTESIAN_POINT('',(-1.75E0,-4.4E0,-2.05E0)); +#4714=VERTEX_POINT('',#4713); +#4715=CARTESIAN_POINT('',(-2.25E0,-4.4E0,-2.05E0)); +#4716=VERTEX_POINT('',#4715); +#4717=CARTESIAN_POINT('',(-2.1E0,-4.25E0,-2.65E0)); +#4718=VERTEX_POINT('',#4717); +#4719=CARTESIAN_POINT('',(2.5E-1,2.3E0,-7.5E-1)); +#4720=CARTESIAN_POINT('',(-2.5E-1,2.3E0,-7.5E-1)); +#4721=VERTEX_POINT('',#4719); +#4722=VERTEX_POINT('',#4720); +#4723=CARTESIAN_POINT('',(1.E-1,2.9E0,-9.E-1)); +#4724=CARTESIAN_POINT('',(-1.E-1,2.9E0,-9.E-1)); +#4725=VERTEX_POINT('',#4723); +#4726=VERTEX_POINT('',#4724); +#4727=CARTESIAN_POINT('',(1.E-1,2.9E0,-1.1E0)); +#4728=VERTEX_POINT('',#4727); +#4729=CARTESIAN_POINT('',(2.5E-1,2.3E0,-1.25E0)); +#4730=VERTEX_POINT('',#4729); +#4731=CARTESIAN_POINT('',(-2.5E-1,2.3E0,-1.25E0)); +#4732=VERTEX_POINT('',#4731); +#4733=CARTESIAN_POINT('',(-1.E-1,2.9E0,-1.1E0)); +#4734=VERTEX_POINT('',#4733); +#4735=CARTESIAN_POINT('',(2.5E-1,2.3E0,1.25E0)); +#4736=CARTESIAN_POINT('',(-2.5E-1,2.3E0,1.25E0)); +#4737=VERTEX_POINT('',#4735); +#4738=VERTEX_POINT('',#4736); +#4739=CARTESIAN_POINT('',(1.E-1,2.9E0,1.1E0)); +#4740=CARTESIAN_POINT('',(-1.E-1,2.9E0,1.1E0)); +#4741=VERTEX_POINT('',#4739); +#4742=VERTEX_POINT('',#4740); +#4743=CARTESIAN_POINT('',(1.E-1,2.9E0,9.E-1)); +#4744=VERTEX_POINT('',#4743); +#4745=CARTESIAN_POINT('',(2.5E-1,2.3E0,7.5E-1)); +#4746=VERTEX_POINT('',#4745); +#4747=CARTESIAN_POINT('',(-2.5E-1,2.3E0,7.5E-1)); +#4748=VERTEX_POINT('',#4747); +#4749=CARTESIAN_POINT('',(-1.E-1,2.9E0,9.E-1)); +#4750=VERTEX_POINT('',#4749); +#4751=CARTESIAN_POINT('',(2.5E-1,-4.4E0,2.05E0)); +#4752=CARTESIAN_POINT('',(-2.5E-1,-4.4E0,2.05E0)); +#4753=VERTEX_POINT('',#4751); +#4754=VERTEX_POINT('',#4752); +#4755=CARTESIAN_POINT('',(1.E-1,-4.25E0,2.65E0)); +#4756=CARTESIAN_POINT('',(-1.E-1,-4.25E0,2.65E0)); +#4757=VERTEX_POINT('',#4755); +#4758=VERTEX_POINT('',#4756); +#4759=CARTESIAN_POINT('',(1.E-1,-4.05E0,2.65E0)); +#4760=VERTEX_POINT('',#4759); +#4761=CARTESIAN_POINT('',(2.5E-1,-3.9E0,2.05E0)); +#4762=VERTEX_POINT('',#4761); +#4763=CARTESIAN_POINT('',(-2.5E-1,-3.9E0,2.05E0)); +#4764=VERTEX_POINT('',#4763); +#4765=CARTESIAN_POINT('',(-1.E-1,-4.05E0,2.65E0)); +#4766=VERTEX_POINT('',#4765); +#4767=CARTESIAN_POINT('',(2.5E-1,-3.9E0,-2.05E0)); +#4768=CARTESIAN_POINT('',(-2.5E-1,-3.9E0,-2.05E0)); +#4769=VERTEX_POINT('',#4767); +#4770=VERTEX_POINT('',#4768); +#4771=CARTESIAN_POINT('',(1.E-1,-4.05E0,-2.65E0)); +#4772=CARTESIAN_POINT('',(-1.E-1,-4.05E0,-2.65E0)); +#4773=VERTEX_POINT('',#4771); +#4774=VERTEX_POINT('',#4772); +#4775=CARTESIAN_POINT('',(1.E-1,-4.25E0,-2.65E0)); +#4776=VERTEX_POINT('',#4775); +#4777=CARTESIAN_POINT('',(2.5E-1,-4.4E0,-2.05E0)); +#4778=VERTEX_POINT('',#4777); +#4779=CARTESIAN_POINT('',(-2.5E-1,-4.4E0,-2.05E0)); +#4780=VERTEX_POINT('',#4779); +#4781=CARTESIAN_POINT('',(-1.E-1,-4.25E0,-2.65E0)); +#4782=VERTEX_POINT('',#4781); +#4783=CARTESIAN_POINT('',(2.25E0,2.3E0,-7.5E-1)); +#4784=CARTESIAN_POINT('',(1.75E0,2.3E0,-7.5E-1)); +#4785=VERTEX_POINT('',#4783); +#4786=VERTEX_POINT('',#4784); +#4787=CARTESIAN_POINT('',(2.1E0,2.9E0,-9.E-1)); +#4788=CARTESIAN_POINT('',(1.9E0,2.9E0,-9.E-1)); +#4789=VERTEX_POINT('',#4787); +#4790=VERTEX_POINT('',#4788); +#4791=CARTESIAN_POINT('',(2.1E0,2.9E0,-1.1E0)); +#4792=VERTEX_POINT('',#4791); +#4793=CARTESIAN_POINT('',(2.25E0,2.3E0,-1.25E0)); +#4794=VERTEX_POINT('',#4793); +#4795=CARTESIAN_POINT('',(1.75E0,2.3E0,-1.25E0)); +#4796=VERTEX_POINT('',#4795); +#4797=CARTESIAN_POINT('',(1.9E0,2.9E0,-1.1E0)); +#4798=VERTEX_POINT('',#4797); +#4799=CARTESIAN_POINT('',(2.25E0,2.3E0,1.25E0)); +#4800=CARTESIAN_POINT('',(1.75E0,2.3E0,1.25E0)); +#4801=VERTEX_POINT('',#4799); +#4802=VERTEX_POINT('',#4800); +#4803=CARTESIAN_POINT('',(2.1E0,2.9E0,1.1E0)); +#4804=CARTESIAN_POINT('',(1.9E0,2.9E0,1.1E0)); +#4805=VERTEX_POINT('',#4803); +#4806=VERTEX_POINT('',#4804); +#4807=CARTESIAN_POINT('',(2.1E0,2.9E0,9.E-1)); +#4808=VERTEX_POINT('',#4807); +#4809=CARTESIAN_POINT('',(2.25E0,2.3E0,7.5E-1)); +#4810=VERTEX_POINT('',#4809); +#4811=CARTESIAN_POINT('',(1.75E0,2.3E0,7.5E-1)); +#4812=VERTEX_POINT('',#4811); +#4813=CARTESIAN_POINT('',(1.9E0,2.9E0,9.E-1)); +#4814=VERTEX_POINT('',#4813); +#4815=CARTESIAN_POINT('',(2.25E0,-4.4E0,2.05E0)); +#4816=CARTESIAN_POINT('',(1.75E0,-4.4E0,2.05E0)); +#4817=VERTEX_POINT('',#4815); +#4818=VERTEX_POINT('',#4816); +#4819=CARTESIAN_POINT('',(2.1E0,-4.25E0,2.65E0)); +#4820=CARTESIAN_POINT('',(1.9E0,-4.25E0,2.65E0)); +#4821=VERTEX_POINT('',#4819); +#4822=VERTEX_POINT('',#4820); +#4823=CARTESIAN_POINT('',(2.1E0,-4.05E0,2.65E0)); +#4824=VERTEX_POINT('',#4823); +#4825=CARTESIAN_POINT('',(2.25E0,-3.9E0,2.05E0)); +#4826=VERTEX_POINT('',#4825); +#4827=CARTESIAN_POINT('',(1.75E0,-3.9E0,2.05E0)); +#4828=VERTEX_POINT('',#4827); +#4829=CARTESIAN_POINT('',(1.9E0,-4.05E0,2.65E0)); +#4830=VERTEX_POINT('',#4829); +#4831=CARTESIAN_POINT('',(2.25E0,-3.9E0,-2.05E0)); +#4832=CARTESIAN_POINT('',(1.75E0,-3.9E0,-2.05E0)); +#4833=VERTEX_POINT('',#4831); +#4834=VERTEX_POINT('',#4832); +#4835=CARTESIAN_POINT('',(2.1E0,-4.05E0,-2.65E0)); +#4836=CARTESIAN_POINT('',(1.9E0,-4.05E0,-2.65E0)); +#4837=VERTEX_POINT('',#4835); +#4838=VERTEX_POINT('',#4836); +#4839=CARTESIAN_POINT('',(2.1E0,-4.25E0,-2.65E0)); +#4840=VERTEX_POINT('',#4839); +#4841=CARTESIAN_POINT('',(2.25E0,-4.4E0,-2.05E0)); +#4842=VERTEX_POINT('',#4841); +#4843=CARTESIAN_POINT('',(1.75E0,-4.4E0,-2.05E0)); +#4844=VERTEX_POINT('',#4843); +#4845=CARTESIAN_POINT('',(1.9E0,-4.25E0,-2.65E0)); +#4846=VERTEX_POINT('',#4845); +#4847=CARTESIAN_POINT('',(3.75E0,-3.7E0,-7.5E-1)); +#4848=CARTESIAN_POINT('',(3.75E0,-4.4E0,-1.45E0)); +#4849=VERTEX_POINT('',#4847); +#4850=VERTEX_POINT('',#4848); +#4851=CARTESIAN_POINT('',(3.75E0,-3.9E0,-1.45E0)); +#4852=CARTESIAN_POINT('',(3.75E0,-3.7E0,-1.25E0)); +#4853=VERTEX_POINT('',#4851); +#4854=VERTEX_POINT('',#4852); +#4855=CARTESIAN_POINT('',(3.75E0,-3.7E0,1.25E0)); +#4856=CARTESIAN_POINT('',(3.75E0,-3.9E0,1.45E0)); +#4857=VERTEX_POINT('',#4855); +#4858=VERTEX_POINT('',#4856); +#4859=CARTESIAN_POINT('',(3.75E0,-4.4E0,1.45E0)); +#4860=CARTESIAN_POINT('',(3.75E0,-3.7E0,7.5E-1)); +#4861=VERTEX_POINT('',#4859); +#4862=VERTEX_POINT('',#4860); +#4863=CARTESIAN_POINT('',(4.25E0,-3.7E0,-7.5E-1)); +#4864=CARTESIAN_POINT('',(4.25E0,-4.4E0,-1.45E0)); +#4865=VERTEX_POINT('',#4863); +#4866=VERTEX_POINT('',#4864); +#4867=CARTESIAN_POINT('',(4.25E0,-3.9E0,-1.45E0)); +#4868=CARTESIAN_POINT('',(4.25E0,-3.7E0,-1.25E0)); +#4869=VERTEX_POINT('',#4867); +#4870=VERTEX_POINT('',#4868); +#4871=CARTESIAN_POINT('',(4.25E0,-3.7E0,1.25E0)); +#4872=CARTESIAN_POINT('',(4.25E0,-3.9E0,1.45E0)); +#4873=VERTEX_POINT('',#4871); +#4874=VERTEX_POINT('',#4872); +#4875=CARTESIAN_POINT('',(4.25E0,-4.4E0,1.45E0)); +#4876=CARTESIAN_POINT('',(4.25E0,-3.7E0,7.5E-1)); +#4877=VERTEX_POINT('',#4875); +#4878=VERTEX_POINT('',#4876); +#4879=CARTESIAN_POINT('',(3.75E0,-7.E-1,1.25E0)); +#4880=CARTESIAN_POINT('',(3.75E0,-7.E-1,7.5E-1)); +#4881=VERTEX_POINT('',#4879); +#4882=VERTEX_POINT('',#4880); +#4883=CARTESIAN_POINT('',(3.75E0,-7.E-1,-7.5E-1)); +#4884=CARTESIAN_POINT('',(3.75E0,-7.E-1,-1.25E0)); +#4885=VERTEX_POINT('',#4883); +#4886=VERTEX_POINT('',#4884); +#4887=CARTESIAN_POINT('',(4.25E0,-7.E-1,1.25E0)); +#4888=CARTESIAN_POINT('',(4.25E0,-7.E-1,7.5E-1)); +#4889=VERTEX_POINT('',#4887); +#4890=VERTEX_POINT('',#4888); +#4891=CARTESIAN_POINT('',(4.25E0,-7.E-1,-7.5E-1)); +#4892=CARTESIAN_POINT('',(4.25E0,-7.E-1,-1.25E0)); +#4893=VERTEX_POINT('',#4891); +#4894=VERTEX_POINT('',#4892); +#4895=CARTESIAN_POINT('',(3.75E0,-3.2E0,-1.25E0)); +#4896=VERTEX_POINT('',#4895); +#4897=CARTESIAN_POINT('',(3.75E0,-3.2E0,-7.5E-1)); +#4898=VERTEX_POINT('',#4897); +#4899=CARTESIAN_POINT('',(3.75E0,-3.2E0,1.25E0)); +#4900=VERTEX_POINT('',#4899); +#4901=CARTESIAN_POINT('',(3.75E0,-3.2E0,7.5E-1)); +#4902=VERTEX_POINT('',#4901); +#4903=CARTESIAN_POINT('',(4.25E0,-3.2E0,-1.25E0)); +#4904=VERTEX_POINT('',#4903); +#4905=CARTESIAN_POINT('',(4.25E0,-3.2E0,-7.5E-1)); +#4906=VERTEX_POINT('',#4905); +#4907=CARTESIAN_POINT('',(4.25E0,-3.2E0,1.25E0)); +#4908=VERTEX_POINT('',#4907); +#4909=CARTESIAN_POINT('',(4.25E0,-3.2E0,7.5E-1)); +#4910=VERTEX_POINT('',#4909); +#4911=CARTESIAN_POINT('',(4.25E0,2.3E0,-7.5E-1)); +#4912=CARTESIAN_POINT('',(3.75E0,2.3E0,-7.5E-1)); +#4913=VERTEX_POINT('',#4911); +#4914=VERTEX_POINT('',#4912); +#4915=CARTESIAN_POINT('',(4.1E0,2.9E0,-9.E-1)); +#4916=CARTESIAN_POINT('',(3.9E0,2.9E0,-9.E-1)); +#4917=VERTEX_POINT('',#4915); +#4918=VERTEX_POINT('',#4916); +#4919=CARTESIAN_POINT('',(4.1E0,2.9E0,-1.1E0)); +#4920=VERTEX_POINT('',#4919); +#4921=CARTESIAN_POINT('',(4.25E0,2.3E0,-1.25E0)); +#4922=VERTEX_POINT('',#4921); +#4923=CARTESIAN_POINT('',(3.75E0,2.3E0,-1.25E0)); +#4924=VERTEX_POINT('',#4923); +#4925=CARTESIAN_POINT('',(3.9E0,2.9E0,-1.1E0)); +#4926=VERTEX_POINT('',#4925); +#4927=CARTESIAN_POINT('',(4.25E0,2.3E0,1.25E0)); +#4928=CARTESIAN_POINT('',(3.75E0,2.3E0,1.25E0)); +#4929=VERTEX_POINT('',#4927); +#4930=VERTEX_POINT('',#4928); +#4931=CARTESIAN_POINT('',(4.1E0,2.9E0,1.1E0)); +#4932=CARTESIAN_POINT('',(3.9E0,2.9E0,1.1E0)); +#4933=VERTEX_POINT('',#4931); +#4934=VERTEX_POINT('',#4932); +#4935=CARTESIAN_POINT('',(4.1E0,2.9E0,9.E-1)); +#4936=VERTEX_POINT('',#4935); +#4937=CARTESIAN_POINT('',(4.25E0,2.3E0,7.5E-1)); +#4938=VERTEX_POINT('',#4937); +#4939=CARTESIAN_POINT('',(3.75E0,2.3E0,7.5E-1)); +#4940=VERTEX_POINT('',#4939); +#4941=CARTESIAN_POINT('',(3.9E0,2.9E0,9.E-1)); +#4942=VERTEX_POINT('',#4941); +#4943=CARTESIAN_POINT('',(4.25E0,-4.4E0,2.05E0)); +#4944=CARTESIAN_POINT('',(3.75E0,-4.4E0,2.05E0)); +#4945=VERTEX_POINT('',#4943); +#4946=VERTEX_POINT('',#4944); +#4947=CARTESIAN_POINT('',(4.1E0,-4.25E0,2.65E0)); +#4948=CARTESIAN_POINT('',(3.9E0,-4.25E0,2.65E0)); +#4949=VERTEX_POINT('',#4947); +#4950=VERTEX_POINT('',#4948); +#4951=CARTESIAN_POINT('',(4.1E0,-4.05E0,2.65E0)); +#4952=VERTEX_POINT('',#4951); +#4953=CARTESIAN_POINT('',(4.25E0,-3.9E0,2.05E0)); +#4954=VERTEX_POINT('',#4953); +#4955=CARTESIAN_POINT('',(3.75E0,-3.9E0,2.05E0)); +#4956=VERTEX_POINT('',#4955); +#4957=CARTESIAN_POINT('',(3.9E0,-4.05E0,2.65E0)); +#4958=VERTEX_POINT('',#4957); +#4959=CARTESIAN_POINT('',(4.25E0,-3.9E0,-2.05E0)); +#4960=CARTESIAN_POINT('',(3.75E0,-3.9E0,-2.05E0)); +#4961=VERTEX_POINT('',#4959); +#4962=VERTEX_POINT('',#4960); +#4963=CARTESIAN_POINT('',(4.1E0,-4.05E0,-2.65E0)); +#4964=CARTESIAN_POINT('',(3.9E0,-4.05E0,-2.65E0)); +#4965=VERTEX_POINT('',#4963); +#4966=VERTEX_POINT('',#4964); +#4967=CARTESIAN_POINT('',(4.1E0,-4.25E0,-2.65E0)); +#4968=VERTEX_POINT('',#4967); +#4969=CARTESIAN_POINT('',(4.25E0,-4.4E0,-2.05E0)); +#4970=VERTEX_POINT('',#4969); +#4971=CARTESIAN_POINT('',(3.75E0,-4.4E0,-2.05E0)); +#4972=VERTEX_POINT('',#4971); +#4973=CARTESIAN_POINT('',(3.9E0,-4.25E0,-2.65E0)); +#4974=VERTEX_POINT('',#4973); +#4975=CARTESIAN_POINT('',(5.75E0,-3.7E0,-7.5E-1)); +#4976=CARTESIAN_POINT('',(5.75E0,-4.4E0,-1.45E0)); +#4977=VERTEX_POINT('',#4975); +#4978=VERTEX_POINT('',#4976); +#4979=CARTESIAN_POINT('',(5.75E0,-3.9E0,-1.45E0)); +#4980=CARTESIAN_POINT('',(5.75E0,-3.7E0,-1.25E0)); +#4981=VERTEX_POINT('',#4979); +#4982=VERTEX_POINT('',#4980); +#4983=CARTESIAN_POINT('',(5.75E0,-3.7E0,1.25E0)); +#4984=CARTESIAN_POINT('',(5.75E0,-3.9E0,1.45E0)); +#4985=VERTEX_POINT('',#4983); +#4986=VERTEX_POINT('',#4984); +#4987=CARTESIAN_POINT('',(5.75E0,-4.4E0,1.45E0)); +#4988=CARTESIAN_POINT('',(5.75E0,-3.7E0,7.5E-1)); +#4989=VERTEX_POINT('',#4987); +#4990=VERTEX_POINT('',#4988); +#4991=CARTESIAN_POINT('',(6.25E0,-3.7E0,-7.5E-1)); +#4992=CARTESIAN_POINT('',(6.25E0,-4.4E0,-1.45E0)); +#4993=VERTEX_POINT('',#4991); +#4994=VERTEX_POINT('',#4992); +#4995=CARTESIAN_POINT('',(6.25E0,-3.9E0,-1.45E0)); +#4996=CARTESIAN_POINT('',(6.25E0,-3.7E0,-1.25E0)); +#4997=VERTEX_POINT('',#4995); +#4998=VERTEX_POINT('',#4996); +#4999=CARTESIAN_POINT('',(6.25E0,-3.7E0,1.25E0)); +#5000=CARTESIAN_POINT('',(6.25E0,-3.9E0,1.45E0)); +#5001=VERTEX_POINT('',#4999); +#5002=VERTEX_POINT('',#5000); +#5003=CARTESIAN_POINT('',(6.25E0,-4.4E0,1.45E0)); +#5004=CARTESIAN_POINT('',(6.25E0,-3.7E0,7.5E-1)); +#5005=VERTEX_POINT('',#5003); +#5006=VERTEX_POINT('',#5004); +#5007=CARTESIAN_POINT('',(5.75E0,-7.E-1,1.25E0)); +#5008=CARTESIAN_POINT('',(5.75E0,-7.E-1,7.5E-1)); +#5009=VERTEX_POINT('',#5007); +#5010=VERTEX_POINT('',#5008); +#5011=CARTESIAN_POINT('',(5.75E0,-7.E-1,-7.5E-1)); +#5012=CARTESIAN_POINT('',(5.75E0,-7.E-1,-1.25E0)); +#5013=VERTEX_POINT('',#5011); +#5014=VERTEX_POINT('',#5012); +#5015=CARTESIAN_POINT('',(6.25E0,-7.E-1,1.25E0)); +#5016=CARTESIAN_POINT('',(6.25E0,-7.E-1,7.5E-1)); +#5017=VERTEX_POINT('',#5015); +#5018=VERTEX_POINT('',#5016); +#5019=CARTESIAN_POINT('',(6.25E0,-7.E-1,-7.5E-1)); +#5020=CARTESIAN_POINT('',(6.25E0,-7.E-1,-1.25E0)); +#5021=VERTEX_POINT('',#5019); +#5022=VERTEX_POINT('',#5020); +#5023=CARTESIAN_POINT('',(5.75E0,-3.2E0,-1.25E0)); +#5024=VERTEX_POINT('',#5023); +#5025=CARTESIAN_POINT('',(5.75E0,-3.2E0,-7.5E-1)); +#5026=VERTEX_POINT('',#5025); +#5027=CARTESIAN_POINT('',(5.75E0,-3.2E0,1.25E0)); +#5028=VERTEX_POINT('',#5027); +#5029=CARTESIAN_POINT('',(5.75E0,-3.2E0,7.5E-1)); +#5030=VERTEX_POINT('',#5029); +#5031=CARTESIAN_POINT('',(6.25E0,-3.2E0,-1.25E0)); +#5032=VERTEX_POINT('',#5031); +#5033=CARTESIAN_POINT('',(6.25E0,-3.2E0,-7.5E-1)); +#5034=VERTEX_POINT('',#5033); +#5035=CARTESIAN_POINT('',(6.25E0,-3.2E0,1.25E0)); +#5036=VERTEX_POINT('',#5035); +#5037=CARTESIAN_POINT('',(6.25E0,-3.2E0,7.5E-1)); +#5038=VERTEX_POINT('',#5037); +#5039=CARTESIAN_POINT('',(6.25E0,2.3E0,-7.5E-1)); +#5040=CARTESIAN_POINT('',(5.75E0,2.3E0,-7.5E-1)); +#5041=VERTEX_POINT('',#5039); +#5042=VERTEX_POINT('',#5040); +#5043=CARTESIAN_POINT('',(6.1E0,2.9E0,-9.E-1)); +#5044=CARTESIAN_POINT('',(5.9E0,2.9E0,-9.E-1)); +#5045=VERTEX_POINT('',#5043); +#5046=VERTEX_POINT('',#5044); +#5047=CARTESIAN_POINT('',(6.1E0,2.9E0,-1.1E0)); +#5048=VERTEX_POINT('',#5047); +#5049=CARTESIAN_POINT('',(6.25E0,2.3E0,-1.25E0)); +#5050=VERTEX_POINT('',#5049); +#5051=CARTESIAN_POINT('',(5.75E0,2.3E0,-1.25E0)); +#5052=VERTEX_POINT('',#5051); +#5053=CARTESIAN_POINT('',(5.9E0,2.9E0,-1.1E0)); +#5054=VERTEX_POINT('',#5053); +#5055=CARTESIAN_POINT('',(6.25E0,2.3E0,1.25E0)); +#5056=CARTESIAN_POINT('',(5.75E0,2.3E0,1.25E0)); +#5057=VERTEX_POINT('',#5055); +#5058=VERTEX_POINT('',#5056); +#5059=CARTESIAN_POINT('',(6.1E0,2.9E0,1.1E0)); +#5060=CARTESIAN_POINT('',(5.9E0,2.9E0,1.1E0)); +#5061=VERTEX_POINT('',#5059); +#5062=VERTEX_POINT('',#5060); +#5063=CARTESIAN_POINT('',(6.1E0,2.9E0,9.E-1)); +#5064=VERTEX_POINT('',#5063); +#5065=CARTESIAN_POINT('',(6.25E0,2.3E0,7.5E-1)); +#5066=VERTEX_POINT('',#5065); +#5067=CARTESIAN_POINT('',(5.75E0,2.3E0,7.5E-1)); +#5068=VERTEX_POINT('',#5067); +#5069=CARTESIAN_POINT('',(5.9E0,2.9E0,9.E-1)); +#5070=VERTEX_POINT('',#5069); +#5071=CARTESIAN_POINT('',(6.25E0,-4.4E0,2.05E0)); +#5072=CARTESIAN_POINT('',(5.75E0,-4.4E0,2.05E0)); +#5073=VERTEX_POINT('',#5071); +#5074=VERTEX_POINT('',#5072); +#5075=CARTESIAN_POINT('',(6.1E0,-4.25E0,2.65E0)); +#5076=CARTESIAN_POINT('',(5.9E0,-4.25E0,2.65E0)); +#5077=VERTEX_POINT('',#5075); +#5078=VERTEX_POINT('',#5076); +#5079=CARTESIAN_POINT('',(6.1E0,-4.05E0,2.65E0)); +#5080=VERTEX_POINT('',#5079); +#5081=CARTESIAN_POINT('',(6.25E0,-3.9E0,2.05E0)); +#5082=VERTEX_POINT('',#5081); +#5083=CARTESIAN_POINT('',(5.75E0,-3.9E0,2.05E0)); +#5084=VERTEX_POINT('',#5083); +#5085=CARTESIAN_POINT('',(5.9E0,-4.05E0,2.65E0)); +#5086=VERTEX_POINT('',#5085); +#5087=CARTESIAN_POINT('',(6.25E0,-3.9E0,-2.05E0)); +#5088=CARTESIAN_POINT('',(5.75E0,-3.9E0,-2.05E0)); +#5089=VERTEX_POINT('',#5087); +#5090=VERTEX_POINT('',#5088); +#5091=CARTESIAN_POINT('',(6.1E0,-4.05E0,-2.65E0)); +#5092=CARTESIAN_POINT('',(5.9E0,-4.05E0,-2.65E0)); +#5093=VERTEX_POINT('',#5091); +#5094=VERTEX_POINT('',#5092); +#5095=CARTESIAN_POINT('',(6.1E0,-4.25E0,-2.65E0)); +#5096=VERTEX_POINT('',#5095); +#5097=CARTESIAN_POINT('',(6.25E0,-4.4E0,-2.05E0)); +#5098=VERTEX_POINT('',#5097); +#5099=CARTESIAN_POINT('',(5.75E0,-4.4E0,-2.05E0)); +#5100=VERTEX_POINT('',#5099); +#5101=CARTESIAN_POINT('',(5.9E0,-4.25E0,-2.65E0)); +#5102=VERTEX_POINT('',#5101); +#5103=CARTESIAN_POINT('',(5.6125E0,-7.E-1,2.25E0)); +#5104=CARTESIAN_POINT('',(5.65E0,-1.5E0,2.25E0)); +#5105=VERTEX_POINT('',#5103); +#5106=VERTEX_POINT('',#5104); +#5107=CARTESIAN_POINT('',(2.35E0,-1.5E0,2.25E0)); +#5108=CARTESIAN_POINT('',(2.3875E0,-7.E-1,2.25E0)); +#5109=VERTEX_POINT('',#5107); +#5110=VERTEX_POINT('',#5108); +#5111=CARTESIAN_POINT('',(5.5E0,1.7E0,3.15E0)); +#5112=CARTESIAN_POINT('',(5.5E0,1.7E0,2.5E0)); +#5113=VERTEX_POINT('',#5111); +#5114=VERTEX_POINT('',#5112); +#5115=CARTESIAN_POINT('',(2.5E0,1.7E0,3.15E0)); +#5116=CARTESIAN_POINT('',(2.5E0,1.7E0,2.5E0)); +#5117=VERTEX_POINT('',#5115); +#5118=VERTEX_POINT('',#5116); +#5119=CARTESIAN_POINT('',(3.E0,1.7E0,3.15E0)); +#5120=CARTESIAN_POINT('',(3.E0,1.7E0,2.5E0)); +#5121=VERTEX_POINT('',#5119); +#5122=VERTEX_POINT('',#5120); +#5123=CARTESIAN_POINT('',(3.E0,5.E-1,3.15E0)); +#5124=CARTESIAN_POINT('',(3.E0,5.E-1,2.5E0)); +#5125=VERTEX_POINT('',#5123); +#5126=VERTEX_POINT('',#5124); +#5127=CARTESIAN_POINT('',(5.E0,5.E-1,3.15E0)); +#5128=CARTESIAN_POINT('',(5.E0,5.E-1,2.5E0)); +#5129=VERTEX_POINT('',#5127); +#5130=VERTEX_POINT('',#5128); +#5131=CARTESIAN_POINT('',(5.E0,1.7E0,3.15E0)); +#5132=CARTESIAN_POINT('',(5.E0,1.7E0,2.5E0)); +#5133=VERTEX_POINT('',#5131); +#5134=VERTEX_POINT('',#5132); +#5135=CARTESIAN_POINT('',(5.65E0,-1.5E0,3.15E0)); +#5136=VERTEX_POINT('',#5135); +#5137=CARTESIAN_POINT('',(2.35E0,-1.5E0,3.15E0)); +#5138=VERTEX_POINT('',#5137); +#5139=CARTESIAN_POINT('',(5.6125E0,-7.E-1,2.5E0)); +#5140=VERTEX_POINT('',#5139); +#5141=CARTESIAN_POINT('',(2.3875E0,-7.E-1,2.5E0)); +#5142=VERTEX_POINT('',#5141); +#5143=CARTESIAN_POINT('',(-1.2E0,-7.E-1,3.15E0)); +#5144=CARTESIAN_POINT('',(1.2E0,-7.E-1,3.15E0)); +#5145=VERTEX_POINT('',#5143); +#5146=VERTEX_POINT('',#5144); +#5147=CARTESIAN_POINT('',(-1.2E0,-7.E-1,2.5E0)); +#5148=VERTEX_POINT('',#5147); +#5149=CARTESIAN_POINT('',(1.2E0,-7.E-1,2.5E0)); +#5150=VERTEX_POINT('',#5149); +#5151=VERTEX_POINT('',#1043); +#5152=VERTEX_POINT('',#1048); +#5153=CARTESIAN_POINT('',(-1.2E0,2.4E0,3.15E0)); +#5154=CARTESIAN_POINT('',(-1.2E0,2.4E0,2.5E0)); +#5155=VERTEX_POINT('',#5153); +#5156=VERTEX_POINT('',#5154); +#5157=CARTESIAN_POINT('',(-2.E0,3.2E0,3.15E0)); +#5158=VERTEX_POINT('',#5157); +#5159=CARTESIAN_POINT('',(2.E0,3.2E0,3.15E0)); +#5160=CARTESIAN_POINT('',(1.2E0,2.4E0,3.15E0)); +#5161=VERTEX_POINT('',#5159); +#5162=VERTEX_POINT('',#5160); +#5163=CARTESIAN_POINT('',(1.2E0,2.4E0,2.5E0)); +#5164=VERTEX_POINT('',#5163); +#5165=VERTEX_POINT('',#1062); +#5166=VERTEX_POINT('',#1067); +#5167=CARTESIAN_POINT('',(7.025E0,2.85E0,2.5E0)); +#5168=VERTEX_POINT('',#5167); +#5169=CARTESIAN_POINT('',(7.025E0,-7.E-1,2.5E0)); +#5170=VERTEX_POINT('',#5169); +#5171=CARTESIAN_POINT('',(-6.395E0,2.6E0,-2.25E0)); +#5172=CARTESIAN_POINT('',(-5.595E0,2.6E0,-2.25E0)); +#5173=VERTEX_POINT('',#5171); +#5174=VERTEX_POINT('',#5172); +#5175=CARTESIAN_POINT('',(5.595E0,2.6E0,-2.25E0)); +#5176=CARTESIAN_POINT('',(6.395E0,2.6E0,-2.25E0)); +#5177=VERTEX_POINT('',#5175); +#5178=VERTEX_POINT('',#5176); +#5179=CARTESIAN_POINT('',(-6.395E0,-7.E-1,-2.5E0)); +#5180=CARTESIAN_POINT('',(-6.395E0,-7.E-1,-2.25E0)); +#5181=VERTEX_POINT('',#5179); +#5182=VERTEX_POINT('',#5180); +#5183=CARTESIAN_POINT('',(-5.595E0,-7.E-1,-2.25E0)); +#5184=VERTEX_POINT('',#5183); +#5185=CARTESIAN_POINT('',(-5.595E0,-7.E-1,-2.5E0)); +#5186=VERTEX_POINT('',#5185); +#5187=CARTESIAN_POINT('',(5.595E0,-7.E-1,-2.5E0)); +#5188=CARTESIAN_POINT('',(5.595E0,-7.E-1,-2.25E0)); +#5189=VERTEX_POINT('',#5187); +#5190=VERTEX_POINT('',#5188); +#5191=CARTESIAN_POINT('',(6.395E0,-7.E-1,-2.25E0)); +#5192=VERTEX_POINT('',#5191); +#5193=CARTESIAN_POINT('',(6.395E0,-7.E-1,-2.5E0)); +#5194=VERTEX_POINT('',#5193); +#5195=CARTESIAN_POINT('',(-6.395E0,2.85E0,-2.5E0)); +#5196=VERTEX_POINT('',#5195); +#5197=CARTESIAN_POINT('',(-5.595E0,2.85E0,-2.5E0)); +#5198=VERTEX_POINT('',#5197); +#5199=CARTESIAN_POINT('',(5.595E0,2.85E0,-2.5E0)); +#5200=VERTEX_POINT('',#5199); +#5201=CARTESIAN_POINT('',(6.395E0,2.85E0,-2.5E0)); +#5202=VERTEX_POINT('',#5201); +#5203=CARTESIAN_POINT('',(-4.E-1,2.6E0,-2.25E0)); +#5204=CARTESIAN_POINT('',(4.E-1,2.6E0,-2.25E0)); +#5205=VERTEX_POINT('',#5203); +#5206=VERTEX_POINT('',#5204); +#5207=CARTESIAN_POINT('',(-4.E-1,-7.E-1,-2.5E0)); +#5208=CARTESIAN_POINT('',(-4.E-1,-7.E-1,-2.25E0)); +#5209=VERTEX_POINT('',#5207); +#5210=VERTEX_POINT('',#5208); +#5211=CARTESIAN_POINT('',(4.E-1,-7.E-1,-2.25E0)); +#5212=VERTEX_POINT('',#5211); +#5213=CARTESIAN_POINT('',(4.E-1,-7.E-1,-2.5E0)); +#5214=VERTEX_POINT('',#5213); +#5215=CARTESIAN_POINT('',(-4.E-1,2.85E0,-2.5E0)); +#5216=VERTEX_POINT('',#5215); +#5217=CARTESIAN_POINT('',(4.E-1,2.85E0,-2.5E0)); +#5218=VERTEX_POINT('',#5217); +#5219=CARTESIAN_POINT('',(0.E0,0.E0,-3.15E0)); +#5220=DIRECTION('',(0.E0,0.E0,1.E0)); +#5221=DIRECTION('',(1.E0,0.E0,0.E0)); +#5222=AXIS2_PLACEMENT_3D('',#5219,#5220,#5221); +#5223=PLANE('',#5222); +#5225=ORIENTED_EDGE('',*,*,#5224,.T.); +#5227=ORIENTED_EDGE('',*,*,#5226,.T.); +#5229=ORIENTED_EDGE('',*,*,#5228,.T.); +#5231=ORIENTED_EDGE('',*,*,#5230,.T.); +#5232=EDGE_LOOP('',(#5225,#5227,#5229,#5231)); +#5233=FACE_OUTER_BOUND('',#5232,.F.); +#5234=ADVANCED_FACE('',(#5233),#5223,.F.); +#5235=CARTESIAN_POINT('',(-8.325E0,3.2E0,-3.15E0)); +#5236=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5237=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5238=AXIS2_PLACEMENT_3D('',#5235,#5236,#5237); +#5239=PLANE('',#5238); +#5241=ORIENTED_EDGE('',*,*,#5240,.F.); +#5243=ORIENTED_EDGE('',*,*,#5242,.T.); +#5245=ORIENTED_EDGE('',*,*,#5244,.F.); +#5247=ORIENTED_EDGE('',*,*,#5246,.F.); +#5249=ORIENTED_EDGE('',*,*,#5248,.F.); +#5251=ORIENTED_EDGE('',*,*,#5250,.T.); +#5253=ORIENTED_EDGE('',*,*,#5252,.T.); +#5255=ORIENTED_EDGE('',*,*,#5254,.F.); +#5256=ORIENTED_EDGE('',*,*,#5224,.F.); +#5258=ORIENTED_EDGE('',*,*,#5257,.T.); +#5259=EDGE_LOOP('',(#5241,#5243,#5245,#5247,#5249,#5251,#5253,#5255,#5256, +#5258)); +#5260=FACE_OUTER_BOUND('',#5259,.F.); +#5261=ADVANCED_FACE('',(#5260),#5239,.T.); +#5262=CARTESIAN_POINT('',(-7.875E0,2.9E0,-4.5E-1)); +#5263=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#5264=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#5265=AXIS2_PLACEMENT_3D('',#5262,#5263,#5264); +#5266=PLANE('',#5265); +#5267=ORIENTED_EDGE('',*,*,#5240,.T.); +#5269=ORIENTED_EDGE('',*,*,#5268,.T.); +#5271=ORIENTED_EDGE('',*,*,#5270,.F.); +#5273=ORIENTED_EDGE('',*,*,#5272,.F.); +#5274=EDGE_LOOP('',(#5267,#5269,#5271,#5273)); +#5275=FACE_OUTER_BOUND('',#5274,.F.); +#5276=ADVANCED_FACE('',(#5275),#5266,.T.); +#5277=CARTESIAN_POINT('',(8.325E0,3.2E0,-3.15E0)); +#5278=DIRECTION('',(0.E0,1.E0,0.E0)); +#5279=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5280=AXIS2_PLACEMENT_3D('',#5277,#5278,#5279); +#5281=PLANE('',#5280); +#5282=ORIENTED_EDGE('',*,*,#5268,.F.); +#5283=ORIENTED_EDGE('',*,*,#5257,.F.); +#5284=ORIENTED_EDGE('',*,*,#5230,.F.); +#5286=ORIENTED_EDGE('',*,*,#5285,.T.); +#5288=ORIENTED_EDGE('',*,*,#5287,.F.); +#5290=ORIENTED_EDGE('',*,*,#5289,.F.); +#5292=ORIENTED_EDGE('',*,*,#5291,.F.); +#5294=ORIENTED_EDGE('',*,*,#5293,.F.); +#5295=EDGE_LOOP('',(#5282,#5283,#5284,#5286,#5288,#5290,#5292,#5294)); +#5296=FACE_OUTER_BOUND('',#5295,.F.); +#5297=ADVANCED_FACE('',(#5296),#5281,.T.); +#5298=CARTESIAN_POINT('',(8.325E0,3.2E0,-3.15E0)); +#5299=DIRECTION('',(0.E0,1.E0,0.E0)); +#5300=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5301=AXIS2_PLACEMENT_3D('',#5298,#5299,#5300); +#5302=PLANE('',#5301); +#5304=ORIENTED_EDGE('',*,*,#5303,.F.); +#5306=ORIENTED_EDGE('',*,*,#5305,.F.); +#5308=ORIENTED_EDGE('',*,*,#5307,.F.); +#5310=ORIENTED_EDGE('',*,*,#5309,.F.); +#5312=ORIENTED_EDGE('',*,*,#5311,.T.); +#5314=ORIENTED_EDGE('',*,*,#5313,.T.); +#5315=EDGE_LOOP('',(#5304,#5306,#5308,#5310,#5312,#5314)); +#5316=FACE_OUTER_BOUND('',#5315,.F.); +#5317=ADVANCED_FACE('',(#5316),#5302,.T.); +#5318=CARTESIAN_POINT('',(8.325E0,3.2E0,-3.15E0)); +#5319=DIRECTION('',(0.E0,1.E0,0.E0)); +#5320=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5321=AXIS2_PLACEMENT_3D('',#5318,#5319,#5320); +#5322=PLANE('',#5321); +#5324=ORIENTED_EDGE('',*,*,#5323,.F.); +#5326=ORIENTED_EDGE('',*,*,#5325,.T.); +#5327=ORIENTED_EDGE('',*,*,#5250,.F.); +#5329=ORIENTED_EDGE('',*,*,#5328,.F.); +#5331=ORIENTED_EDGE('',*,*,#5330,.F.); +#5333=ORIENTED_EDGE('',*,*,#5332,.F.); +#5335=ORIENTED_EDGE('',*,*,#5334,.F.); +#5337=ORIENTED_EDGE('',*,*,#5336,.T.); +#5339=ORIENTED_EDGE('',*,*,#5338,.T.); +#5341=ORIENTED_EDGE('',*,*,#5340,.F.); +#5342=EDGE_LOOP('',(#5324,#5326,#5327,#5329,#5331,#5333,#5335,#5337,#5339, +#5341)); +#5343=FACE_OUTER_BOUND('',#5342,.F.); +#5344=ADVANCED_FACE('',(#5343),#5322,.T.); +#5345=CARTESIAN_POINT('',(8.325E0,-3.2E0,-3.15E0)); +#5346=DIRECTION('',(1.E0,0.E0,0.E0)); +#5347=DIRECTION('',(0.E0,1.E0,0.E0)); +#5348=AXIS2_PLACEMENT_3D('',#5345,#5346,#5347); +#5349=PLANE('',#5348); +#5351=ORIENTED_EDGE('',*,*,#5350,.F.); +#5352=ORIENTED_EDGE('',*,*,#5285,.F.); +#5353=ORIENTED_EDGE('',*,*,#5228,.F.); +#5355=ORIENTED_EDGE('',*,*,#5354,.T.); +#5357=ORIENTED_EDGE('',*,*,#5356,.T.); +#5358=ORIENTED_EDGE('',*,*,#5311,.F.); +#5360=ORIENTED_EDGE('',*,*,#5359,.F.); +#5362=ORIENTED_EDGE('',*,*,#5361,.T.); +#5364=ORIENTED_EDGE('',*,*,#5363,.T.); +#5366=ORIENTED_EDGE('',*,*,#5365,.F.); +#5367=EDGE_LOOP('',(#5351,#5352,#5353,#5355,#5357,#5358,#5360,#5362,#5364, +#5366)); +#5368=FACE_OUTER_BOUND('',#5367,.F.); +#5369=ADVANCED_FACE('',(#5368),#5349,.T.); +#5370=CARTESIAN_POINT('',(7.875E0,2.9E0,-1.45E0)); +#5371=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#5372=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#5373=AXIS2_PLACEMENT_3D('',#5370,#5371,#5372); +#5374=PLANE('',#5373); +#5375=ORIENTED_EDGE('',*,*,#5350,.T.); +#5377=ORIENTED_EDGE('',*,*,#5376,.F.); +#5379=ORIENTED_EDGE('',*,*,#5378,.T.); +#5380=ORIENTED_EDGE('',*,*,#5287,.T.); +#5381=EDGE_LOOP('',(#5375,#5377,#5379,#5380)); +#5382=FACE_OUTER_BOUND('',#5381,.F.); +#5383=ADVANCED_FACE('',(#5382),#5374,.T.); +#5384=CARTESIAN_POINT('',(8.325E0,3.2E0,-1.15E0)); +#5385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5386=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5387=AXIS2_PLACEMENT_3D('',#5384,#5385,#5386); +#5388=PLANE('',#5387); +#5389=ORIENTED_EDGE('',*,*,#5376,.T.); +#5390=ORIENTED_EDGE('',*,*,#5365,.T.); +#5392=ORIENTED_EDGE('',*,*,#5391,.T.); +#5394=ORIENTED_EDGE('',*,*,#5393,.T.); +#5395=EDGE_LOOP('',(#5389,#5390,#5392,#5394)); +#5396=FACE_OUTER_BOUND('',#5395,.F.); +#5397=ADVANCED_FACE('',(#5396),#5388,.F.); +#5398=CARTESIAN_POINT('',(-7.425E0,-7.E-1,2.25E0)); +#5399=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5401=AXIS2_PLACEMENT_3D('',#5398,#5399,#5400); +#5402=PLANE('',#5401); +#5404=ORIENTED_EDGE('',*,*,#5403,.F.); +#5406=ORIENTED_EDGE('',*,*,#5405,.F.); +#5408=ORIENTED_EDGE('',*,*,#5407,.F.); +#5410=ORIENTED_EDGE('',*,*,#5409,.T.); +#5412=ORIENTED_EDGE('',*,*,#5411,.F.); +#5414=ORIENTED_EDGE('',*,*,#5413,.T.); +#5416=ORIENTED_EDGE('',*,*,#5415,.F.); +#5418=ORIENTED_EDGE('',*,*,#5417,.F.); +#5420=ORIENTED_EDGE('',*,*,#5419,.F.); +#5422=ORIENTED_EDGE('',*,*,#5421,.F.); +#5424=ORIENTED_EDGE('',*,*,#5423,.T.); +#5426=ORIENTED_EDGE('',*,*,#5425,.F.); +#5428=ORIENTED_EDGE('',*,*,#5427,.F.); +#5429=ORIENTED_EDGE('',*,*,#5244,.T.); +#5431=ORIENTED_EDGE('',*,*,#5430,.F.); +#5433=ORIENTED_EDGE('',*,*,#5432,.F.); +#5435=ORIENTED_EDGE('',*,*,#5434,.F.); +#5437=ORIENTED_EDGE('',*,*,#5436,.F.); +#5439=ORIENTED_EDGE('',*,*,#5438,.F.); +#5441=ORIENTED_EDGE('',*,*,#5440,.T.); +#5443=ORIENTED_EDGE('',*,*,#5442,.T.); +#5445=ORIENTED_EDGE('',*,*,#5444,.F.); +#5447=ORIENTED_EDGE('',*,*,#5446,.F.); +#5449=ORIENTED_EDGE('',*,*,#5448,.T.); +#5451=ORIENTED_EDGE('',*,*,#5450,.T.); +#5453=ORIENTED_EDGE('',*,*,#5452,.F.); +#5455=ORIENTED_EDGE('',*,*,#5454,.F.); +#5457=ORIENTED_EDGE('',*,*,#5456,.T.); +#5459=ORIENTED_EDGE('',*,*,#5458,.T.); +#5461=ORIENTED_EDGE('',*,*,#5460,.F.); +#5463=ORIENTED_EDGE('',*,*,#5462,.F.); +#5465=ORIENTED_EDGE('',*,*,#5464,.F.); +#5467=ORIENTED_EDGE('',*,*,#5466,.F.); +#5469=ORIENTED_EDGE('',*,*,#5468,.T.); +#5470=ORIENTED_EDGE('',*,*,#5391,.F.); +#5471=ORIENTED_EDGE('',*,*,#5363,.F.); +#5473=ORIENTED_EDGE('',*,*,#5472,.F.); +#5475=ORIENTED_EDGE('',*,*,#5474,.T.); +#5477=ORIENTED_EDGE('',*,*,#5476,.T.); +#5479=ORIENTED_EDGE('',*,*,#5478,.F.); +#5481=ORIENTED_EDGE('',*,*,#5480,.F.); +#5483=ORIENTED_EDGE('',*,*,#5482,.T.); +#5485=ORIENTED_EDGE('',*,*,#5484,.T.); +#5487=ORIENTED_EDGE('',*,*,#5486,.F.); +#5488=EDGE_LOOP('',(#5404,#5406,#5408,#5410,#5412,#5414,#5416,#5418,#5420,#5422, +#5424,#5426,#5428,#5429,#5431,#5433,#5435,#5437,#5439,#5441,#5443,#5445,#5447, +#5449,#5451,#5453,#5455,#5457,#5459,#5461,#5463,#5465,#5467,#5469,#5470,#5471, +#5473,#5475,#5477,#5479,#5481,#5483,#5485,#5487)); +#5489=FACE_OUTER_BOUND('',#5488,.F.); +#5491=ORIENTED_EDGE('',*,*,#5490,.F.); +#5493=ORIENTED_EDGE('',*,*,#5492,.T.); +#5495=ORIENTED_EDGE('',*,*,#5494,.T.); +#5497=ORIENTED_EDGE('',*,*,#5496,.F.); +#5498=EDGE_LOOP('',(#5491,#5493,#5495,#5497)); +#5499=FACE_BOUND('',#5498,.F.); +#5501=ORIENTED_EDGE('',*,*,#5500,.F.); +#5503=ORIENTED_EDGE('',*,*,#5502,.T.); +#5505=ORIENTED_EDGE('',*,*,#5504,.T.); +#5507=ORIENTED_EDGE('',*,*,#5506,.F.); +#5508=EDGE_LOOP('',(#5501,#5503,#5505,#5507)); +#5509=FACE_BOUND('',#5508,.F.); +#5511=ORIENTED_EDGE('',*,*,#5510,.F.); +#5513=ORIENTED_EDGE('',*,*,#5512,.T.); +#5515=ORIENTED_EDGE('',*,*,#5514,.T.); +#5517=ORIENTED_EDGE('',*,*,#5516,.F.); +#5518=EDGE_LOOP('',(#5511,#5513,#5515,#5517)); +#5519=FACE_BOUND('',#5518,.F.); +#5521=ORIENTED_EDGE('',*,*,#5520,.F.); +#5523=ORIENTED_EDGE('',*,*,#5522,.T.); +#5525=ORIENTED_EDGE('',*,*,#5524,.T.); +#5527=ORIENTED_EDGE('',*,*,#5526,.F.); +#5528=EDGE_LOOP('',(#5521,#5523,#5525,#5527)); +#5529=FACE_BOUND('',#5528,.F.); +#5531=ORIENTED_EDGE('',*,*,#5530,.F.); +#5533=ORIENTED_EDGE('',*,*,#5532,.T.); +#5535=ORIENTED_EDGE('',*,*,#5534,.T.); +#5537=ORIENTED_EDGE('',*,*,#5536,.F.); +#5538=EDGE_LOOP('',(#5531,#5533,#5535,#5537)); +#5539=FACE_BOUND('',#5538,.F.); +#5541=ORIENTED_EDGE('',*,*,#5540,.F.); +#5543=ORIENTED_EDGE('',*,*,#5542,.T.); +#5545=ORIENTED_EDGE('',*,*,#5544,.T.); +#5547=ORIENTED_EDGE('',*,*,#5546,.F.); +#5548=EDGE_LOOP('',(#5541,#5543,#5545,#5547)); +#5549=FACE_BOUND('',#5548,.F.); +#5551=ORIENTED_EDGE('',*,*,#5550,.F.); +#5553=ORIENTED_EDGE('',*,*,#5552,.T.); +#5555=ORIENTED_EDGE('',*,*,#5554,.T.); +#5557=ORIENTED_EDGE('',*,*,#5556,.F.); +#5558=EDGE_LOOP('',(#5551,#5553,#5555,#5557)); +#5559=FACE_BOUND('',#5558,.F.); +#5561=ORIENTED_EDGE('',*,*,#5560,.F.); +#5563=ORIENTED_EDGE('',*,*,#5562,.T.); +#5565=ORIENTED_EDGE('',*,*,#5564,.T.); +#5567=ORIENTED_EDGE('',*,*,#5566,.F.); +#5568=EDGE_LOOP('',(#5561,#5563,#5565,#5567)); +#5569=FACE_BOUND('',#5568,.F.); +#5571=ORIENTED_EDGE('',*,*,#5570,.F.); +#5573=ORIENTED_EDGE('',*,*,#5572,.T.); +#5575=ORIENTED_EDGE('',*,*,#5574,.T.); +#5577=ORIENTED_EDGE('',*,*,#5576,.F.); +#5578=EDGE_LOOP('',(#5571,#5573,#5575,#5577)); +#5579=FACE_BOUND('',#5578,.F.); +#5581=ORIENTED_EDGE('',*,*,#5580,.F.); +#5583=ORIENTED_EDGE('',*,*,#5582,.T.); +#5585=ORIENTED_EDGE('',*,*,#5584,.T.); +#5587=ORIENTED_EDGE('',*,*,#5586,.F.); +#5588=EDGE_LOOP('',(#5581,#5583,#5585,#5587)); +#5589=FACE_BOUND('',#5588,.F.); +#5591=ORIENTED_EDGE('',*,*,#5590,.F.); +#5593=ORIENTED_EDGE('',*,*,#5592,.T.); +#5595=ORIENTED_EDGE('',*,*,#5594,.T.); +#5597=ORIENTED_EDGE('',*,*,#5596,.F.); +#5598=EDGE_LOOP('',(#5591,#5593,#5595,#5597)); +#5599=FACE_BOUND('',#5598,.F.); +#5601=ORIENTED_EDGE('',*,*,#5600,.F.); +#5603=ORIENTED_EDGE('',*,*,#5602,.T.); +#5605=ORIENTED_EDGE('',*,*,#5604,.T.); +#5607=ORIENTED_EDGE('',*,*,#5606,.F.); +#5608=EDGE_LOOP('',(#5601,#5603,#5605,#5607)); +#5609=FACE_BOUND('',#5608,.F.); +#5611=ORIENTED_EDGE('',*,*,#5610,.F.); +#5613=ORIENTED_EDGE('',*,*,#5612,.T.); +#5615=ORIENTED_EDGE('',*,*,#5614,.T.); +#5617=ORIENTED_EDGE('',*,*,#5616,.F.); +#5618=EDGE_LOOP('',(#5611,#5613,#5615,#5617)); +#5619=FACE_BOUND('',#5618,.F.); +#5621=ORIENTED_EDGE('',*,*,#5620,.F.); +#5623=ORIENTED_EDGE('',*,*,#5622,.T.); +#5625=ORIENTED_EDGE('',*,*,#5624,.T.); +#5627=ORIENTED_EDGE('',*,*,#5626,.F.); +#5628=EDGE_LOOP('',(#5621,#5623,#5625,#5627)); +#5629=FACE_BOUND('',#5628,.F.); +#5630=ADVANCED_FACE('',(#5489,#5499,#5509,#5519,#5529,#5539,#5549,#5559,#5569, +#5579,#5589,#5599,#5609,#5619,#5629),#5402,.F.); +#5631=CARTESIAN_POINT('',(-6.25E0,0.E0,0.E0)); +#5632=DIRECTION('',(1.E0,0.E0,0.E0)); +#5633=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5634=AXIS2_PLACEMENT_3D('',#5631,#5632,#5633); +#5635=PLANE('',#5634); +#5637=ORIENTED_EDGE('',*,*,#5636,.F.); +#5639=ORIENTED_EDGE('',*,*,#5638,.T.); +#5640=ORIENTED_EDGE('',*,*,#5490,.T.); +#5642=ORIENTED_EDGE('',*,*,#5641,.T.); +#5643=EDGE_LOOP('',(#5637,#5639,#5640,#5642)); +#5644=FACE_OUTER_BOUND('',#5643,.F.); +#5645=ADVANCED_FACE('',(#5644),#5635,.F.); +#5646=CARTESIAN_POINT('',(-6.25E0,0.E0,0.E0)); +#5647=DIRECTION('',(1.E0,0.E0,0.E0)); +#5648=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5649=AXIS2_PLACEMENT_3D('',#5646,#5647,#5648); +#5650=PLANE('',#5649); +#5652=ORIENTED_EDGE('',*,*,#5651,.F.); +#5654=ORIENTED_EDGE('',*,*,#5653,.T.); +#5655=ORIENTED_EDGE('',*,*,#5620,.T.); +#5657=ORIENTED_EDGE('',*,*,#5656,.T.); +#5658=EDGE_LOOP('',(#5652,#5654,#5655,#5657)); +#5659=FACE_OUTER_BOUND('',#5658,.F.); +#5660=ADVANCED_FACE('',(#5659),#5650,.F.); +#5661=CARTESIAN_POINT('',(-6.25E0,0.E0,0.E0)); +#5662=DIRECTION('',(1.E0,0.E0,0.E0)); +#5663=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5664=AXIS2_PLACEMENT_3D('',#5661,#5662,#5663); +#5665=PLANE('',#5664); +#5667=ORIENTED_EDGE('',*,*,#5666,.F.); +#5669=ORIENTED_EDGE('',*,*,#5668,.T.); +#5671=ORIENTED_EDGE('',*,*,#5670,.T.); +#5673=ORIENTED_EDGE('',*,*,#5672,.T.); +#5675=ORIENTED_EDGE('',*,*,#5674,.T.); +#5677=ORIENTED_EDGE('',*,*,#5676,.T.); +#5679=ORIENTED_EDGE('',*,*,#5678,.T.); +#5681=ORIENTED_EDGE('',*,*,#5680,.T.); +#5682=EDGE_LOOP('',(#5667,#5669,#5671,#5673,#5675,#5677,#5679,#5681)); +#5683=FACE_OUTER_BOUND('',#5682,.F.); +#5684=ADVANCED_FACE('',(#5683),#5665,.F.); +#5685=CARTESIAN_POINT('',(-6.25E0,0.E0,0.E0)); +#5686=DIRECTION('',(1.E0,0.E0,0.E0)); +#5687=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5688=AXIS2_PLACEMENT_3D('',#5685,#5686,#5687); +#5689=PLANE('',#5688); +#5691=ORIENTED_EDGE('',*,*,#5690,.F.); +#5693=ORIENTED_EDGE('',*,*,#5692,.T.); +#5695=ORIENTED_EDGE('',*,*,#5694,.T.); +#5697=ORIENTED_EDGE('',*,*,#5696,.T.); +#5699=ORIENTED_EDGE('',*,*,#5698,.T.); +#5701=ORIENTED_EDGE('',*,*,#5700,.T.); +#5703=ORIENTED_EDGE('',*,*,#5702,.T.); +#5705=ORIENTED_EDGE('',*,*,#5704,.T.); +#5706=EDGE_LOOP('',(#5691,#5693,#5695,#5697,#5699,#5701,#5703,#5705)); +#5707=FACE_OUTER_BOUND('',#5706,.F.); +#5708=ADVANCED_FACE('',(#5707),#5689,.F.); +#5709=CARTESIAN_POINT('',(-6.175E0,2.6E0,-1.E0)); +#5710=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#5711=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#5712=AXIS2_PLACEMENT_3D('',#5709,#5710,#5711); +#5713=PLANE('',#5712); +#5714=ORIENTED_EDGE('',*,*,#5636,.T.); +#5716=ORIENTED_EDGE('',*,*,#5715,.F.); +#5718=ORIENTED_EDGE('',*,*,#5717,.F.); +#5720=ORIENTED_EDGE('',*,*,#5719,.T.); +#5721=EDGE_LOOP('',(#5714,#5716,#5718,#5720)); +#5722=FACE_OUTER_BOUND('',#5721,.F.); +#5723=ADVANCED_FACE('',(#5722),#5713,.T.); +#5724=CARTESIAN_POINT('',(-6.E0,2.6E0,-1.175E0)); +#5725=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#5726=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#5727=AXIS2_PLACEMENT_3D('',#5724,#5725,#5726); +#5728=PLANE('',#5727); +#5730=ORIENTED_EDGE('',*,*,#5729,.F.); +#5732=ORIENTED_EDGE('',*,*,#5731,.F.); +#5734=ORIENTED_EDGE('',*,*,#5733,.T.); +#5735=ORIENTED_EDGE('',*,*,#5715,.T.); +#5736=EDGE_LOOP('',(#5730,#5732,#5734,#5735)); +#5737=FACE_OUTER_BOUND('',#5736,.F.); +#5738=ADVANCED_FACE('',(#5737),#5728,.T.); +#5739=CARTESIAN_POINT('',(-6.25E0,-3.7E0,-1.25E0)); +#5740=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5741=DIRECTION('',(0.E0,1.E0,0.E0)); +#5742=AXIS2_PLACEMENT_3D('',#5739,#5740,#5741); +#5743=PLANE('',#5742); +#5744=ORIENTED_EDGE('',*,*,#5696,.F.); +#5746=ORIENTED_EDGE('',*,*,#5745,.T.); +#5748=ORIENTED_EDGE('',*,*,#5747,.T.); +#5750=ORIENTED_EDGE('',*,*,#5749,.F.); +#5751=EDGE_LOOP('',(#5744,#5746,#5748,#5750)); +#5752=FACE_OUTER_BOUND('',#5751,.F.); +#5753=ADVANCED_FACE('',(#5752),#5743,.T.); +#5754=CARTESIAN_POINT('',(-6.25E0,-3.7E0,-1.25E0)); +#5755=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5756=DIRECTION('',(0.E0,1.E0,0.E0)); +#5757=AXIS2_PLACEMENT_3D('',#5754,#5755,#5756); +#5758=PLANE('',#5757); +#5759=ORIENTED_EDGE('',*,*,#5729,.T.); +#5760=ORIENTED_EDGE('',*,*,#5641,.F.); +#5761=ORIENTED_EDGE('',*,*,#5496,.T.); +#5763=ORIENTED_EDGE('',*,*,#5762,.T.); +#5764=EDGE_LOOP('',(#5759,#5760,#5761,#5763)); +#5765=FACE_OUTER_BOUND('',#5764,.F.); +#5766=ADVANCED_FACE('',(#5765),#5758,.T.); +#5767=CARTESIAN_POINT('',(-6.25E0,-3.7E0,-1.45E0)); +#5768=DIRECTION('',(1.E0,0.E0,0.E0)); +#5769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5770=AXIS2_PLACEMENT_3D('',#5767,#5768,#5769); +#5771=CYLINDRICAL_SURFACE('',#5770,2.E-1); +#5772=ORIENTED_EDGE('',*,*,#5694,.F.); +#5774=ORIENTED_EDGE('',*,*,#5773,.T.); +#5776=ORIENTED_EDGE('',*,*,#5775,.T.); +#5777=ORIENTED_EDGE('',*,*,#5745,.F.); +#5778=EDGE_LOOP('',(#5772,#5774,#5776,#5777)); +#5779=FACE_OUTER_BOUND('',#5778,.F.); +#5780=ADVANCED_FACE('',(#5779),#5771,.F.); +#5781=CARTESIAN_POINT('',(-6.25E0,-3.9E0,-2.65E0)); +#5782=DIRECTION('',(0.E0,1.E0,0.E0)); +#5783=DIRECTION('',(0.E0,0.E0,1.E0)); +#5784=AXIS2_PLACEMENT_3D('',#5781,#5782,#5783); +#5785=PLANE('',#5784); +#5787=ORIENTED_EDGE('',*,*,#5786,.F.); +#5789=ORIENTED_EDGE('',*,*,#5788,.T.); +#5790=ORIENTED_EDGE('',*,*,#5773,.F.); +#5791=ORIENTED_EDGE('',*,*,#5692,.F.); +#5792=EDGE_LOOP('',(#5787,#5789,#5790,#5791)); +#5793=FACE_OUTER_BOUND('',#5792,.F.); +#5794=ADVANCED_FACE('',(#5793),#5785,.T.); +#5795=CARTESIAN_POINT('',(-6.E0,-3.975E0,-2.35E0)); +#5796=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#5797=DIRECTION('',(0.E0,-2.425356250363E-1,-9.701425001453E-1)); +#5798=AXIS2_PLACEMENT_3D('',#5795,#5796,#5797); +#5799=PLANE('',#5798); +#5800=ORIENTED_EDGE('',*,*,#5786,.T.); +#5802=ORIENTED_EDGE('',*,*,#5801,.F.); +#5804=ORIENTED_EDGE('',*,*,#5803,.F.); +#5806=ORIENTED_EDGE('',*,*,#5805,.F.); +#5807=EDGE_LOOP('',(#5800,#5802,#5804,#5806)); +#5808=FACE_OUTER_BOUND('',#5807,.F.); +#5809=ADVANCED_FACE('',(#5808),#5799,.T.); +#5810=CARTESIAN_POINT('',(-6.175E0,-4.15E0,-2.35E0)); +#5811=DIRECTION('',(-9.701425001453E-1,0.E0,-2.425356250363E-1)); +#5812=DIRECTION('',(2.425356250363E-1,0.E0,-9.701425001453E-1)); +#5813=AXIS2_PLACEMENT_3D('',#5810,#5811,#5812); +#5814=PLANE('',#5813); +#5815=ORIENTED_EDGE('',*,*,#5690,.T.); +#5817=ORIENTED_EDGE('',*,*,#5816,.F.); +#5819=ORIENTED_EDGE('',*,*,#5818,.F.); +#5820=ORIENTED_EDGE('',*,*,#5801,.T.); +#5821=EDGE_LOOP('',(#5815,#5817,#5819,#5820)); +#5822=FACE_OUTER_BOUND('',#5821,.F.); +#5823=ADVANCED_FACE('',(#5822),#5814,.T.); +#5824=CARTESIAN_POINT('',(-6.E0,-4.325E0,-2.35E0)); +#5825=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#5826=DIRECTION('',(0.E0,-2.425356250363E-1,9.701425001453E-1)); +#5827=AXIS2_PLACEMENT_3D('',#5824,#5825,#5826); +#5828=PLANE('',#5827); +#5830=ORIENTED_EDGE('',*,*,#5829,.F.); +#5832=ORIENTED_EDGE('',*,*,#5831,.F.); +#5834=ORIENTED_EDGE('',*,*,#5833,.T.); +#5835=ORIENTED_EDGE('',*,*,#5816,.T.); +#5836=EDGE_LOOP('',(#5830,#5832,#5834,#5835)); +#5837=FACE_OUTER_BOUND('',#5836,.F.); +#5838=ADVANCED_FACE('',(#5837),#5828,.T.); +#5839=CARTESIAN_POINT('',(-6.25E0,-4.4E0,-1.45E0)); +#5840=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5841=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5842=AXIS2_PLACEMENT_3D('',#5839,#5840,#5841); +#5843=PLANE('',#5842); +#5844=ORIENTED_EDGE('',*,*,#5829,.T.); +#5845=ORIENTED_EDGE('',*,*,#5704,.F.); +#5847=ORIENTED_EDGE('',*,*,#5846,.T.); +#5849=ORIENTED_EDGE('',*,*,#5848,.T.); +#5850=EDGE_LOOP('',(#5844,#5845,#5847,#5849)); +#5851=FACE_OUTER_BOUND('',#5850,.F.); +#5852=ADVANCED_FACE('',(#5851),#5843,.T.); +#5853=CARTESIAN_POINT('',(-6.25E0,-3.7E0,-1.45E0)); +#5854=DIRECTION('',(1.E0,0.E0,0.E0)); +#5855=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5856=AXIS2_PLACEMENT_3D('',#5853,#5854,#5855); +#5857=CYLINDRICAL_SURFACE('',#5856,7.E-1); +#5858=ORIENTED_EDGE('',*,*,#5702,.F.); +#5860=ORIENTED_EDGE('',*,*,#5859,.T.); +#5862=ORIENTED_EDGE('',*,*,#5861,.T.); +#5863=ORIENTED_EDGE('',*,*,#5846,.F.); +#5864=EDGE_LOOP('',(#5858,#5860,#5862,#5863)); +#5865=FACE_OUTER_BOUND('',#5864,.F.); +#5866=ADVANCED_FACE('',(#5865),#5857,.T.); +#5867=CARTESIAN_POINT('',(-6.25E0,2.9E0,-7.5E-1)); +#5868=DIRECTION('',(0.E0,0.E0,1.E0)); +#5869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5870=AXIS2_PLACEMENT_3D('',#5867,#5868,#5869); +#5871=PLANE('',#5870); +#5872=ORIENTED_EDGE('',*,*,#5700,.F.); +#5874=ORIENTED_EDGE('',*,*,#5873,.T.); +#5876=ORIENTED_EDGE('',*,*,#5875,.T.); +#5877=ORIENTED_EDGE('',*,*,#5859,.F.); +#5878=EDGE_LOOP('',(#5872,#5874,#5876,#5877)); +#5879=FACE_OUTER_BOUND('',#5878,.F.); +#5880=ADVANCED_FACE('',(#5879),#5871,.T.); +#5881=CARTESIAN_POINT('',(-6.25E0,2.9E0,-7.5E-1)); +#5882=DIRECTION('',(0.E0,0.E0,1.E0)); +#5883=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5884=AXIS2_PLACEMENT_3D('',#5881,#5882,#5883); +#5885=PLANE('',#5884); +#5887=ORIENTED_EDGE('',*,*,#5886,.F.); +#5889=ORIENTED_EDGE('',*,*,#5888,.T.); +#5890=ORIENTED_EDGE('',*,*,#5492,.F.); +#5891=ORIENTED_EDGE('',*,*,#5638,.F.); +#5892=EDGE_LOOP('',(#5887,#5889,#5890,#5891)); +#5893=FACE_OUTER_BOUND('',#5892,.F.); +#5894=ADVANCED_FACE('',(#5893),#5885,.T.); +#5895=CARTESIAN_POINT('',(-8.325E0,-3.2E0,-3.15E0)); +#5896=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5897=DIRECTION('',(1.E0,0.E0,0.E0)); +#5898=AXIS2_PLACEMENT_3D('',#5895,#5896,#5897); +#5899=PLANE('',#5898); +#5901=ORIENTED_EDGE('',*,*,#5900,.T.); +#5903=ORIENTED_EDGE('',*,*,#5902,.T.); +#5905=ORIENTED_EDGE('',*,*,#5904,.T.); +#5907=ORIENTED_EDGE('',*,*,#5906,.T.); +#5908=EDGE_LOOP('',(#5901,#5903,#5905,#5907)); +#5909=FACE_OUTER_BOUND('',#5908,.F.); +#5910=ORIENTED_EDGE('',*,*,#5698,.F.); +#5911=ORIENTED_EDGE('',*,*,#5749,.T.); +#5913=ORIENTED_EDGE('',*,*,#5912,.T.); +#5914=ORIENTED_EDGE('',*,*,#5873,.F.); +#5915=EDGE_LOOP('',(#5910,#5911,#5913,#5914)); +#5916=FACE_BOUND('',#5915,.F.); +#5917=ORIENTED_EDGE('',*,*,#5674,.F.); +#5919=ORIENTED_EDGE('',*,*,#5918,.T.); +#5921=ORIENTED_EDGE('',*,*,#5920,.T.); +#5923=ORIENTED_EDGE('',*,*,#5922,.F.); +#5924=EDGE_LOOP('',(#5917,#5919,#5921,#5923)); +#5925=FACE_BOUND('',#5924,.F.); +#5927=ORIENTED_EDGE('',*,*,#5926,.F.); +#5929=ORIENTED_EDGE('',*,*,#5928,.T.); +#5931=ORIENTED_EDGE('',*,*,#5930,.T.); +#5933=ORIENTED_EDGE('',*,*,#5932,.F.); +#5934=EDGE_LOOP('',(#5927,#5929,#5931,#5933)); +#5935=FACE_BOUND('',#5934,.F.); +#5937=ORIENTED_EDGE('',*,*,#5936,.F.); +#5939=ORIENTED_EDGE('',*,*,#5938,.T.); +#5941=ORIENTED_EDGE('',*,*,#5940,.T.); +#5943=ORIENTED_EDGE('',*,*,#5942,.F.); +#5944=EDGE_LOOP('',(#5937,#5939,#5941,#5943)); +#5945=FACE_BOUND('',#5944,.F.); +#5947=ORIENTED_EDGE('',*,*,#5946,.F.); +#5949=ORIENTED_EDGE('',*,*,#5948,.T.); +#5951=ORIENTED_EDGE('',*,*,#5950,.T.); +#5953=ORIENTED_EDGE('',*,*,#5952,.F.); +#5954=EDGE_LOOP('',(#5947,#5949,#5951,#5953)); +#5955=FACE_BOUND('',#5954,.F.); +#5957=ORIENTED_EDGE('',*,*,#5956,.F.); +#5959=ORIENTED_EDGE('',*,*,#5958,.T.); +#5961=ORIENTED_EDGE('',*,*,#5960,.T.); +#5963=ORIENTED_EDGE('',*,*,#5962,.F.); +#5964=EDGE_LOOP('',(#5957,#5959,#5961,#5963)); +#5965=FACE_BOUND('',#5964,.F.); +#5967=ORIENTED_EDGE('',*,*,#5966,.F.); +#5969=ORIENTED_EDGE('',*,*,#5968,.T.); +#5971=ORIENTED_EDGE('',*,*,#5970,.T.); +#5973=ORIENTED_EDGE('',*,*,#5972,.F.); +#5974=EDGE_LOOP('',(#5967,#5969,#5971,#5973)); +#5975=FACE_BOUND('',#5974,.F.); +#5977=ORIENTED_EDGE('',*,*,#5976,.F.); +#5979=ORIENTED_EDGE('',*,*,#5978,.T.); +#5981=ORIENTED_EDGE('',*,*,#5980,.T.); +#5983=ORIENTED_EDGE('',*,*,#5982,.F.); +#5984=EDGE_LOOP('',(#5977,#5979,#5981,#5983)); +#5985=FACE_BOUND('',#5984,.F.); +#5987=ORIENTED_EDGE('',*,*,#5986,.F.); +#5989=ORIENTED_EDGE('',*,*,#5988,.T.); +#5991=ORIENTED_EDGE('',*,*,#5990,.T.); +#5993=ORIENTED_EDGE('',*,*,#5992,.F.); +#5994=EDGE_LOOP('',(#5987,#5989,#5991,#5993)); +#5995=FACE_BOUND('',#5994,.F.); +#5997=ORIENTED_EDGE('',*,*,#5996,.F.); +#5999=ORIENTED_EDGE('',*,*,#5998,.T.); +#6001=ORIENTED_EDGE('',*,*,#6000,.T.); +#6003=ORIENTED_EDGE('',*,*,#6002,.F.); +#6004=EDGE_LOOP('',(#5997,#5999,#6001,#6003)); +#6005=FACE_BOUND('',#6004,.F.); +#6007=ORIENTED_EDGE('',*,*,#6006,.F.); +#6009=ORIENTED_EDGE('',*,*,#6008,.T.); +#6011=ORIENTED_EDGE('',*,*,#6010,.T.); +#6013=ORIENTED_EDGE('',*,*,#6012,.F.); +#6014=EDGE_LOOP('',(#6007,#6009,#6011,#6013)); +#6015=FACE_BOUND('',#6014,.F.); +#6017=ORIENTED_EDGE('',*,*,#6016,.F.); +#6019=ORIENTED_EDGE('',*,*,#6018,.T.); +#6021=ORIENTED_EDGE('',*,*,#6020,.T.); +#6023=ORIENTED_EDGE('',*,*,#6022,.F.); +#6024=EDGE_LOOP('',(#6017,#6019,#6021,#6023)); +#6025=FACE_BOUND('',#6024,.F.); +#6027=ORIENTED_EDGE('',*,*,#6026,.F.); +#6029=ORIENTED_EDGE('',*,*,#6028,.T.); +#6031=ORIENTED_EDGE('',*,*,#6030,.T.); +#6033=ORIENTED_EDGE('',*,*,#6032,.F.); +#6034=EDGE_LOOP('',(#6027,#6029,#6031,#6033)); +#6035=FACE_BOUND('',#6034,.F.); +#6037=ORIENTED_EDGE('',*,*,#6036,.F.); +#6039=ORIENTED_EDGE('',*,*,#6038,.T.); +#6041=ORIENTED_EDGE('',*,*,#6040,.T.); +#6043=ORIENTED_EDGE('',*,*,#6042,.F.); +#6044=EDGE_LOOP('',(#6037,#6039,#6041,#6043)); +#6045=FACE_BOUND('',#6044,.F.); +#6047=ORIENTED_EDGE('',*,*,#6046,.T.); +#6049=ORIENTED_EDGE('',*,*,#6048,.T.); +#6050=EDGE_LOOP('',(#6047,#6049)); +#6051=FACE_BOUND('',#6050,.F.); +#6053=ORIENTED_EDGE('',*,*,#6052,.T.); +#6055=ORIENTED_EDGE('',*,*,#6054,.T.); +#6056=EDGE_LOOP('',(#6053,#6055)); +#6057=FACE_BOUND('',#6056,.F.); +#6058=ADVANCED_FACE('',(#5909,#5916,#5925,#5935,#5945,#5955,#5965,#5975,#5985, +#5995,#6005,#6015,#6025,#6035,#6045,#6051,#6057),#5899,.T.); +#6059=CARTESIAN_POINT('',(-7.5E0,-3.2E0,-2.E0)); +#6060=DIRECTION('',(1.E0,0.E0,0.E0)); +#6061=DIRECTION('',(0.E0,0.E0,1.E0)); +#6062=AXIS2_PLACEMENT_3D('',#6059,#6060,#6061); +#6063=PLANE('',#6062); +#6064=ORIENTED_EDGE('',*,*,#5900,.F.); +#6066=ORIENTED_EDGE('',*,*,#6065,.T.); +#6068=ORIENTED_EDGE('',*,*,#6067,.T.); +#6070=ORIENTED_EDGE('',*,*,#6069,.F.); +#6071=EDGE_LOOP('',(#6064,#6066,#6068,#6070)); +#6072=FACE_OUTER_BOUND('',#6071,.F.); +#6073=ADVANCED_FACE('',(#6072),#6063,.F.); +#6074=CARTESIAN_POINT('',(7.5E0,-3.2E0,-2.E0)); +#6075=DIRECTION('',(0.E0,0.E0,1.E0)); +#6076=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6077=AXIS2_PLACEMENT_3D('',#6074,#6075,#6076); +#6078=PLANE('',#6077); +#6079=ORIENTED_EDGE('',*,*,#5906,.F.); +#6081=ORIENTED_EDGE('',*,*,#6080,.T.); +#6083=ORIENTED_EDGE('',*,*,#6082,.T.); +#6084=ORIENTED_EDGE('',*,*,#6065,.F.); +#6085=EDGE_LOOP('',(#6079,#6081,#6083,#6084)); +#6086=FACE_OUTER_BOUND('',#6085,.F.); +#6087=ADVANCED_FACE('',(#6086),#6078,.F.); +#6088=CARTESIAN_POINT('',(7.5E0,-3.2E0,2.E0)); +#6089=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6090=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6091=AXIS2_PLACEMENT_3D('',#6088,#6089,#6090); +#6092=PLANE('',#6091); +#6093=ORIENTED_EDGE('',*,*,#5904,.F.); +#6095=ORIENTED_EDGE('',*,*,#6094,.T.); +#6097=ORIENTED_EDGE('',*,*,#6096,.T.); +#6098=ORIENTED_EDGE('',*,*,#6080,.F.); +#6099=EDGE_LOOP('',(#6093,#6095,#6097,#6098)); +#6100=FACE_OUTER_BOUND('',#6099,.F.); +#6101=ADVANCED_FACE('',(#6100),#6092,.F.); +#6102=CARTESIAN_POINT('',(-7.5E0,-3.2E0,2.E0)); +#6103=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6104=DIRECTION('',(1.E0,0.E0,0.E0)); +#6105=AXIS2_PLACEMENT_3D('',#6102,#6103,#6104); +#6106=PLANE('',#6105); +#6107=ORIENTED_EDGE('',*,*,#5902,.F.); +#6108=ORIENTED_EDGE('',*,*,#6069,.T.); +#6110=ORIENTED_EDGE('',*,*,#6109,.T.); +#6111=ORIENTED_EDGE('',*,*,#6094,.F.); +#6112=EDGE_LOOP('',(#6107,#6108,#6110,#6111)); +#6113=FACE_OUTER_BOUND('',#6112,.F.); +#6114=ADVANCED_FACE('',(#6113),#6106,.F.); +#6115=CARTESIAN_POINT('',(0.E0,-1.5E0,-3.15E0)); +#6116=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6117=DIRECTION('',(0.E0,0.E0,1.E0)); +#6118=AXIS2_PLACEMENT_3D('',#6115,#6116,#6117); +#6119=PLANE('',#6118); +#6121=ORIENTED_EDGE('',*,*,#6120,.F.); +#6123=ORIENTED_EDGE('',*,*,#6122,.F.); +#6125=ORIENTED_EDGE('',*,*,#6124,.T.); +#6126=ORIENTED_EDGE('',*,*,#5354,.F.); +#6127=ORIENTED_EDGE('',*,*,#5226,.F.); +#6128=ORIENTED_EDGE('',*,*,#5254,.T.); +#6130=ORIENTED_EDGE('',*,*,#6129,.T.); +#6132=ORIENTED_EDGE('',*,*,#6131,.T.); +#6134=ORIENTED_EDGE('',*,*,#6133,.T.); +#6136=ORIENTED_EDGE('',*,*,#6135,.F.); +#6138=ORIENTED_EDGE('',*,*,#6137,.T.); +#6140=ORIENTED_EDGE('',*,*,#6139,.T.); +#6141=EDGE_LOOP('',(#6121,#6123,#6125,#6126,#6127,#6128,#6130,#6132,#6134,#6136, +#6138,#6140)); +#6142=FACE_OUTER_BOUND('',#6141,.F.); +#6143=ORIENTED_EDGE('',*,*,#6067,.F.); +#6144=ORIENTED_EDGE('',*,*,#6082,.F.); +#6145=ORIENTED_EDGE('',*,*,#6096,.F.); +#6146=ORIENTED_EDGE('',*,*,#6109,.F.); +#6147=EDGE_LOOP('',(#6143,#6144,#6145,#6146)); +#6148=FACE_BOUND('',#6147,.F.); +#6149=ADVANCED_FACE('',(#6142,#6148),#6119,.T.); +#6150=CARTESIAN_POINT('',(7.425E0,3.2E0,2.25E0)); +#6151=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6152=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6153=AXIS2_PLACEMENT_3D('',#6150,#6151,#6152); +#6154=PLANE('',#6153); +#6156=ORIENTED_EDGE('',*,*,#6155,.T.); +#6157=ORIENTED_EDGE('',*,*,#6120,.T.); +#6159=ORIENTED_EDGE('',*,*,#6158,.T.); +#6160=ORIENTED_EDGE('',*,*,#5484,.F.); +#6161=EDGE_LOOP('',(#6156,#6157,#6159,#6160)); +#6162=FACE_OUTER_BOUND('',#6161,.F.); +#6163=ADVANCED_FACE('',(#6162),#6154,.F.); +#6164=CARTESIAN_POINT('',(5.5E0,1.7E0,3.15E0)); +#6165=DIRECTION('',(-9.989031743698E-1,-4.682358629859E-2,0.E0)); +#6166=DIRECTION('',(4.682358629859E-2,-9.989031743698E-1,0.E0)); +#6167=AXIS2_PLACEMENT_3D('',#6164,#6165,#6166); +#6168=PLANE('',#6167); +#6169=ORIENTED_EDGE('',*,*,#6155,.F.); +#6170=ORIENTED_EDGE('',*,*,#5482,.F.); +#6172=ORIENTED_EDGE('',*,*,#6171,.T.); +#6174=ORIENTED_EDGE('',*,*,#6173,.F.); +#6176=ORIENTED_EDGE('',*,*,#6175,.T.); +#6177=ORIENTED_EDGE('',*,*,#6122,.T.); +#6178=EDGE_LOOP('',(#6169,#6170,#6172,#6174,#6176,#6177)); +#6179=FACE_OUTER_BOUND('',#6178,.F.); +#6180=ADVANCED_FACE('',(#6179),#6168,.T.); +#6181=CARTESIAN_POINT('',(-7.025E0,3.2E0,2.5E0)); +#6182=DIRECTION('',(0.E0,0.E0,1.E0)); +#6183=DIRECTION('',(1.E0,0.E0,0.E0)); +#6184=AXIS2_PLACEMENT_3D('',#6181,#6182,#6183); +#6185=PLANE('',#6184); +#6187=ORIENTED_EDGE('',*,*,#6186,.F.); +#6189=ORIENTED_EDGE('',*,*,#6188,.F.); +#6191=ORIENTED_EDGE('',*,*,#6190,.T.); +#6192=ORIENTED_EDGE('',*,*,#5419,.T.); +#6194=ORIENTED_EDGE('',*,*,#6193,.T.); +#6196=ORIENTED_EDGE('',*,*,#6195,.F.); +#6198=ORIENTED_EDGE('',*,*,#6197,.F.); +#6200=ORIENTED_EDGE('',*,*,#6199,.F.); +#6202=ORIENTED_EDGE('',*,*,#6201,.F.); +#6204=ORIENTED_EDGE('',*,*,#6203,.F.); +#6206=ORIENTED_EDGE('',*,*,#6205,.F.); +#6207=ORIENTED_EDGE('',*,*,#5411,.T.); +#6209=ORIENTED_EDGE('',*,*,#6208,.T.); +#6210=EDGE_LOOP('',(#6187,#6189,#6191,#6192,#6194,#6196,#6198,#6200,#6202,#6204, +#6206,#6207,#6209)); +#6211=FACE_OUTER_BOUND('',#6210,.F.); +#6212=ADVANCED_FACE('',(#6211),#6185,.F.); +#6213=CARTESIAN_POINT('',(-7.025E0,3.2E0,2.5E0)); +#6214=DIRECTION('',(0.E0,0.E0,1.E0)); +#6215=DIRECTION('',(1.E0,0.E0,0.E0)); +#6216=AXIS2_PLACEMENT_3D('',#6213,#6214,#6215); +#6217=PLANE('',#6216); +#6219=ORIENTED_EDGE('',*,*,#6218,.F.); +#6221=ORIENTED_EDGE('',*,*,#6220,.F.); +#6222=ORIENTED_EDGE('',*,*,#5403,.T.); +#6224=ORIENTED_EDGE('',*,*,#6223,.T.); +#6226=ORIENTED_EDGE('',*,*,#6225,.T.); +#6228=ORIENTED_EDGE('',*,*,#6227,.T.); +#6230=ORIENTED_EDGE('',*,*,#6229,.T.); +#6232=ORIENTED_EDGE('',*,*,#6231,.T.); +#6234=ORIENTED_EDGE('',*,*,#6233,.T.); +#6235=ORIENTED_EDGE('',*,*,#6171,.F.); +#6236=ORIENTED_EDGE('',*,*,#5480,.T.); +#6238=ORIENTED_EDGE('',*,*,#6237,.F.); +#6240=ORIENTED_EDGE('',*,*,#6239,.F.); +#6241=EDGE_LOOP('',(#6219,#6221,#6222,#6224,#6226,#6228,#6230,#6232,#6234,#6235, +#6236,#6238,#6240)); +#6242=FACE_OUTER_BOUND('',#6241,.F.); +#6243=ADVANCED_FACE('',(#6242),#6217,.F.); +#6244=CARTESIAN_POINT('',(-2.E0,2.4E0,1.26252E1)); +#6245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6246=DIRECTION('',(0.E0,1.E0,0.E0)); +#6247=AXIS2_PLACEMENT_3D('',#6244,#6245,#6246); +#6248=CYLINDRICAL_SURFACE('',#6247,8.E-1); +#6250=ORIENTED_EDGE('',*,*,#6249,.T.); +#6251=ORIENTED_EDGE('',*,*,#6186,.T.); +#6253=ORIENTED_EDGE('',*,*,#6252,.F.); +#6255=ORIENTED_EDGE('',*,*,#6254,.T.); +#6256=ORIENTED_EDGE('',*,*,#5334,.T.); +#6257=EDGE_LOOP('',(#6250,#6251,#6253,#6255,#6256)); +#6258=FACE_OUTER_BOUND('',#6257,.F.); +#6259=ADVANCED_FACE('',(#6258),#6248,.T.); +#6260=CARTESIAN_POINT('',(-1.065814103640E-14,2.9E0,2.55E0)); +#6261=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#6262=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#6263=AXIS2_PLACEMENT_3D('',#6260,#6261,#6262); +#6264=PLANE('',#6263); +#6265=ORIENTED_EDGE('',*,*,#6249,.F.); +#6266=ORIENTED_EDGE('',*,*,#5332,.T.); +#6268=ORIENTED_EDGE('',*,*,#6267,.F.); +#6270=ORIENTED_EDGE('',*,*,#6269,.F.); +#6272=ORIENTED_EDGE('',*,*,#6271,.T.); +#6273=ORIENTED_EDGE('',*,*,#6188,.T.); +#6274=EDGE_LOOP('',(#6265,#6266,#6268,#6270,#6272,#6273)); +#6275=FACE_OUTER_BOUND('',#6274,.F.); +#6276=ADVANCED_FACE('',(#6275),#6264,.T.); +#6277=CARTESIAN_POINT('',(-1.065814103640E-14,2.9E0,2.55E0)); +#6278=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#6279=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#6280=AXIS2_PLACEMENT_3D('',#6277,#6278,#6279); +#6281=PLANE('',#6280); +#6283=ORIENTED_EDGE('',*,*,#6282,.F.); +#6284=ORIENTED_EDGE('',*,*,#6239,.T.); +#6286=ORIENTED_EDGE('',*,*,#6285,.F.); +#6288=ORIENTED_EDGE('',*,*,#6287,.F.); +#6290=ORIENTED_EDGE('',*,*,#6289,.T.); +#6291=ORIENTED_EDGE('',*,*,#5305,.T.); +#6292=EDGE_LOOP('',(#6283,#6284,#6286,#6288,#6290,#6291)); +#6293=FACE_OUTER_BOUND('',#6292,.F.); +#6294=ADVANCED_FACE('',(#6293),#6281,.T.); +#6295=CARTESIAN_POINT('',(-7.725E0,2.9E0,1.7E0)); +#6296=DIRECTION('',(7.071067811865E-1,7.071067811865E-1,0.E0)); +#6297=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); +#6298=AXIS2_PLACEMENT_3D('',#6295,#6296,#6297); +#6299=PLANE('',#6298); +#6301=ORIENTED_EDGE('',*,*,#6300,.F.); +#6302=ORIENTED_EDGE('',*,*,#6267,.T.); +#6303=ORIENTED_EDGE('',*,*,#5330,.T.); +#6305=ORIENTED_EDGE('',*,*,#6304,.F.); +#6306=EDGE_LOOP('',(#6301,#6302,#6303,#6305)); +#6307=FACE_OUTER_BOUND('',#6306,.F.); +#6308=ADVANCED_FACE('',(#6307),#6299,.T.); +#6309=CARTESIAN_POINT('',(-7.425E0,0.E0,0.E0)); +#6310=DIRECTION('',(1.E0,0.E0,0.E0)); +#6311=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6312=AXIS2_PLACEMENT_3D('',#6309,#6310,#6311); +#6313=PLANE('',#6312); +#6315=ORIENTED_EDGE('',*,*,#6314,.T.); +#6317=ORIENTED_EDGE('',*,*,#6316,.F.); +#6318=ORIENTED_EDGE('',*,*,#5432,.T.); +#6320=ORIENTED_EDGE('',*,*,#6319,.T.); +#6321=EDGE_LOOP('',(#6315,#6317,#6318,#6320)); +#6322=FACE_OUTER_BOUND('',#6321,.F.); +#6323=ADVANCED_FACE('',(#6322),#6313,.T.); +#6324=CARTESIAN_POINT('',(-7.425E0,0.E0,0.E0)); +#6325=DIRECTION('',(1.E0,0.E0,0.E0)); +#6326=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6327=AXIS2_PLACEMENT_3D('',#6324,#6325,#6326); +#6328=PLANE('',#6327); +#6329=ORIENTED_EDGE('',*,*,#6300,.T.); +#6331=ORIENTED_EDGE('',*,*,#6330,.F.); +#6332=ORIENTED_EDGE('',*,*,#5425,.T.); +#6334=ORIENTED_EDGE('',*,*,#6333,.F.); +#6335=EDGE_LOOP('',(#6329,#6331,#6332,#6334)); +#6336=FACE_OUTER_BOUND('',#6335,.F.); +#6337=ADVANCED_FACE('',(#6336),#6328,.T.); +#6338=CARTESIAN_POINT('',(-7.725E0,2.9E0,-1.2E0)); +#6339=DIRECTION('',(7.071067811865E-1,7.071067811865E-1,0.E0)); +#6340=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); +#6341=AXIS2_PLACEMENT_3D('',#6338,#6339,#6340); +#6342=PLANE('',#6341); +#6343=ORIENTED_EDGE('',*,*,#6314,.F.); +#6344=ORIENTED_EDGE('',*,*,#5270,.T.); +#6345=ORIENTED_EDGE('',*,*,#5293,.T.); +#6347=ORIENTED_EDGE('',*,*,#6346,.F.); +#6348=EDGE_LOOP('',(#6343,#6344,#6345,#6347)); +#6349=FACE_OUTER_BOUND('',#6348,.F.); +#6350=ADVANCED_FACE('',(#6349),#6342,.T.); +#6351=CARTESIAN_POINT('',(-1.065814103640E-14,2.9E0,-2.55E0)); +#6352=DIRECTION('',(0.E0,7.071067811865E-1,7.071067811865E-1)); +#6353=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#6354=AXIS2_PLACEMENT_3D('',#6351,#6352,#6353); +#6355=PLANE('',#6354); +#6357=ORIENTED_EDGE('',*,*,#6356,.F.); +#6359=ORIENTED_EDGE('',*,*,#6358,.F.); +#6361=ORIENTED_EDGE('',*,*,#6360,.F.); +#6363=ORIENTED_EDGE('',*,*,#6362,.F.); +#6365=ORIENTED_EDGE('',*,*,#6364,.F.); +#6367=ORIENTED_EDGE('',*,*,#6366,.F.); +#6369=ORIENTED_EDGE('',*,*,#6368,.F.); +#6371=ORIENTED_EDGE('',*,*,#6370,.F.); +#6373=ORIENTED_EDGE('',*,*,#6372,.F.); +#6375=ORIENTED_EDGE('',*,*,#6374,.F.); +#6377=ORIENTED_EDGE('',*,*,#6376,.F.); +#6378=ORIENTED_EDGE('',*,*,#6346,.T.); +#6379=ORIENTED_EDGE('',*,*,#5291,.T.); +#6381=ORIENTED_EDGE('',*,*,#6380,.F.); +#6383=ORIENTED_EDGE('',*,*,#6382,.F.); +#6385=ORIENTED_EDGE('',*,*,#6384,.T.); +#6387=ORIENTED_EDGE('',*,*,#6386,.F.); +#6389=ORIENTED_EDGE('',*,*,#6388,.F.); +#6391=ORIENTED_EDGE('',*,*,#6390,.F.); +#6393=ORIENTED_EDGE('',*,*,#6392,.F.); +#6394=EDGE_LOOP('',(#6357,#6359,#6361,#6363,#6365,#6367,#6369,#6371,#6373,#6375, +#6377,#6378,#6379,#6381,#6383,#6385,#6387,#6389,#6391,#6393)); +#6395=FACE_OUTER_BOUND('',#6394,.F.); +#6396=ADVANCED_FACE('',(#6395),#6355,.T.); +#6397=CARTESIAN_POINT('',(-6.4E0,3.2E0,-2.5E0)); +#6398=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6399=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6400=AXIS2_PLACEMENT_3D('',#6397,#6398,#6399); +#6401=PLANE('',#6400); +#6403=ORIENTED_EDGE('',*,*,#6402,.F.); +#6404=ORIENTED_EDGE('',*,*,#5438,.T.); +#6406=ORIENTED_EDGE('',*,*,#6405,.F.); +#6407=ORIENTED_EDGE('',*,*,#6372,.T.); +#6408=EDGE_LOOP('',(#6403,#6404,#6406,#6407)); +#6409=FACE_OUTER_BOUND('',#6408,.F.); +#6410=ADVANCED_FACE('',(#6409),#6401,.F.); +#6411=CARTESIAN_POINT('',(-6.4E0,3.2E0,-2.5E0)); +#6412=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6413=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6414=AXIS2_PLACEMENT_3D('',#6411,#6412,#6413); +#6415=PLANE('',#6414); +#6417=ORIENTED_EDGE('',*,*,#6416,.T.); +#6418=ORIENTED_EDGE('',*,*,#6386,.T.); +#6420=ORIENTED_EDGE('',*,*,#6419,.T.); +#6421=ORIENTED_EDGE('',*,*,#5462,.T.); +#6422=EDGE_LOOP('',(#6417,#6418,#6420,#6421)); +#6423=FACE_OUTER_BOUND('',#6422,.F.); +#6424=ADVANCED_FACE('',(#6423),#6415,.F.); +#6425=CARTESIAN_POINT('',(-6.4E0,3.2E0,-2.5E0)); +#6426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6427=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6428=AXIS2_PLACEMENT_3D('',#6425,#6426,#6427); +#6429=PLANE('',#6428); +#6431=ORIENTED_EDGE('',*,*,#6430,.F.); +#6432=ORIENTED_EDGE('',*,*,#5446,.T.); +#6434=ORIENTED_EDGE('',*,*,#6433,.T.); +#6435=ORIENTED_EDGE('',*,*,#6364,.T.); +#6436=EDGE_LOOP('',(#6431,#6432,#6434,#6435)); +#6437=FACE_OUTER_BOUND('',#6436,.F.); +#6438=ADVANCED_FACE('',(#6437),#6429,.F.); +#6439=CARTESIAN_POINT('',(-6.4E0,3.2E0,-2.5E0)); +#6440=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6442=AXIS2_PLACEMENT_3D('',#6439,#6440,#6441); +#6443=PLANE('',#6442); +#6445=ORIENTED_EDGE('',*,*,#6444,.T.); +#6446=ORIENTED_EDGE('',*,*,#6356,.T.); +#6448=ORIENTED_EDGE('',*,*,#6447,.F.); +#6449=ORIENTED_EDGE('',*,*,#5454,.T.); +#6450=EDGE_LOOP('',(#6445,#6446,#6448,#6449)); +#6451=FACE_OUTER_BOUND('',#6450,.F.); +#6452=ADVANCED_FACE('',(#6451),#6443,.F.); +#6453=CARTESIAN_POINT('',(-6.395E0,-7.E-1,-2.5E0)); +#6454=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6455=DIRECTION('',(0.E0,0.E0,1.E0)); +#6456=AXIS2_PLACEMENT_3D('',#6453,#6454,#6455); +#6457=PLANE('',#6456); +#6458=ORIENTED_EDGE('',*,*,#5440,.F.); +#6459=ORIENTED_EDGE('',*,*,#6402,.T.); +#6460=ORIENTED_EDGE('',*,*,#6370,.T.); +#6462=ORIENTED_EDGE('',*,*,#6461,.F.); +#6463=EDGE_LOOP('',(#6458,#6459,#6460,#6462)); +#6464=FACE_OUTER_BOUND('',#6463,.F.); +#6465=ADVANCED_FACE('',(#6464),#6457,.T.); +#6466=CARTESIAN_POINT('',(-6.395E0,-7.E-1,-2.25E0)); +#6467=DIRECTION('',(0.E0,0.E0,1.E0)); +#6468=DIRECTION('',(1.E0,0.E0,0.E0)); +#6469=AXIS2_PLACEMENT_3D('',#6466,#6467,#6468); +#6470=PLANE('',#6469); +#6471=ORIENTED_EDGE('',*,*,#5442,.F.); +#6472=ORIENTED_EDGE('',*,*,#6461,.T.); +#6473=ORIENTED_EDGE('',*,*,#6368,.T.); +#6475=ORIENTED_EDGE('',*,*,#6474,.F.); +#6476=EDGE_LOOP('',(#6471,#6472,#6473,#6475)); +#6477=FACE_OUTER_BOUND('',#6476,.F.); +#6478=ADVANCED_FACE('',(#6477),#6470,.T.); +#6479=CARTESIAN_POINT('',(-5.595E0,-7.E-1,-2.25E0)); +#6480=DIRECTION('',(1.E0,0.E0,0.E0)); +#6481=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6482=AXIS2_PLACEMENT_3D('',#6479,#6480,#6481); +#6483=PLANE('',#6482); +#6484=ORIENTED_EDGE('',*,*,#5444,.T.); +#6485=ORIENTED_EDGE('',*,*,#6474,.T.); +#6486=ORIENTED_EDGE('',*,*,#6366,.T.); +#6487=ORIENTED_EDGE('',*,*,#6433,.F.); +#6488=EDGE_LOOP('',(#6484,#6485,#6486,#6487)); +#6489=FACE_OUTER_BOUND('',#6488,.F.); +#6490=ADVANCED_FACE('',(#6489),#6483,.T.); +#6491=CARTESIAN_POINT('',(-7.025E0,3.2E0,-2.5E0)); +#6492=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6493=DIRECTION('',(0.E0,0.E0,1.E0)); +#6494=AXIS2_PLACEMENT_3D('',#6491,#6492,#6493); +#6495=PLANE('',#6494); +#6497=ORIENTED_EDGE('',*,*,#6496,.T.); +#6498=ORIENTED_EDGE('',*,*,#6374,.T.); +#6499=ORIENTED_EDGE('',*,*,#6405,.T.); +#6500=ORIENTED_EDGE('',*,*,#5436,.T.); +#6501=EDGE_LOOP('',(#6497,#6498,#6499,#6500)); +#6502=FACE_OUTER_BOUND('',#6501,.F.); +#6503=ADVANCED_FACE('',(#6502),#6495,.F.); +#6504=CARTESIAN_POINT('',(-7.425E0,-7.E-1,-2.25E0)); +#6505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6506=DIRECTION('',(0.E0,1.E0,0.E0)); +#6507=AXIS2_PLACEMENT_3D('',#6504,#6505,#6506); +#6508=PLANE('',#6507); +#6509=ORIENTED_EDGE('',*,*,#5466,.T.); +#6511=ORIENTED_EDGE('',*,*,#6510,.T.); +#6512=ORIENTED_EDGE('',*,*,#6382,.T.); +#6514=ORIENTED_EDGE('',*,*,#6513,.F.); +#6515=EDGE_LOOP('',(#6509,#6511,#6512,#6514)); +#6516=FACE_OUTER_BOUND('',#6515,.F.); +#6517=ADVANCED_FACE('',(#6516),#6508,.F.); +#6518=CARTESIAN_POINT('',(-7.425E0,-7.E-1,-2.25E0)); +#6519=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6520=DIRECTION('',(0.E0,1.E0,0.E0)); +#6521=AXIS2_PLACEMENT_3D('',#6518,#6519,#6520); +#6522=PLANE('',#6521); +#6523=ORIENTED_EDGE('',*,*,#6496,.F.); +#6524=ORIENTED_EDGE('',*,*,#5434,.T.); +#6525=ORIENTED_EDGE('',*,*,#6316,.T.); +#6526=ORIENTED_EDGE('',*,*,#6376,.T.); +#6527=EDGE_LOOP('',(#6523,#6524,#6525,#6526)); +#6528=FACE_OUTER_BOUND('',#6527,.F.); +#6529=ADVANCED_FACE('',(#6528),#6522,.F.); +#6530=CARTESIAN_POINT('',(7.025E0,3.2E0,-2.25E0)); +#6531=DIRECTION('',(1.E0,0.E0,0.E0)); +#6532=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6533=AXIS2_PLACEMENT_3D('',#6530,#6531,#6532); +#6534=PLANE('',#6533); +#6535=ORIENTED_EDGE('',*,*,#6510,.F.); +#6536=ORIENTED_EDGE('',*,*,#5464,.T.); +#6537=ORIENTED_EDGE('',*,*,#6419,.F.); +#6538=ORIENTED_EDGE('',*,*,#6384,.F.); +#6539=EDGE_LOOP('',(#6535,#6536,#6537,#6538)); +#6540=FACE_OUTER_BOUND('',#6539,.F.); +#6541=ADVANCED_FACE('',(#6540),#6534,.F.); +#6542=CARTESIAN_POINT('',(7.425E0,0.E0,0.E0)); +#6543=DIRECTION('',(1.E0,0.E0,0.E0)); +#6544=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6545=AXIS2_PLACEMENT_3D('',#6542,#6543,#6544); +#6546=PLANE('',#6545); +#6548=ORIENTED_EDGE('',*,*,#6547,.T.); +#6549=ORIENTED_EDGE('',*,*,#5393,.F.); +#6550=ORIENTED_EDGE('',*,*,#5468,.F.); +#6551=ORIENTED_EDGE('',*,*,#6513,.T.); +#6552=EDGE_LOOP('',(#6548,#6549,#6550,#6551)); +#6553=FACE_OUTER_BOUND('',#6552,.F.); +#6554=ADVANCED_FACE('',(#6553),#6546,.F.); +#6555=CARTESIAN_POINT('',(7.425E0,0.E0,0.E0)); +#6556=DIRECTION('',(1.E0,0.E0,0.E0)); +#6557=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6558=AXIS2_PLACEMENT_3D('',#6555,#6556,#6557); +#6559=PLANE('',#6558); +#6561=ORIENTED_EDGE('',*,*,#6560,.T.); +#6563=ORIENTED_EDGE('',*,*,#6562,.T.); +#6564=ORIENTED_EDGE('',*,*,#5474,.F.); +#6566=ORIENTED_EDGE('',*,*,#6565,.T.); +#6567=EDGE_LOOP('',(#6561,#6563,#6564,#6566)); +#6568=FACE_OUTER_BOUND('',#6567,.F.); +#6569=ADVANCED_FACE('',(#6568),#6559,.F.); +#6570=CARTESIAN_POINT('',(7.725E0,2.9E0,-1.7E0)); +#6571=DIRECTION('',(-7.071067811865E-1,7.071067811865E-1,0.E0)); +#6572=DIRECTION('',(-7.071067811865E-1,-7.071067811865E-1,0.E0)); +#6573=AXIS2_PLACEMENT_3D('',#6570,#6571,#6572); +#6574=PLANE('',#6573); +#6575=ORIENTED_EDGE('',*,*,#6547,.F.); +#6576=ORIENTED_EDGE('',*,*,#6380,.T.); +#6577=ORIENTED_EDGE('',*,*,#5289,.T.); +#6578=ORIENTED_EDGE('',*,*,#5378,.F.); +#6579=EDGE_LOOP('',(#6575,#6576,#6577,#6578)); +#6580=FACE_OUTER_BOUND('',#6579,.F.); +#6581=ADVANCED_FACE('',(#6580),#6574,.T.); +#6582=CARTESIAN_POINT('',(7.725E0,2.9E0,1.2E0)); +#6583=DIRECTION('',(-7.071067811865E-1,7.071067811865E-1,0.E0)); +#6584=DIRECTION('',(-7.071067811865E-1,-7.071067811865E-1,0.E0)); +#6585=AXIS2_PLACEMENT_3D('',#6582,#6583,#6584); +#6586=PLANE('',#6585); +#6587=ORIENTED_EDGE('',*,*,#6560,.F.); +#6589=ORIENTED_EDGE('',*,*,#6588,.T.); +#6590=ORIENTED_EDGE('',*,*,#5307,.T.); +#6591=ORIENTED_EDGE('',*,*,#6289,.F.); +#6592=EDGE_LOOP('',(#6587,#6589,#6590,#6591)); +#6593=FACE_OUTER_BOUND('',#6592,.F.); +#6594=ADVANCED_FACE('',(#6593),#6586,.T.); +#6595=CARTESIAN_POINT('',(7.875E0,2.9E0,4.5E-1)); +#6596=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#6597=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#6598=AXIS2_PLACEMENT_3D('',#6595,#6596,#6597); +#6599=PLANE('',#6598); +#6600=ORIENTED_EDGE('',*,*,#5359,.T.); +#6601=ORIENTED_EDGE('',*,*,#5309,.T.); +#6602=ORIENTED_EDGE('',*,*,#6588,.F.); +#6604=ORIENTED_EDGE('',*,*,#6603,.F.); +#6605=EDGE_LOOP('',(#6600,#6601,#6602,#6604)); +#6606=FACE_OUTER_BOUND('',#6605,.F.); +#6607=ADVANCED_FACE('',(#6606),#6599,.T.); +#6608=CARTESIAN_POINT('',(7.425E0,3.2E0,1.5E-1)); +#6609=DIRECTION('',(0.E0,0.E0,1.E0)); +#6610=DIRECTION('',(1.E0,0.E0,0.E0)); +#6611=AXIS2_PLACEMENT_3D('',#6608,#6609,#6610); +#6612=PLANE('',#6611); +#6613=ORIENTED_EDGE('',*,*,#6603,.T.); +#6614=ORIENTED_EDGE('',*,*,#6565,.F.); +#6615=ORIENTED_EDGE('',*,*,#5472,.T.); +#6616=ORIENTED_EDGE('',*,*,#5361,.F.); +#6617=EDGE_LOOP('',(#6613,#6614,#6615,#6616)); +#6618=FACE_OUTER_BOUND('',#6617,.F.); +#6619=ADVANCED_FACE('',(#6618),#6612,.F.); +#6620=CARTESIAN_POINT('',(-7.425E0,3.2E0,2.25E0)); +#6621=DIRECTION('',(0.E0,0.E0,1.E0)); +#6622=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6623=AXIS2_PLACEMENT_3D('',#6620,#6621,#6622); +#6624=PLANE('',#6623); +#6626=ORIENTED_EDGE('',*,*,#6625,.F.); +#6627=ORIENTED_EDGE('',*,*,#6269,.T.); +#6628=ORIENTED_EDGE('',*,*,#6333,.T.); +#6629=ORIENTED_EDGE('',*,*,#5423,.F.); +#6630=EDGE_LOOP('',(#6626,#6627,#6628,#6629)); +#6631=FACE_OUTER_BOUND('',#6630,.F.); +#6632=ADVANCED_FACE('',(#6631),#6624,.F.); +#6633=CARTESIAN_POINT('',(-7.425E0,3.2E0,2.25E0)); +#6634=DIRECTION('',(0.E0,0.E0,1.E0)); +#6635=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6636=AXIS2_PLACEMENT_3D('',#6633,#6634,#6635); +#6637=PLANE('',#6636); +#6638=ORIENTED_EDGE('',*,*,#5476,.F.); +#6639=ORIENTED_EDGE('',*,*,#6562,.F.); +#6640=ORIENTED_EDGE('',*,*,#6287,.T.); +#6642=ORIENTED_EDGE('',*,*,#6641,.T.); +#6643=EDGE_LOOP('',(#6638,#6639,#6640,#6642)); +#6644=FACE_OUTER_BOUND('',#6643,.F.); +#6645=ADVANCED_FACE('',(#6644),#6637,.F.); +#6646=CARTESIAN_POINT('',(-7.025E0,3.2E0,2.25E0)); +#6647=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6648=DIRECTION('',(0.E0,0.E0,1.E0)); +#6649=AXIS2_PLACEMENT_3D('',#6646,#6647,#6648); +#6650=PLANE('',#6649); +#6651=ORIENTED_EDGE('',*,*,#6625,.T.); +#6652=ORIENTED_EDGE('',*,*,#5421,.T.); +#6653=ORIENTED_EDGE('',*,*,#6190,.F.); +#6654=ORIENTED_EDGE('',*,*,#6271,.F.); +#6655=EDGE_LOOP('',(#6651,#6652,#6653,#6654)); +#6656=FACE_OUTER_BOUND('',#6655,.F.); +#6657=ADVANCED_FACE('',(#6656),#6650,.F.); +#6658=CARTESIAN_POINT('',(7.025E0,3.2E0,2.5E0)); +#6659=DIRECTION('',(1.E0,0.E0,0.E0)); +#6660=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6661=AXIS2_PLACEMENT_3D('',#6658,#6659,#6660); +#6662=PLANE('',#6661); +#6663=ORIENTED_EDGE('',*,*,#6641,.F.); +#6664=ORIENTED_EDGE('',*,*,#6285,.T.); +#6665=ORIENTED_EDGE('',*,*,#6237,.T.); +#6666=ORIENTED_EDGE('',*,*,#5478,.T.); +#6667=EDGE_LOOP('',(#6663,#6664,#6665,#6666)); +#6668=FACE_OUTER_BOUND('',#6667,.F.); +#6669=ADVANCED_FACE('',(#6668),#6662,.F.); +#6670=CARTESIAN_POINT('',(6.395E0,-7.E-1,-2.25E0)); +#6671=DIRECTION('',(1.E0,0.E0,0.E0)); +#6672=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6673=AXIS2_PLACEMENT_3D('',#6670,#6671,#6672); +#6674=PLANE('',#6673); +#6675=ORIENTED_EDGE('',*,*,#5460,.T.); +#6677=ORIENTED_EDGE('',*,*,#6676,.T.); +#6678=ORIENTED_EDGE('',*,*,#6388,.T.); +#6679=ORIENTED_EDGE('',*,*,#6416,.F.); +#6680=EDGE_LOOP('',(#6675,#6677,#6678,#6679)); +#6681=FACE_OUTER_BOUND('',#6680,.F.); +#6682=ADVANCED_FACE('',(#6681),#6674,.T.); +#6683=CARTESIAN_POINT('',(5.595E0,-7.E-1,-2.25E0)); +#6684=DIRECTION('',(0.E0,0.E0,1.E0)); +#6685=DIRECTION('',(1.E0,0.E0,0.E0)); +#6686=AXIS2_PLACEMENT_3D('',#6683,#6684,#6685); +#6687=PLANE('',#6686); +#6688=ORIENTED_EDGE('',*,*,#5458,.F.); +#6690=ORIENTED_EDGE('',*,*,#6689,.T.); +#6691=ORIENTED_EDGE('',*,*,#6390,.T.); +#6692=ORIENTED_EDGE('',*,*,#6676,.F.); +#6693=EDGE_LOOP('',(#6688,#6690,#6691,#6692)); +#6694=FACE_OUTER_BOUND('',#6693,.F.); +#6695=ADVANCED_FACE('',(#6694),#6687,.T.); +#6696=CARTESIAN_POINT('',(5.595E0,-7.E-1,-2.5E0)); +#6697=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6698=DIRECTION('',(0.E0,0.E0,1.E0)); +#6699=AXIS2_PLACEMENT_3D('',#6696,#6697,#6698); +#6700=PLANE('',#6699); +#6701=ORIENTED_EDGE('',*,*,#5456,.F.); +#6702=ORIENTED_EDGE('',*,*,#6447,.T.); +#6703=ORIENTED_EDGE('',*,*,#6392,.T.); +#6704=ORIENTED_EDGE('',*,*,#6689,.F.); +#6705=EDGE_LOOP('',(#6701,#6702,#6703,#6704)); +#6706=FACE_OUTER_BOUND('',#6705,.F.); +#6707=ADVANCED_FACE('',(#6706),#6700,.T.); +#6708=CARTESIAN_POINT('',(-4.E-1,-7.E-1,-2.5E0)); +#6709=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6710=DIRECTION('',(0.E0,0.E0,1.E0)); +#6711=AXIS2_PLACEMENT_3D('',#6708,#6709,#6710); +#6712=PLANE('',#6711); +#6713=ORIENTED_EDGE('',*,*,#5448,.F.); +#6714=ORIENTED_EDGE('',*,*,#6430,.T.); +#6715=ORIENTED_EDGE('',*,*,#6362,.T.); +#6717=ORIENTED_EDGE('',*,*,#6716,.F.); +#6718=EDGE_LOOP('',(#6713,#6714,#6715,#6717)); +#6719=FACE_OUTER_BOUND('',#6718,.F.); +#6720=ADVANCED_FACE('',(#6719),#6712,.T.); +#6721=CARTESIAN_POINT('',(-4.E-1,-7.E-1,-2.25E0)); +#6722=DIRECTION('',(0.E0,0.E0,1.E0)); +#6723=DIRECTION('',(1.E0,0.E0,0.E0)); +#6724=AXIS2_PLACEMENT_3D('',#6721,#6722,#6723); +#6725=PLANE('',#6724); +#6726=ORIENTED_EDGE('',*,*,#5450,.F.); +#6727=ORIENTED_EDGE('',*,*,#6716,.T.); +#6728=ORIENTED_EDGE('',*,*,#6360,.T.); +#6730=ORIENTED_EDGE('',*,*,#6729,.F.); +#6731=EDGE_LOOP('',(#6726,#6727,#6728,#6730)); +#6732=FACE_OUTER_BOUND('',#6731,.F.); +#6733=ADVANCED_FACE('',(#6732),#6725,.T.); +#6734=CARTESIAN_POINT('',(4.E-1,-7.E-1,-2.25E0)); +#6735=DIRECTION('',(1.E0,0.E0,0.E0)); +#6736=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6737=AXIS2_PLACEMENT_3D('',#6734,#6735,#6736); +#6738=PLANE('',#6737); +#6739=ORIENTED_EDGE('',*,*,#5452,.T.); +#6740=ORIENTED_EDGE('',*,*,#6729,.T.); +#6741=ORIENTED_EDGE('',*,*,#6358,.T.); +#6742=ORIENTED_EDGE('',*,*,#6444,.F.); +#6743=EDGE_LOOP('',(#6739,#6740,#6741,#6742)); +#6744=FACE_OUTER_BOUND('',#6743,.F.); +#6745=ADVANCED_FACE('',(#6744),#6738,.T.); +#6746=CARTESIAN_POINT('',(-7.425E0,3.2E0,-1.5E-1)); +#6747=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6748=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6749=AXIS2_PLACEMENT_3D('',#6746,#6747,#6748); +#6750=PLANE('',#6749); +#6751=ORIENTED_EDGE('',*,*,#5272,.T.); +#6752=ORIENTED_EDGE('',*,*,#6319,.F.); +#6753=ORIENTED_EDGE('',*,*,#5430,.T.); +#6754=ORIENTED_EDGE('',*,*,#5242,.F.); +#6755=EDGE_LOOP('',(#6751,#6752,#6753,#6754)); +#6756=FACE_OUTER_BOUND('',#6755,.F.); +#6757=ADVANCED_FACE('',(#6756),#6750,.F.); +#6758=CARTESIAN_POINT('',(-8.325E0,3.2E0,1.15E0)); +#6759=DIRECTION('',(0.E0,0.E0,1.E0)); +#6760=DIRECTION('',(1.E0,0.E0,0.E0)); +#6761=AXIS2_PLACEMENT_3D('',#6758,#6759,#6760); +#6762=PLANE('',#6761); +#6764=ORIENTED_EDGE('',*,*,#6763,.T.); +#6765=ORIENTED_EDGE('',*,*,#5246,.T.); +#6766=ORIENTED_EDGE('',*,*,#5427,.T.); +#6767=ORIENTED_EDGE('',*,*,#6330,.T.); +#6768=EDGE_LOOP('',(#6764,#6765,#6766,#6767)); +#6769=FACE_OUTER_BOUND('',#6768,.F.); +#6770=ADVANCED_FACE('',(#6769),#6762,.F.); +#6771=CARTESIAN_POINT('',(-7.875E0,2.9E0,1.45E0)); +#6772=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#6773=DIRECTION('',(0.E0,-7.071067811865E-1,-7.071067811865E-1)); +#6774=AXIS2_PLACEMENT_3D('',#6771,#6772,#6773); +#6775=PLANE('',#6774); +#6776=ORIENTED_EDGE('',*,*,#5248,.T.); +#6777=ORIENTED_EDGE('',*,*,#6763,.F.); +#6778=ORIENTED_EDGE('',*,*,#6304,.T.); +#6779=ORIENTED_EDGE('',*,*,#5328,.T.); +#6780=EDGE_LOOP('',(#6776,#6777,#6778,#6779)); +#6781=FACE_OUTER_BOUND('',#6780,.F.); +#6782=ADVANCED_FACE('',(#6781),#6775,.T.); +#6783=CARTESIAN_POINT('',(2.E0,2.4E0,-1.26252E1)); +#6784=DIRECTION('',(0.E0,0.E0,1.E0)); +#6785=DIRECTION('',(0.E0,1.E0,0.E0)); +#6786=AXIS2_PLACEMENT_3D('',#6783,#6784,#6785); +#6787=CYLINDRICAL_SURFACE('',#6786,8.E-1); +#6789=ORIENTED_EDGE('',*,*,#6788,.T.); +#6791=ORIENTED_EDGE('',*,*,#6790,.F.); +#6792=ORIENTED_EDGE('',*,*,#6218,.T.); +#6793=ORIENTED_EDGE('',*,*,#6282,.T.); +#6794=ORIENTED_EDGE('',*,*,#5303,.T.); +#6795=EDGE_LOOP('',(#6789,#6791,#6792,#6793,#6794)); +#6796=FACE_OUTER_BOUND('',#6795,.F.); +#6797=ADVANCED_FACE('',(#6796),#6787,.T.); +#6798=CARTESIAN_POINT('',(0.E0,0.E0,3.15E0)); +#6799=DIRECTION('',(0.E0,0.E0,1.E0)); +#6800=DIRECTION('',(1.E0,0.E0,0.E0)); +#6801=AXIS2_PLACEMENT_3D('',#6798,#6799,#6800); +#6802=PLANE('',#6801); +#6804=ORIENTED_EDGE('',*,*,#6803,.T.); +#6806=ORIENTED_EDGE('',*,*,#6805,.T.); +#6807=ORIENTED_EDGE('',*,*,#5336,.F.); +#6808=ORIENTED_EDGE('',*,*,#6254,.F.); +#6810=ORIENTED_EDGE('',*,*,#6809,.T.); +#6811=ORIENTED_EDGE('',*,*,#5407,.T.); +#6813=ORIENTED_EDGE('',*,*,#6812,.F.); +#6814=ORIENTED_EDGE('',*,*,#6788,.F.); +#6815=ORIENTED_EDGE('',*,*,#5313,.F.); +#6816=ORIENTED_EDGE('',*,*,#5356,.F.); +#6817=ORIENTED_EDGE('',*,*,#6124,.F.); +#6818=ORIENTED_EDGE('',*,*,#6175,.F.); +#6820=ORIENTED_EDGE('',*,*,#6819,.F.); +#6822=ORIENTED_EDGE('',*,*,#6821,.F.); +#6824=ORIENTED_EDGE('',*,*,#6823,.F.); +#6826=ORIENTED_EDGE('',*,*,#6825,.F.); +#6828=ORIENTED_EDGE('',*,*,#6827,.F.); +#6830=ORIENTED_EDGE('',*,*,#6829,.F.); +#6831=ORIENTED_EDGE('',*,*,#6137,.F.); +#6833=ORIENTED_EDGE('',*,*,#6832,.T.); +#6835=ORIENTED_EDGE('',*,*,#6834,.T.); +#6837=ORIENTED_EDGE('',*,*,#6836,.T.); +#6839=ORIENTED_EDGE('',*,*,#6838,.T.); +#6841=ORIENTED_EDGE('',*,*,#6840,.T.); +#6843=ORIENTED_EDGE('',*,*,#6842,.T.); +#6845=ORIENTED_EDGE('',*,*,#6844,.T.); +#6846=ORIENTED_EDGE('',*,*,#6129,.F.); +#6847=ORIENTED_EDGE('',*,*,#5252,.F.); +#6848=ORIENTED_EDGE('',*,*,#5325,.F.); +#6849=EDGE_LOOP('',(#6804,#6806,#6807,#6808,#6810,#6811,#6813,#6814,#6815,#6816, +#6817,#6818,#6820,#6822,#6824,#6826,#6828,#6830,#6831,#6833,#6835,#6837,#6839, +#6841,#6843,#6845,#6846,#6847,#6848)); +#6850=FACE_OUTER_BOUND('',#6849,.F.); +#6851=ADVANCED_FACE('',(#6850),#6802,.T.); +#6852=CARTESIAN_POINT('',(-6.325E0,3.2E0,3.15E0)); +#6853=DIRECTION('',(-8.660254037844E-1,-5.E-1,0.E0)); +#6854=DIRECTION('',(5.E-1,-8.660254037844E-1,0.E0)); +#6855=AXIS2_PLACEMENT_3D('',#6852,#6853,#6854); +#6856=PLANE('',#6855); +#6857=ORIENTED_EDGE('',*,*,#6803,.F.); +#6858=ORIENTED_EDGE('',*,*,#5323,.T.); +#6860=ORIENTED_EDGE('',*,*,#6859,.T.); +#6862=ORIENTED_EDGE('',*,*,#6861,.F.); +#6863=EDGE_LOOP('',(#6857,#6858,#6860,#6862)); +#6864=FACE_OUTER_BOUND('',#6863,.F.); +#6865=ADVANCED_FACE('',(#6864),#6856,.F.); +#6866=CARTESIAN_POINT('',(0.E0,0.E0,3.05E0)); +#6867=DIRECTION('',(0.E0,0.E0,1.E0)); +#6868=DIRECTION('',(1.E0,0.E0,0.E0)); +#6869=AXIS2_PLACEMENT_3D('',#6866,#6867,#6868); +#6870=PLANE('',#6869); +#6871=ORIENTED_EDGE('',*,*,#5340,.T.); +#6873=ORIENTED_EDGE('',*,*,#6872,.F.); +#6874=ORIENTED_EDGE('',*,*,#6859,.F.); +#6875=EDGE_LOOP('',(#6871,#6873,#6874)); +#6876=FACE_OUTER_BOUND('',#6875,.F.); +#6877=ADVANCED_FACE('',(#6876),#6870,.T.); +#6878=CARTESIAN_POINT('',(-5.825E0,2.333974596216E0,3.15E0)); +#6879=DIRECTION('',(8.660254037844E-1,-5.E-1,0.E0)); +#6880=DIRECTION('',(5.E-1,8.660254037844E-1,0.E0)); +#6881=AXIS2_PLACEMENT_3D('',#6878,#6879,#6880); +#6882=PLANE('',#6881); +#6883=ORIENTED_EDGE('',*,*,#6805,.F.); +#6884=ORIENTED_EDGE('',*,*,#6861,.T.); +#6885=ORIENTED_EDGE('',*,*,#6872,.T.); +#6886=ORIENTED_EDGE('',*,*,#5338,.F.); +#6887=EDGE_LOOP('',(#6883,#6884,#6885,#6886)); +#6888=FACE_OUTER_BOUND('',#6887,.F.); +#6889=ADVANCED_FACE('',(#6888),#6882,.F.); +#6890=CARTESIAN_POINT('',(-1.2E0,3.2E0,3.15E0)); +#6891=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6892=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6893=AXIS2_PLACEMENT_3D('',#6890,#6891,#6892); +#6894=PLANE('',#6893); +#6895=ORIENTED_EDGE('',*,*,#6252,.T.); +#6896=ORIENTED_EDGE('',*,*,#6208,.F.); +#6897=ORIENTED_EDGE('',*,*,#5409,.F.); +#6898=ORIENTED_EDGE('',*,*,#6809,.F.); +#6899=EDGE_LOOP('',(#6895,#6896,#6897,#6898)); +#6900=FACE_OUTER_BOUND('',#6899,.F.); +#6901=ADVANCED_FACE('',(#6900),#6894,.F.); +#6902=CARTESIAN_POINT('',(1.2E0,-7.E-1,3.15E0)); +#6903=DIRECTION('',(1.E0,0.E0,0.E0)); +#6904=DIRECTION('',(0.E0,1.E0,0.E0)); +#6905=AXIS2_PLACEMENT_3D('',#6902,#6903,#6904); +#6906=PLANE('',#6905); +#6907=ORIENTED_EDGE('',*,*,#6790,.T.); +#6908=ORIENTED_EDGE('',*,*,#6812,.T.); +#6909=ORIENTED_EDGE('',*,*,#5405,.T.); +#6910=ORIENTED_EDGE('',*,*,#6220,.T.); +#6911=EDGE_LOOP('',(#6907,#6908,#6909,#6910)); +#6912=FACE_OUTER_BOUND('',#6911,.F.); +#6913=ADVANCED_FACE('',(#6912),#6906,.F.); +#6914=CARTESIAN_POINT('',(5.E0,1.7E0,3.15E0)); +#6915=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6916=DIRECTION('',(1.E0,0.E0,0.E0)); +#6917=AXIS2_PLACEMENT_3D('',#6914,#6915,#6916); +#6918=PLANE('',#6917); +#6919=ORIENTED_EDGE('',*,*,#6173,.T.); +#6920=ORIENTED_EDGE('',*,*,#6233,.F.); +#6922=ORIENTED_EDGE('',*,*,#6921,.F.); +#6923=ORIENTED_EDGE('',*,*,#6819,.T.); +#6924=EDGE_LOOP('',(#6919,#6920,#6922,#6923)); +#6925=FACE_OUTER_BOUND('',#6924,.F.); +#6926=ADVANCED_FACE('',(#6925),#6918,.T.); +#6927=CARTESIAN_POINT('',(5.E0,5.E-1,3.15E0)); +#6928=DIRECTION('',(1.E0,0.E0,0.E0)); +#6929=DIRECTION('',(0.E0,1.E0,0.E0)); +#6930=AXIS2_PLACEMENT_3D('',#6927,#6928,#6929); +#6931=PLANE('',#6930); +#6933=ORIENTED_EDGE('',*,*,#6932,.F.); +#6934=ORIENTED_EDGE('',*,*,#6821,.T.); +#6935=ORIENTED_EDGE('',*,*,#6921,.T.); +#6936=ORIENTED_EDGE('',*,*,#6231,.F.); +#6937=EDGE_LOOP('',(#6933,#6934,#6935,#6936)); +#6938=FACE_OUTER_BOUND('',#6937,.F.); +#6939=ADVANCED_FACE('',(#6938),#6931,.T.); +#6940=CARTESIAN_POINT('',(3.E0,5.E-1,3.15E0)); +#6941=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6942=DIRECTION('',(1.E0,0.E0,0.E0)); +#6943=AXIS2_PLACEMENT_3D('',#6940,#6941,#6942); +#6944=PLANE('',#6943); +#6946=ORIENTED_EDGE('',*,*,#6945,.F.); +#6947=ORIENTED_EDGE('',*,*,#6823,.T.); +#6948=ORIENTED_EDGE('',*,*,#6932,.T.); +#6949=ORIENTED_EDGE('',*,*,#6229,.F.); +#6950=EDGE_LOOP('',(#6946,#6947,#6948,#6949)); +#6951=FACE_OUTER_BOUND('',#6950,.F.); +#6952=ADVANCED_FACE('',(#6951),#6944,.T.); +#6953=CARTESIAN_POINT('',(3.E0,1.7E0,3.15E0)); +#6954=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6955=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6956=AXIS2_PLACEMENT_3D('',#6953,#6954,#6955); +#6957=PLANE('',#6956); +#6959=ORIENTED_EDGE('',*,*,#6958,.F.); +#6960=ORIENTED_EDGE('',*,*,#6825,.T.); +#6961=ORIENTED_EDGE('',*,*,#6945,.T.); +#6962=ORIENTED_EDGE('',*,*,#6227,.F.); +#6963=EDGE_LOOP('',(#6959,#6960,#6961,#6962)); +#6964=FACE_OUTER_BOUND('',#6963,.F.); +#6965=ADVANCED_FACE('',(#6964),#6957,.T.); +#6966=CARTESIAN_POINT('',(2.5E0,1.7E0,3.15E0)); +#6967=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6968=DIRECTION('',(1.E0,0.E0,0.E0)); +#6969=AXIS2_PLACEMENT_3D('',#6966,#6967,#6968); +#6970=PLANE('',#6969); +#6972=ORIENTED_EDGE('',*,*,#6971,.F.); +#6973=ORIENTED_EDGE('',*,*,#6827,.T.); +#6974=ORIENTED_EDGE('',*,*,#6958,.T.); +#6975=ORIENTED_EDGE('',*,*,#6225,.F.); +#6976=EDGE_LOOP('',(#6972,#6973,#6974,#6975)); +#6977=FACE_OUTER_BOUND('',#6976,.F.); +#6978=ADVANCED_FACE('',(#6977),#6970,.T.); +#6979=CARTESIAN_POINT('',(2.35E0,-1.5E0,3.15E0)); +#6980=DIRECTION('',(9.989031743698E-1,-4.682358629859E-2,0.E0)); +#6981=DIRECTION('',(4.682358629859E-2,9.989031743698E-1,0.E0)); +#6982=AXIS2_PLACEMENT_3D('',#6979,#6980,#6981); +#6983=PLANE('',#6982); +#6984=ORIENTED_EDGE('',*,*,#6158,.F.); +#6985=ORIENTED_EDGE('',*,*,#6139,.F.); +#6986=ORIENTED_EDGE('',*,*,#6829,.T.); +#6987=ORIENTED_EDGE('',*,*,#6971,.T.); +#6988=ORIENTED_EDGE('',*,*,#6223,.F.); +#6989=ORIENTED_EDGE('',*,*,#5486,.T.); +#6990=EDGE_LOOP('',(#6984,#6985,#6986,#6987,#6988,#6989)); +#6991=FACE_OUTER_BOUND('',#6990,.F.); +#6992=ADVANCED_FACE('',(#6991),#6983,.T.); +#6993=CARTESIAN_POINT('',(-2.35E0,-1.5E0,3.15E0)); +#6994=DIRECTION('',(9.989031743698E-1,4.682358629859E-2,0.E0)); +#6995=DIRECTION('',(-4.682358629859E-2,9.989031743698E-1,0.E0)); +#6996=AXIS2_PLACEMENT_3D('',#6993,#6994,#6995); +#6997=PLANE('',#6996); +#6998=ORIENTED_EDGE('',*,*,#6832,.F.); +#6999=ORIENTED_EDGE('',*,*,#6135,.T.); +#7001=ORIENTED_EDGE('',*,*,#7000,.T.); +#7002=ORIENTED_EDGE('',*,*,#5413,.F.); +#7003=ORIENTED_EDGE('',*,*,#6205,.T.); +#7005=ORIENTED_EDGE('',*,*,#7004,.F.); +#7006=EDGE_LOOP('',(#6998,#6999,#7001,#7002,#7003,#7005)); +#7007=FACE_OUTER_BOUND('',#7006,.F.); +#7008=ADVANCED_FACE('',(#7007),#6997,.F.); +#7009=CARTESIAN_POINT('',(0.E0,0.E0,2.25E0)); +#7010=DIRECTION('',(0.E0,0.E0,1.E0)); +#7011=DIRECTION('',(1.E0,0.E0,0.E0)); +#7012=AXIS2_PLACEMENT_3D('',#7009,#7010,#7011); +#7013=PLANE('',#7012); +#7015=ORIENTED_EDGE('',*,*,#7014,.F.); +#7016=ORIENTED_EDGE('',*,*,#5415,.T.); +#7017=ORIENTED_EDGE('',*,*,#7000,.F.); +#7018=ORIENTED_EDGE('',*,*,#6133,.F.); +#7019=EDGE_LOOP('',(#7015,#7016,#7017,#7018)); +#7020=FACE_OUTER_BOUND('',#7019,.F.); +#7021=ADVANCED_FACE('',(#7020),#7013,.T.); +#7022=CARTESIAN_POINT('',(-5.5E0,1.7E0,3.15E0)); +#7023=DIRECTION('',(-9.989031743698E-1,4.682358629859E-2,0.E0)); +#7024=DIRECTION('',(-4.682358629859E-2,-9.989031743698E-1,0.E0)); +#7025=AXIS2_PLACEMENT_3D('',#7022,#7023,#7024); +#7026=PLANE('',#7025); +#7027=ORIENTED_EDGE('',*,*,#6844,.F.); +#7029=ORIENTED_EDGE('',*,*,#7028,.T.); +#7030=ORIENTED_EDGE('',*,*,#6193,.F.); +#7031=ORIENTED_EDGE('',*,*,#5417,.T.); +#7032=ORIENTED_EDGE('',*,*,#7014,.T.); +#7033=ORIENTED_EDGE('',*,*,#6131,.F.); +#7034=EDGE_LOOP('',(#7027,#7029,#7030,#7031,#7032,#7033)); +#7035=FACE_OUTER_BOUND('',#7034,.F.); +#7036=ADVANCED_FACE('',(#7035),#7026,.F.); +#7037=CARTESIAN_POINT('',(-5.E0,1.7E0,3.15E0)); +#7038=DIRECTION('',(0.E0,1.E0,0.E0)); +#7039=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7040=AXIS2_PLACEMENT_3D('',#7037,#7038,#7039); +#7041=PLANE('',#7040); +#7042=ORIENTED_EDGE('',*,*,#6842,.F.); +#7044=ORIENTED_EDGE('',*,*,#7043,.T.); +#7045=ORIENTED_EDGE('',*,*,#6195,.T.); +#7046=ORIENTED_EDGE('',*,*,#7028,.F.); +#7047=EDGE_LOOP('',(#7042,#7044,#7045,#7046)); +#7048=FACE_OUTER_BOUND('',#7047,.F.); +#7049=ADVANCED_FACE('',(#7048),#7041,.F.); +#7050=CARTESIAN_POINT('',(-5.E0,5.E-1,3.15E0)); +#7051=DIRECTION('',(1.E0,0.E0,0.E0)); +#7052=DIRECTION('',(0.E0,1.E0,0.E0)); +#7053=AXIS2_PLACEMENT_3D('',#7050,#7051,#7052); +#7054=PLANE('',#7053); +#7055=ORIENTED_EDGE('',*,*,#6840,.F.); +#7057=ORIENTED_EDGE('',*,*,#7056,.T.); +#7058=ORIENTED_EDGE('',*,*,#6197,.T.); +#7059=ORIENTED_EDGE('',*,*,#7043,.F.); +#7060=EDGE_LOOP('',(#7055,#7057,#7058,#7059)); +#7061=FACE_OUTER_BOUND('',#7060,.F.); +#7062=ADVANCED_FACE('',(#7061),#7054,.F.); +#7063=CARTESIAN_POINT('',(-3.E0,5.E-1,3.15E0)); +#7064=DIRECTION('',(0.E0,1.E0,0.E0)); +#7065=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7066=AXIS2_PLACEMENT_3D('',#7063,#7064,#7065); +#7067=PLANE('',#7066); +#7068=ORIENTED_EDGE('',*,*,#6838,.F.); +#7070=ORIENTED_EDGE('',*,*,#7069,.T.); +#7071=ORIENTED_EDGE('',*,*,#6199,.T.); +#7072=ORIENTED_EDGE('',*,*,#7056,.F.); +#7073=EDGE_LOOP('',(#7068,#7070,#7071,#7072)); +#7074=FACE_OUTER_BOUND('',#7073,.F.); +#7075=ADVANCED_FACE('',(#7074),#7067,.F.); +#7076=CARTESIAN_POINT('',(-3.E0,1.7E0,3.15E0)); +#7077=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7078=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7079=AXIS2_PLACEMENT_3D('',#7076,#7077,#7078); +#7080=PLANE('',#7079); +#7081=ORIENTED_EDGE('',*,*,#6836,.F.); +#7083=ORIENTED_EDGE('',*,*,#7082,.T.); +#7084=ORIENTED_EDGE('',*,*,#6201,.T.); +#7085=ORIENTED_EDGE('',*,*,#7069,.F.); +#7086=EDGE_LOOP('',(#7081,#7083,#7084,#7085)); +#7087=FACE_OUTER_BOUND('',#7086,.F.); +#7088=ADVANCED_FACE('',(#7087),#7080,.F.); +#7089=CARTESIAN_POINT('',(-2.5E0,1.7E0,3.15E0)); +#7090=DIRECTION('',(0.E0,1.E0,0.E0)); +#7091=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7092=AXIS2_PLACEMENT_3D('',#7089,#7090,#7091); +#7093=PLANE('',#7092); +#7094=ORIENTED_EDGE('',*,*,#6834,.F.); +#7095=ORIENTED_EDGE('',*,*,#7004,.T.); +#7096=ORIENTED_EDGE('',*,*,#6203,.T.); +#7097=ORIENTED_EDGE('',*,*,#7082,.F.); +#7098=EDGE_LOOP('',(#7094,#7095,#7096,#7097)); +#7099=FACE_OUTER_BOUND('',#7098,.F.); +#7100=ADVANCED_FACE('',(#7099),#7093,.F.); +#7101=CARTESIAN_POINT('',(-5.75E0,0.E0,0.E0)); +#7102=DIRECTION('',(1.E0,0.E0,0.E0)); +#7103=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7104=AXIS2_PLACEMENT_3D('',#7101,#7102,#7103); +#7105=PLANE('',#7104); +#7107=ORIENTED_EDGE('',*,*,#7106,.F.); +#7108=ORIENTED_EDGE('',*,*,#5762,.F.); +#7109=ORIENTED_EDGE('',*,*,#5494,.F.); +#7110=ORIENTED_EDGE('',*,*,#5888,.F.); +#7111=EDGE_LOOP('',(#7107,#7108,#7109,#7110)); +#7112=FACE_OUTER_BOUND('',#7111,.F.); +#7113=ADVANCED_FACE('',(#7112),#7105,.T.); +#7114=CARTESIAN_POINT('',(-5.75E0,0.E0,0.E0)); +#7115=DIRECTION('',(1.E0,0.E0,0.E0)); +#7116=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7117=AXIS2_PLACEMENT_3D('',#7114,#7115,#7116); +#7118=PLANE('',#7117); +#7120=ORIENTED_EDGE('',*,*,#7119,.F.); +#7122=ORIENTED_EDGE('',*,*,#7121,.F.); +#7123=ORIENTED_EDGE('',*,*,#5624,.F.); +#7125=ORIENTED_EDGE('',*,*,#7124,.F.); +#7126=EDGE_LOOP('',(#7120,#7122,#7123,#7125)); +#7127=FACE_OUTER_BOUND('',#7126,.F.); +#7128=ADVANCED_FACE('',(#7127),#7118,.T.); +#7129=CARTESIAN_POINT('',(-5.75E0,0.E0,0.E0)); +#7130=DIRECTION('',(1.E0,0.E0,0.E0)); +#7131=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7132=AXIS2_PLACEMENT_3D('',#7129,#7130,#7131); +#7133=PLANE('',#7132); +#7135=ORIENTED_EDGE('',*,*,#7134,.F.); +#7137=ORIENTED_EDGE('',*,*,#7136,.F.); +#7139=ORIENTED_EDGE('',*,*,#7138,.F.); +#7141=ORIENTED_EDGE('',*,*,#7140,.F.); +#7142=ORIENTED_EDGE('',*,*,#5920,.F.); +#7144=ORIENTED_EDGE('',*,*,#7143,.F.); +#7146=ORIENTED_EDGE('',*,*,#7145,.F.); +#7148=ORIENTED_EDGE('',*,*,#7147,.F.); +#7149=EDGE_LOOP('',(#7135,#7137,#7139,#7141,#7142,#7144,#7146,#7148)); +#7150=FACE_OUTER_BOUND('',#7149,.F.); +#7151=ADVANCED_FACE('',(#7150),#7133,.T.); +#7152=CARTESIAN_POINT('',(-5.75E0,0.E0,0.E0)); +#7153=DIRECTION('',(1.E0,0.E0,0.E0)); +#7154=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7155=AXIS2_PLACEMENT_3D('',#7152,#7153,#7154); +#7156=PLANE('',#7155); +#7158=ORIENTED_EDGE('',*,*,#7157,.F.); +#7159=ORIENTED_EDGE('',*,*,#5848,.F.); +#7160=ORIENTED_EDGE('',*,*,#5861,.F.); +#7161=ORIENTED_EDGE('',*,*,#5875,.F.); +#7162=ORIENTED_EDGE('',*,*,#5912,.F.); +#7163=ORIENTED_EDGE('',*,*,#5747,.F.); +#7164=ORIENTED_EDGE('',*,*,#5775,.F.); +#7165=ORIENTED_EDGE('',*,*,#5788,.F.); +#7166=EDGE_LOOP('',(#7158,#7159,#7160,#7161,#7162,#7163,#7164,#7165)); +#7167=FACE_OUTER_BOUND('',#7166,.F.); +#7168=ADVANCED_FACE('',(#7167),#7156,.T.); +#7169=CARTESIAN_POINT('',(-5.825E0,2.6E0,-1.E0)); +#7170=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#7171=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#7172=AXIS2_PLACEMENT_3D('',#7169,#7170,#7171); +#7173=PLANE('',#7172); +#7175=ORIENTED_EDGE('',*,*,#7174,.F.); +#7176=ORIENTED_EDGE('',*,*,#5731,.T.); +#7177=ORIENTED_EDGE('',*,*,#7106,.T.); +#7179=ORIENTED_EDGE('',*,*,#7178,.T.); +#7180=EDGE_LOOP('',(#7175,#7176,#7177,#7179)); +#7181=FACE_OUTER_BOUND('',#7180,.F.); +#7182=ADVANCED_FACE('',(#7181),#7173,.T.); +#7183=CARTESIAN_POINT('',(-6.25E0,2.9E0,-1.25E0)); +#7184=DIRECTION('',(0.E0,1.E0,0.E0)); +#7185=DIRECTION('',(0.E0,0.E0,1.E0)); +#7186=AXIS2_PLACEMENT_3D('',#7183,#7184,#7185); +#7187=PLANE('',#7186); +#7189=ORIENTED_EDGE('',*,*,#7188,.T.); +#7190=ORIENTED_EDGE('',*,*,#5717,.T.); +#7191=ORIENTED_EDGE('',*,*,#5733,.F.); +#7192=ORIENTED_EDGE('',*,*,#7174,.T.); +#7193=EDGE_LOOP('',(#7189,#7190,#7191,#7192)); +#7194=FACE_OUTER_BOUND('',#7193,.F.); +#7195=ADVANCED_FACE('',(#7194),#7187,.T.); +#7196=CARTESIAN_POINT('',(-6.E0,2.6E0,-8.25E-1)); +#7197=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#7198=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#7199=AXIS2_PLACEMENT_3D('',#7196,#7197,#7198); +#7200=PLANE('',#7199); +#7201=ORIENTED_EDGE('',*,*,#5886,.T.); +#7202=ORIENTED_EDGE('',*,*,#5719,.F.); +#7203=ORIENTED_EDGE('',*,*,#7188,.F.); +#7204=ORIENTED_EDGE('',*,*,#7178,.F.); +#7205=EDGE_LOOP('',(#7201,#7202,#7203,#7204)); +#7206=FACE_OUTER_BOUND('',#7205,.F.); +#7207=ADVANCED_FACE('',(#7206),#7200,.T.); +#7208=CARTESIAN_POINT('',(-5.825E0,2.6E0,1.E0)); +#7209=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#7210=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#7211=AXIS2_PLACEMENT_3D('',#7208,#7209,#7210); +#7212=PLANE('',#7211); +#7214=ORIENTED_EDGE('',*,*,#7213,.F.); +#7216=ORIENTED_EDGE('',*,*,#7215,.T.); +#7217=ORIENTED_EDGE('',*,*,#7119,.T.); +#7219=ORIENTED_EDGE('',*,*,#7218,.F.); +#7220=EDGE_LOOP('',(#7214,#7216,#7217,#7219)); +#7221=FACE_OUTER_BOUND('',#7220,.F.); +#7222=ADVANCED_FACE('',(#7221),#7212,.T.); +#7223=CARTESIAN_POINT('',(-6.25E0,2.9E0,7.5E-1)); +#7224=DIRECTION('',(0.E0,1.E0,0.E0)); +#7225=DIRECTION('',(0.E0,0.E0,1.E0)); +#7226=AXIS2_PLACEMENT_3D('',#7223,#7224,#7225); +#7227=PLANE('',#7226); +#7229=ORIENTED_EDGE('',*,*,#7228,.T.); +#7231=ORIENTED_EDGE('',*,*,#7230,.T.); +#7233=ORIENTED_EDGE('',*,*,#7232,.F.); +#7234=ORIENTED_EDGE('',*,*,#7213,.T.); +#7235=EDGE_LOOP('',(#7229,#7231,#7233,#7234)); +#7236=FACE_OUTER_BOUND('',#7235,.F.); +#7237=ADVANCED_FACE('',(#7236),#7227,.T.); +#7238=CARTESIAN_POINT('',(-6.E0,2.6E0,1.175E0)); +#7239=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#7240=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#7241=AXIS2_PLACEMENT_3D('',#7238,#7239,#7240); +#7242=PLANE('',#7241); +#7244=ORIENTED_EDGE('',*,*,#7243,.T.); +#7246=ORIENTED_EDGE('',*,*,#7245,.F.); +#7247=ORIENTED_EDGE('',*,*,#7228,.F.); +#7248=ORIENTED_EDGE('',*,*,#7218,.T.); +#7249=EDGE_LOOP('',(#7244,#7246,#7247,#7248)); +#7250=FACE_OUTER_BOUND('',#7249,.F.); +#7251=ADVANCED_FACE('',(#7250),#7242,.T.); +#7252=CARTESIAN_POINT('',(-6.25E0,2.9E0,1.25E0)); +#7253=DIRECTION('',(0.E0,0.E0,1.E0)); +#7254=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7255=AXIS2_PLACEMENT_3D('',#7252,#7253,#7254); +#7256=PLANE('',#7255); +#7257=ORIENTED_EDGE('',*,*,#5676,.F.); +#7258=ORIENTED_EDGE('',*,*,#5922,.T.); +#7259=ORIENTED_EDGE('',*,*,#7140,.T.); +#7261=ORIENTED_EDGE('',*,*,#7260,.F.); +#7262=EDGE_LOOP('',(#7257,#7258,#7259,#7261)); +#7263=FACE_OUTER_BOUND('',#7262,.F.); +#7264=ADVANCED_FACE('',(#7263),#7256,.T.); +#7265=CARTESIAN_POINT('',(-6.25E0,2.9E0,1.25E0)); +#7266=DIRECTION('',(0.E0,0.E0,1.E0)); +#7267=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7268=AXIS2_PLACEMENT_3D('',#7265,#7266,#7267); +#7269=PLANE('',#7268); +#7270=ORIENTED_EDGE('',*,*,#7243,.F.); +#7271=ORIENTED_EDGE('',*,*,#7124,.T.); +#7272=ORIENTED_EDGE('',*,*,#5622,.F.); +#7273=ORIENTED_EDGE('',*,*,#5653,.F.); +#7274=EDGE_LOOP('',(#7270,#7271,#7272,#7273)); +#7275=FACE_OUTER_BOUND('',#7274,.F.); +#7276=ADVANCED_FACE('',(#7275),#7269,.T.); +#7277=CARTESIAN_POINT('',(-6.25E0,-3.7E0,1.45E0)); +#7278=DIRECTION('',(1.E0,0.E0,0.E0)); +#7279=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7280=AXIS2_PLACEMENT_3D('',#7277,#7278,#7279); +#7281=CYLINDRICAL_SURFACE('',#7280,2.E-1); +#7282=ORIENTED_EDGE('',*,*,#5678,.F.); +#7283=ORIENTED_EDGE('',*,*,#7260,.T.); +#7284=ORIENTED_EDGE('',*,*,#7138,.T.); +#7286=ORIENTED_EDGE('',*,*,#7285,.F.); +#7287=EDGE_LOOP('',(#7282,#7283,#7284,#7286)); +#7288=FACE_OUTER_BOUND('',#7287,.F.); +#7289=ADVANCED_FACE('',(#7288),#7281,.F.); +#7290=CARTESIAN_POINT('',(-6.25E0,-3.9E0,1.45E0)); +#7291=DIRECTION('',(0.E0,1.E0,0.E0)); +#7292=DIRECTION('',(0.E0,0.E0,1.E0)); +#7293=AXIS2_PLACEMENT_3D('',#7290,#7291,#7292); +#7294=PLANE('',#7293); +#7296=ORIENTED_EDGE('',*,*,#7295,.T.); +#7297=ORIENTED_EDGE('',*,*,#5680,.F.); +#7298=ORIENTED_EDGE('',*,*,#7285,.T.); +#7299=ORIENTED_EDGE('',*,*,#7136,.T.); +#7300=EDGE_LOOP('',(#7296,#7297,#7298,#7299)); +#7301=FACE_OUTER_BOUND('',#7300,.F.); +#7302=ADVANCED_FACE('',(#7301),#7294,.T.); +#7303=CARTESIAN_POINT('',(-6.E0,-3.975E0,2.35E0)); +#7304=DIRECTION('',(0.E0,9.701425001453E-1,2.425356250363E-1)); +#7305=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#7306=AXIS2_PLACEMENT_3D('',#7303,#7304,#7305); +#7307=PLANE('',#7306); +#7308=ORIENTED_EDGE('',*,*,#7295,.F.); +#7310=ORIENTED_EDGE('',*,*,#7309,.F.); +#7312=ORIENTED_EDGE('',*,*,#7311,.T.); +#7314=ORIENTED_EDGE('',*,*,#7313,.T.); +#7315=EDGE_LOOP('',(#7308,#7310,#7312,#7314)); +#7316=FACE_OUTER_BOUND('',#7315,.F.); +#7317=ADVANCED_FACE('',(#7316),#7307,.T.); +#7318=CARTESIAN_POINT('',(-5.825E0,-4.15E0,2.35E0)); +#7319=DIRECTION('',(9.701425001453E-1,0.E0,2.425356250363E-1)); +#7320=DIRECTION('',(-2.425356250363E-1,0.E0,9.701425001453E-1)); +#7321=AXIS2_PLACEMENT_3D('',#7318,#7319,#7320); +#7322=PLANE('',#7321); +#7324=ORIENTED_EDGE('',*,*,#7323,.F.); +#7325=ORIENTED_EDGE('',*,*,#7309,.T.); +#7326=ORIENTED_EDGE('',*,*,#7134,.T.); +#7328=ORIENTED_EDGE('',*,*,#7327,.T.); +#7329=EDGE_LOOP('',(#7324,#7325,#7326,#7328)); +#7330=FACE_OUTER_BOUND('',#7329,.F.); +#7331=ADVANCED_FACE('',(#7330),#7322,.T.); +#7332=CARTESIAN_POINT('',(-6.25E0,-3.9E0,2.65E0)); +#7333=DIRECTION('',(0.E0,0.E0,1.E0)); +#7334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7335=AXIS2_PLACEMENT_3D('',#7332,#7333,#7334); +#7336=PLANE('',#7335); +#7338=ORIENTED_EDGE('',*,*,#7337,.T.); +#7340=ORIENTED_EDGE('',*,*,#7339,.T.); +#7341=ORIENTED_EDGE('',*,*,#7311,.F.); +#7342=ORIENTED_EDGE('',*,*,#7323,.T.); +#7343=EDGE_LOOP('',(#7338,#7340,#7341,#7342)); +#7344=FACE_OUTER_BOUND('',#7343,.F.); +#7345=ADVANCED_FACE('',(#7344),#7336,.T.); +#7346=CARTESIAN_POINT('',(-6.E0,-4.325E0,2.35E0)); +#7347=DIRECTION('',(0.E0,-9.701425001453E-1,2.425356250363E-1)); +#7348=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#7349=AXIS2_PLACEMENT_3D('',#7346,#7347,#7348); +#7350=PLANE('',#7349); +#7352=ORIENTED_EDGE('',*,*,#7351,.T.); +#7354=ORIENTED_EDGE('',*,*,#7353,.F.); +#7355=ORIENTED_EDGE('',*,*,#7337,.F.); +#7356=ORIENTED_EDGE('',*,*,#7327,.F.); +#7357=EDGE_LOOP('',(#7352,#7354,#7355,#7356)); +#7358=FACE_OUTER_BOUND('',#7357,.F.); +#7359=ADVANCED_FACE('',(#7358),#7350,.T.); +#7360=CARTESIAN_POINT('',(-6.25E0,-4.4E0,2.65E0)); +#7361=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7362=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7363=AXIS2_PLACEMENT_3D('',#7360,#7361,#7362); +#7364=PLANE('',#7363); +#7365=ORIENTED_EDGE('',*,*,#7351,.F.); +#7366=ORIENTED_EDGE('',*,*,#7147,.T.); +#7368=ORIENTED_EDGE('',*,*,#7367,.F.); +#7369=ORIENTED_EDGE('',*,*,#5668,.F.); +#7370=EDGE_LOOP('',(#7365,#7366,#7368,#7369)); +#7371=FACE_OUTER_BOUND('',#7370,.F.); +#7372=ADVANCED_FACE('',(#7371),#7364,.T.); +#7373=CARTESIAN_POINT('',(-6.25E0,-3.7E0,1.45E0)); +#7374=DIRECTION('',(1.E0,0.E0,0.E0)); +#7375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7376=AXIS2_PLACEMENT_3D('',#7373,#7374,#7375); +#7377=CYLINDRICAL_SURFACE('',#7376,7.E-1); +#7378=ORIENTED_EDGE('',*,*,#5670,.F.); +#7379=ORIENTED_EDGE('',*,*,#7367,.T.); +#7380=ORIENTED_EDGE('',*,*,#7145,.T.); +#7382=ORIENTED_EDGE('',*,*,#7381,.F.); +#7383=EDGE_LOOP('',(#7378,#7379,#7380,#7382)); +#7384=FACE_OUTER_BOUND('',#7383,.F.); +#7385=ADVANCED_FACE('',(#7384),#7377,.T.); +#7386=CARTESIAN_POINT('',(-6.25E0,-3.7E0,7.5E-1)); +#7387=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7388=DIRECTION('',(0.E0,1.E0,0.E0)); +#7389=AXIS2_PLACEMENT_3D('',#7386,#7387,#7388); +#7390=PLANE('',#7389); +#7391=ORIENTED_EDGE('',*,*,#5672,.F.); +#7392=ORIENTED_EDGE('',*,*,#7381,.T.); +#7393=ORIENTED_EDGE('',*,*,#7143,.T.); +#7394=ORIENTED_EDGE('',*,*,#5918,.F.); +#7395=EDGE_LOOP('',(#7391,#7392,#7393,#7394)); +#7396=FACE_OUTER_BOUND('',#7395,.F.); +#7397=ADVANCED_FACE('',(#7396),#7390,.T.); +#7398=CARTESIAN_POINT('',(-6.25E0,-3.7E0,7.5E-1)); +#7399=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7400=DIRECTION('',(0.E0,1.E0,0.E0)); +#7401=AXIS2_PLACEMENT_3D('',#7398,#7399,#7400); +#7402=PLANE('',#7401); +#7404=ORIENTED_EDGE('',*,*,#7403,.T.); +#7405=ORIENTED_EDGE('',*,*,#5656,.F.); +#7406=ORIENTED_EDGE('',*,*,#5626,.T.); +#7407=ORIENTED_EDGE('',*,*,#7121,.T.); +#7408=EDGE_LOOP('',(#7404,#7405,#7406,#7407)); +#7409=FACE_OUTER_BOUND('',#7408,.F.); +#7410=ADVANCED_FACE('',(#7409),#7402,.T.); +#7411=CARTESIAN_POINT('',(-6.E0,2.6E0,8.25E-1)); +#7412=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#7413=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#7414=AXIS2_PLACEMENT_3D('',#7411,#7412,#7413); +#7415=PLANE('',#7414); +#7416=ORIENTED_EDGE('',*,*,#7403,.F.); +#7417=ORIENTED_EDGE('',*,*,#7215,.F.); +#7418=ORIENTED_EDGE('',*,*,#7232,.T.); +#7420=ORIENTED_EDGE('',*,*,#7419,.T.); +#7421=EDGE_LOOP('',(#7416,#7417,#7418,#7420)); +#7422=FACE_OUTER_BOUND('',#7421,.F.); +#7423=ADVANCED_FACE('',(#7422),#7415,.T.); +#7424=CARTESIAN_POINT('',(-6.175E0,2.6E0,1.E0)); +#7425=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#7426=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#7427=AXIS2_PLACEMENT_3D('',#7424,#7425,#7426); +#7428=PLANE('',#7427); +#7429=ORIENTED_EDGE('',*,*,#5651,.T.); +#7430=ORIENTED_EDGE('',*,*,#7419,.F.); +#7431=ORIENTED_EDGE('',*,*,#7230,.F.); +#7432=ORIENTED_EDGE('',*,*,#7245,.T.); +#7433=EDGE_LOOP('',(#7429,#7430,#7431,#7432)); +#7434=FACE_OUTER_BOUND('',#7433,.F.); +#7435=ADVANCED_FACE('',(#7434),#7428,.T.); +#7436=CARTESIAN_POINT('',(-6.175E0,-4.15E0,2.35E0)); +#7437=DIRECTION('',(-9.701425001453E-1,0.E0,2.425356250363E-1)); +#7438=DIRECTION('',(2.425356250363E-1,0.E0,9.701425001453E-1)); +#7439=AXIS2_PLACEMENT_3D('',#7436,#7437,#7438); +#7440=PLANE('',#7439); +#7441=ORIENTED_EDGE('',*,*,#5666,.T.); +#7442=ORIENTED_EDGE('',*,*,#7313,.F.); +#7443=ORIENTED_EDGE('',*,*,#7339,.F.); +#7444=ORIENTED_EDGE('',*,*,#7353,.T.); +#7445=EDGE_LOOP('',(#7441,#7442,#7443,#7444)); +#7446=FACE_OUTER_BOUND('',#7445,.F.); +#7447=ADVANCED_FACE('',(#7446),#7440,.T.); +#7448=CARTESIAN_POINT('',(-5.825E0,-4.15E0,-2.35E0)); +#7449=DIRECTION('',(9.701425001453E-1,0.E0,-2.425356250363E-1)); +#7450=DIRECTION('',(-2.425356250363E-1,0.E0,-9.701425001453E-1)); +#7451=AXIS2_PLACEMENT_3D('',#7448,#7449,#7450); +#7452=PLANE('',#7451); +#7454=ORIENTED_EDGE('',*,*,#7453,.F.); +#7455=ORIENTED_EDGE('',*,*,#5831,.T.); +#7456=ORIENTED_EDGE('',*,*,#7157,.T.); +#7457=ORIENTED_EDGE('',*,*,#5805,.T.); +#7458=EDGE_LOOP('',(#7454,#7455,#7456,#7457)); +#7459=FACE_OUTER_BOUND('',#7458,.F.); +#7460=ADVANCED_FACE('',(#7459),#7452,.T.); +#7461=CARTESIAN_POINT('',(-6.25E0,-4.4E0,-2.65E0)); +#7462=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7463=DIRECTION('',(0.E0,1.E0,0.E0)); +#7464=AXIS2_PLACEMENT_3D('',#7461,#7462,#7463); +#7465=PLANE('',#7464); +#7466=ORIENTED_EDGE('',*,*,#5803,.T.); +#7467=ORIENTED_EDGE('',*,*,#5818,.T.); +#7468=ORIENTED_EDGE('',*,*,#5833,.F.); +#7469=ORIENTED_EDGE('',*,*,#7453,.T.); +#7470=EDGE_LOOP('',(#7466,#7467,#7468,#7469)); +#7471=FACE_OUTER_BOUND('',#7470,.F.); +#7472=ADVANCED_FACE('',(#7471),#7465,.T.); +#7473=CARTESIAN_POINT('',(-4.25E0,0.E0,0.E0)); +#7474=DIRECTION('',(1.E0,0.E0,0.E0)); +#7475=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7476=AXIS2_PLACEMENT_3D('',#7473,#7474,#7475); +#7477=PLANE('',#7476); +#7479=ORIENTED_EDGE('',*,*,#7478,.F.); +#7481=ORIENTED_EDGE('',*,*,#7480,.T.); +#7482=ORIENTED_EDGE('',*,*,#5510,.T.); +#7484=ORIENTED_EDGE('',*,*,#7483,.T.); +#7485=EDGE_LOOP('',(#7479,#7481,#7482,#7484)); +#7486=FACE_OUTER_BOUND('',#7485,.F.); +#7487=ADVANCED_FACE('',(#7486),#7477,.F.); +#7488=CARTESIAN_POINT('',(-4.25E0,0.E0,0.E0)); +#7489=DIRECTION('',(1.E0,0.E0,0.E0)); +#7490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7491=AXIS2_PLACEMENT_3D('',#7488,#7489,#7490); +#7492=PLANE('',#7491); +#7494=ORIENTED_EDGE('',*,*,#7493,.F.); +#7496=ORIENTED_EDGE('',*,*,#7495,.T.); +#7497=ORIENTED_EDGE('',*,*,#5500,.T.); +#7499=ORIENTED_EDGE('',*,*,#7498,.T.); +#7500=EDGE_LOOP('',(#7494,#7496,#7497,#7499)); +#7501=FACE_OUTER_BOUND('',#7500,.F.); +#7502=ADVANCED_FACE('',(#7501),#7492,.F.); +#7503=CARTESIAN_POINT('',(-4.25E0,0.E0,0.E0)); +#7504=DIRECTION('',(1.E0,0.E0,0.E0)); +#7505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7506=AXIS2_PLACEMENT_3D('',#7503,#7504,#7505); +#7507=PLANE('',#7506); +#7509=ORIENTED_EDGE('',*,*,#7508,.F.); +#7511=ORIENTED_EDGE('',*,*,#7510,.T.); +#7513=ORIENTED_EDGE('',*,*,#7512,.T.); +#7515=ORIENTED_EDGE('',*,*,#7514,.T.); +#7516=ORIENTED_EDGE('',*,*,#5936,.T.); +#7518=ORIENTED_EDGE('',*,*,#7517,.T.); +#7520=ORIENTED_EDGE('',*,*,#7519,.T.); +#7522=ORIENTED_EDGE('',*,*,#7521,.T.); +#7523=EDGE_LOOP('',(#7509,#7511,#7513,#7515,#7516,#7518,#7520,#7522)); +#7524=FACE_OUTER_BOUND('',#7523,.F.); +#7525=ADVANCED_FACE('',(#7524),#7507,.F.); +#7526=CARTESIAN_POINT('',(-4.25E0,0.E0,0.E0)); +#7527=DIRECTION('',(1.E0,0.E0,0.E0)); +#7528=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7529=AXIS2_PLACEMENT_3D('',#7526,#7527,#7528); +#7530=PLANE('',#7529); +#7532=ORIENTED_EDGE('',*,*,#7531,.F.); +#7534=ORIENTED_EDGE('',*,*,#7533,.T.); +#7536=ORIENTED_EDGE('',*,*,#7535,.T.); +#7538=ORIENTED_EDGE('',*,*,#7537,.T.); +#7539=ORIENTED_EDGE('',*,*,#5926,.T.); +#7541=ORIENTED_EDGE('',*,*,#7540,.T.); +#7543=ORIENTED_EDGE('',*,*,#7542,.T.); +#7545=ORIENTED_EDGE('',*,*,#7544,.T.); +#7546=EDGE_LOOP('',(#7532,#7534,#7536,#7538,#7539,#7541,#7543,#7545)); +#7547=FACE_OUTER_BOUND('',#7546,.F.); +#7548=ADVANCED_FACE('',(#7547),#7530,.F.); +#7549=CARTESIAN_POINT('',(-4.175E0,2.6E0,-1.E0)); +#7550=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#7551=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#7552=AXIS2_PLACEMENT_3D('',#7549,#7550,#7551); +#7553=PLANE('',#7552); +#7554=ORIENTED_EDGE('',*,*,#7478,.T.); +#7556=ORIENTED_EDGE('',*,*,#7555,.F.); +#7558=ORIENTED_EDGE('',*,*,#7557,.F.); +#7560=ORIENTED_EDGE('',*,*,#7559,.T.); +#7561=EDGE_LOOP('',(#7554,#7556,#7558,#7560)); +#7562=FACE_OUTER_BOUND('',#7561,.F.); +#7563=ADVANCED_FACE('',(#7562),#7553,.T.); +#7564=CARTESIAN_POINT('',(-4.E0,2.6E0,-1.175E0)); +#7565=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#7566=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#7567=AXIS2_PLACEMENT_3D('',#7564,#7565,#7566); +#7568=PLANE('',#7567); +#7570=ORIENTED_EDGE('',*,*,#7569,.F.); +#7572=ORIENTED_EDGE('',*,*,#7571,.F.); +#7574=ORIENTED_EDGE('',*,*,#7573,.T.); +#7575=ORIENTED_EDGE('',*,*,#7555,.T.); +#7576=EDGE_LOOP('',(#7570,#7572,#7574,#7575)); +#7577=FACE_OUTER_BOUND('',#7576,.F.); +#7578=ADVANCED_FACE('',(#7577),#7568,.T.); +#7579=CARTESIAN_POINT('',(-4.25E0,-3.7E0,-1.25E0)); +#7580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7581=DIRECTION('',(0.E0,1.E0,0.E0)); +#7582=AXIS2_PLACEMENT_3D('',#7579,#7580,#7581); +#7583=PLANE('',#7582); +#7584=ORIENTED_EDGE('',*,*,#7537,.F.); +#7586=ORIENTED_EDGE('',*,*,#7585,.T.); +#7588=ORIENTED_EDGE('',*,*,#7587,.T.); +#7589=ORIENTED_EDGE('',*,*,#5928,.F.); +#7590=EDGE_LOOP('',(#7584,#7586,#7588,#7589)); +#7591=FACE_OUTER_BOUND('',#7590,.F.); +#7592=ADVANCED_FACE('',(#7591),#7583,.T.); +#7593=CARTESIAN_POINT('',(-4.25E0,-3.7E0,-1.25E0)); +#7594=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7595=DIRECTION('',(0.E0,1.E0,0.E0)); +#7596=AXIS2_PLACEMENT_3D('',#7593,#7594,#7595); +#7597=PLANE('',#7596); +#7598=ORIENTED_EDGE('',*,*,#7569,.T.); +#7599=ORIENTED_EDGE('',*,*,#7483,.F.); +#7600=ORIENTED_EDGE('',*,*,#5516,.T.); +#7602=ORIENTED_EDGE('',*,*,#7601,.T.); +#7603=EDGE_LOOP('',(#7598,#7599,#7600,#7602)); +#7604=FACE_OUTER_BOUND('',#7603,.F.); +#7605=ADVANCED_FACE('',(#7604),#7597,.T.); +#7606=CARTESIAN_POINT('',(-4.25E0,-3.7E0,-1.45E0)); +#7607=DIRECTION('',(1.E0,0.E0,0.E0)); +#7608=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7609=AXIS2_PLACEMENT_3D('',#7606,#7607,#7608); +#7610=CYLINDRICAL_SURFACE('',#7609,2.E-1); +#7611=ORIENTED_EDGE('',*,*,#7535,.F.); +#7613=ORIENTED_EDGE('',*,*,#7612,.T.); +#7615=ORIENTED_EDGE('',*,*,#7614,.T.); +#7616=ORIENTED_EDGE('',*,*,#7585,.F.); +#7617=EDGE_LOOP('',(#7611,#7613,#7615,#7616)); +#7618=FACE_OUTER_BOUND('',#7617,.F.); +#7619=ADVANCED_FACE('',(#7618),#7610,.F.); +#7620=CARTESIAN_POINT('',(-4.25E0,-3.9E0,-2.65E0)); +#7621=DIRECTION('',(0.E0,1.E0,0.E0)); +#7622=DIRECTION('',(0.E0,0.E0,1.E0)); +#7623=AXIS2_PLACEMENT_3D('',#7620,#7621,#7622); +#7624=PLANE('',#7623); +#7626=ORIENTED_EDGE('',*,*,#7625,.F.); +#7628=ORIENTED_EDGE('',*,*,#7627,.T.); +#7629=ORIENTED_EDGE('',*,*,#7612,.F.); +#7630=ORIENTED_EDGE('',*,*,#7533,.F.); +#7631=EDGE_LOOP('',(#7626,#7628,#7629,#7630)); +#7632=FACE_OUTER_BOUND('',#7631,.F.); +#7633=ADVANCED_FACE('',(#7632),#7624,.T.); +#7634=CARTESIAN_POINT('',(-4.E0,-3.975E0,-2.35E0)); +#7635=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#7636=DIRECTION('',(0.E0,-2.425356250363E-1,-9.701425001453E-1)); +#7637=AXIS2_PLACEMENT_3D('',#7634,#7635,#7636); +#7638=PLANE('',#7637); +#7639=ORIENTED_EDGE('',*,*,#7625,.T.); +#7641=ORIENTED_EDGE('',*,*,#7640,.F.); +#7643=ORIENTED_EDGE('',*,*,#7642,.F.); +#7645=ORIENTED_EDGE('',*,*,#7644,.F.); +#7646=EDGE_LOOP('',(#7639,#7641,#7643,#7645)); +#7647=FACE_OUTER_BOUND('',#7646,.F.); +#7648=ADVANCED_FACE('',(#7647),#7638,.T.); +#7649=CARTESIAN_POINT('',(-4.175E0,-4.15E0,-2.35E0)); +#7650=DIRECTION('',(-9.701425001453E-1,0.E0,-2.425356250363E-1)); +#7651=DIRECTION('',(2.425356250363E-1,0.E0,-9.701425001453E-1)); +#7652=AXIS2_PLACEMENT_3D('',#7649,#7650,#7651); +#7653=PLANE('',#7652); +#7654=ORIENTED_EDGE('',*,*,#7531,.T.); +#7656=ORIENTED_EDGE('',*,*,#7655,.F.); +#7658=ORIENTED_EDGE('',*,*,#7657,.F.); +#7659=ORIENTED_EDGE('',*,*,#7640,.T.); +#7660=EDGE_LOOP('',(#7654,#7656,#7658,#7659)); +#7661=FACE_OUTER_BOUND('',#7660,.F.); +#7662=ADVANCED_FACE('',(#7661),#7653,.T.); +#7663=CARTESIAN_POINT('',(-4.E0,-4.325E0,-2.35E0)); +#7664=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#7665=DIRECTION('',(0.E0,-2.425356250363E-1,9.701425001453E-1)); +#7666=AXIS2_PLACEMENT_3D('',#7663,#7664,#7665); +#7667=PLANE('',#7666); +#7669=ORIENTED_EDGE('',*,*,#7668,.F.); +#7671=ORIENTED_EDGE('',*,*,#7670,.F.); +#7673=ORIENTED_EDGE('',*,*,#7672,.T.); +#7674=ORIENTED_EDGE('',*,*,#7655,.T.); +#7675=EDGE_LOOP('',(#7669,#7671,#7673,#7674)); +#7676=FACE_OUTER_BOUND('',#7675,.F.); +#7677=ADVANCED_FACE('',(#7676),#7667,.T.); +#7678=CARTESIAN_POINT('',(-4.25E0,-4.4E0,-1.45E0)); +#7679=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7680=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7681=AXIS2_PLACEMENT_3D('',#7678,#7679,#7680); +#7682=PLANE('',#7681); +#7683=ORIENTED_EDGE('',*,*,#7668,.T.); +#7684=ORIENTED_EDGE('',*,*,#7544,.F.); +#7686=ORIENTED_EDGE('',*,*,#7685,.T.); +#7688=ORIENTED_EDGE('',*,*,#7687,.T.); +#7689=EDGE_LOOP('',(#7683,#7684,#7686,#7688)); +#7690=FACE_OUTER_BOUND('',#7689,.F.); +#7691=ADVANCED_FACE('',(#7690),#7682,.T.); +#7692=CARTESIAN_POINT('',(-4.25E0,-3.7E0,-1.45E0)); +#7693=DIRECTION('',(1.E0,0.E0,0.E0)); +#7694=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7695=AXIS2_PLACEMENT_3D('',#7692,#7693,#7694); +#7696=CYLINDRICAL_SURFACE('',#7695,7.E-1); +#7697=ORIENTED_EDGE('',*,*,#7542,.F.); +#7699=ORIENTED_EDGE('',*,*,#7698,.T.); +#7701=ORIENTED_EDGE('',*,*,#7700,.T.); +#7702=ORIENTED_EDGE('',*,*,#7685,.F.); +#7703=EDGE_LOOP('',(#7697,#7699,#7701,#7702)); +#7704=FACE_OUTER_BOUND('',#7703,.F.); +#7705=ADVANCED_FACE('',(#7704),#7696,.T.); +#7706=CARTESIAN_POINT('',(-4.25E0,2.9E0,-7.5E-1)); +#7707=DIRECTION('',(0.E0,0.E0,1.E0)); +#7708=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7709=AXIS2_PLACEMENT_3D('',#7706,#7707,#7708); +#7710=PLANE('',#7709); +#7711=ORIENTED_EDGE('',*,*,#7540,.F.); +#7712=ORIENTED_EDGE('',*,*,#5932,.T.); +#7714=ORIENTED_EDGE('',*,*,#7713,.T.); +#7715=ORIENTED_EDGE('',*,*,#7698,.F.); +#7716=EDGE_LOOP('',(#7711,#7712,#7714,#7715)); +#7717=FACE_OUTER_BOUND('',#7716,.F.); +#7718=ADVANCED_FACE('',(#7717),#7710,.T.); +#7719=CARTESIAN_POINT('',(-4.25E0,2.9E0,-7.5E-1)); +#7720=DIRECTION('',(0.E0,0.E0,1.E0)); +#7721=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7722=AXIS2_PLACEMENT_3D('',#7719,#7720,#7721); +#7723=PLANE('',#7722); +#7725=ORIENTED_EDGE('',*,*,#7724,.F.); +#7727=ORIENTED_EDGE('',*,*,#7726,.T.); +#7728=ORIENTED_EDGE('',*,*,#5512,.F.); +#7729=ORIENTED_EDGE('',*,*,#7480,.F.); +#7730=EDGE_LOOP('',(#7725,#7727,#7728,#7729)); +#7731=FACE_OUTER_BOUND('',#7730,.F.); +#7732=ADVANCED_FACE('',(#7731),#7723,.T.); +#7733=CARTESIAN_POINT('',(-3.75E0,0.E0,0.E0)); +#7734=DIRECTION('',(1.E0,0.E0,0.E0)); +#7735=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7736=AXIS2_PLACEMENT_3D('',#7733,#7734,#7735); +#7737=PLANE('',#7736); +#7739=ORIENTED_EDGE('',*,*,#7738,.F.); +#7740=ORIENTED_EDGE('',*,*,#7601,.F.); +#7741=ORIENTED_EDGE('',*,*,#5514,.F.); +#7742=ORIENTED_EDGE('',*,*,#7726,.F.); +#7743=EDGE_LOOP('',(#7739,#7740,#7741,#7742)); +#7744=FACE_OUTER_BOUND('',#7743,.F.); +#7745=ADVANCED_FACE('',(#7744),#7737,.T.); +#7746=CARTESIAN_POINT('',(-3.75E0,0.E0,0.E0)); +#7747=DIRECTION('',(1.E0,0.E0,0.E0)); +#7748=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7749=AXIS2_PLACEMENT_3D('',#7746,#7747,#7748); +#7750=PLANE('',#7749); +#7752=ORIENTED_EDGE('',*,*,#7751,.F.); +#7754=ORIENTED_EDGE('',*,*,#7753,.F.); +#7755=ORIENTED_EDGE('',*,*,#5504,.F.); +#7757=ORIENTED_EDGE('',*,*,#7756,.F.); +#7758=EDGE_LOOP('',(#7752,#7754,#7755,#7757)); +#7759=FACE_OUTER_BOUND('',#7758,.F.); +#7760=ADVANCED_FACE('',(#7759),#7750,.T.); +#7761=CARTESIAN_POINT('',(-3.75E0,0.E0,0.E0)); +#7762=DIRECTION('',(1.E0,0.E0,0.E0)); +#7763=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7764=AXIS2_PLACEMENT_3D('',#7761,#7762,#7763); +#7765=PLANE('',#7764); +#7767=ORIENTED_EDGE('',*,*,#7766,.F.); +#7769=ORIENTED_EDGE('',*,*,#7768,.F.); +#7771=ORIENTED_EDGE('',*,*,#7770,.F.); +#7773=ORIENTED_EDGE('',*,*,#7772,.F.); +#7774=ORIENTED_EDGE('',*,*,#5940,.F.); +#7776=ORIENTED_EDGE('',*,*,#7775,.F.); +#7778=ORIENTED_EDGE('',*,*,#7777,.F.); +#7780=ORIENTED_EDGE('',*,*,#7779,.F.); +#7781=EDGE_LOOP('',(#7767,#7769,#7771,#7773,#7774,#7776,#7778,#7780)); +#7782=FACE_OUTER_BOUND('',#7781,.F.); +#7783=ADVANCED_FACE('',(#7782),#7765,.T.); +#7784=CARTESIAN_POINT('',(-3.75E0,0.E0,0.E0)); +#7785=DIRECTION('',(1.E0,0.E0,0.E0)); +#7786=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7787=AXIS2_PLACEMENT_3D('',#7784,#7785,#7786); +#7788=PLANE('',#7787); +#7790=ORIENTED_EDGE('',*,*,#7789,.F.); +#7791=ORIENTED_EDGE('',*,*,#7687,.F.); +#7792=ORIENTED_EDGE('',*,*,#7700,.F.); +#7793=ORIENTED_EDGE('',*,*,#7713,.F.); +#7794=ORIENTED_EDGE('',*,*,#5930,.F.); +#7795=ORIENTED_EDGE('',*,*,#7587,.F.); +#7796=ORIENTED_EDGE('',*,*,#7614,.F.); +#7797=ORIENTED_EDGE('',*,*,#7627,.F.); +#7798=EDGE_LOOP('',(#7790,#7791,#7792,#7793,#7794,#7795,#7796,#7797)); +#7799=FACE_OUTER_BOUND('',#7798,.F.); +#7800=ADVANCED_FACE('',(#7799),#7788,.T.); +#7801=CARTESIAN_POINT('',(-3.825E0,2.6E0,-1.E0)); +#7802=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#7803=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#7804=AXIS2_PLACEMENT_3D('',#7801,#7802,#7803); +#7805=PLANE('',#7804); +#7807=ORIENTED_EDGE('',*,*,#7806,.F.); +#7808=ORIENTED_EDGE('',*,*,#7571,.T.); +#7809=ORIENTED_EDGE('',*,*,#7738,.T.); +#7811=ORIENTED_EDGE('',*,*,#7810,.T.); +#7812=EDGE_LOOP('',(#7807,#7808,#7809,#7811)); +#7813=FACE_OUTER_BOUND('',#7812,.F.); +#7814=ADVANCED_FACE('',(#7813),#7805,.T.); +#7815=CARTESIAN_POINT('',(-4.25E0,2.9E0,-1.25E0)); +#7816=DIRECTION('',(0.E0,1.E0,0.E0)); +#7817=DIRECTION('',(0.E0,0.E0,1.E0)); +#7818=AXIS2_PLACEMENT_3D('',#7815,#7816,#7817); +#7819=PLANE('',#7818); +#7821=ORIENTED_EDGE('',*,*,#7820,.T.); +#7822=ORIENTED_EDGE('',*,*,#7557,.T.); +#7823=ORIENTED_EDGE('',*,*,#7573,.F.); +#7824=ORIENTED_EDGE('',*,*,#7806,.T.); +#7825=EDGE_LOOP('',(#7821,#7822,#7823,#7824)); +#7826=FACE_OUTER_BOUND('',#7825,.F.); +#7827=ADVANCED_FACE('',(#7826),#7819,.T.); +#7828=CARTESIAN_POINT('',(-4.E0,2.6E0,-8.25E-1)); +#7829=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#7830=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#7831=AXIS2_PLACEMENT_3D('',#7828,#7829,#7830); +#7832=PLANE('',#7831); +#7833=ORIENTED_EDGE('',*,*,#7724,.T.); +#7834=ORIENTED_EDGE('',*,*,#7559,.F.); +#7835=ORIENTED_EDGE('',*,*,#7820,.F.); +#7836=ORIENTED_EDGE('',*,*,#7810,.F.); +#7837=EDGE_LOOP('',(#7833,#7834,#7835,#7836)); +#7838=FACE_OUTER_BOUND('',#7837,.F.); +#7839=ADVANCED_FACE('',(#7838),#7832,.T.); +#7840=CARTESIAN_POINT('',(-3.825E0,2.6E0,1.E0)); +#7841=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#7842=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#7843=AXIS2_PLACEMENT_3D('',#7840,#7841,#7842); +#7844=PLANE('',#7843); +#7846=ORIENTED_EDGE('',*,*,#7845,.F.); +#7848=ORIENTED_EDGE('',*,*,#7847,.T.); +#7849=ORIENTED_EDGE('',*,*,#7751,.T.); +#7851=ORIENTED_EDGE('',*,*,#7850,.F.); +#7852=EDGE_LOOP('',(#7846,#7848,#7849,#7851)); +#7853=FACE_OUTER_BOUND('',#7852,.F.); +#7854=ADVANCED_FACE('',(#7853),#7844,.T.); +#7855=CARTESIAN_POINT('',(-4.25E0,2.9E0,7.5E-1)); +#7856=DIRECTION('',(0.E0,1.E0,0.E0)); +#7857=DIRECTION('',(0.E0,0.E0,1.E0)); +#7858=AXIS2_PLACEMENT_3D('',#7855,#7856,#7857); +#7859=PLANE('',#7858); +#7861=ORIENTED_EDGE('',*,*,#7860,.T.); +#7863=ORIENTED_EDGE('',*,*,#7862,.T.); +#7865=ORIENTED_EDGE('',*,*,#7864,.F.); +#7866=ORIENTED_EDGE('',*,*,#7845,.T.); +#7867=EDGE_LOOP('',(#7861,#7863,#7865,#7866)); +#7868=FACE_OUTER_BOUND('',#7867,.F.); +#7869=ADVANCED_FACE('',(#7868),#7859,.T.); +#7870=CARTESIAN_POINT('',(-4.E0,2.6E0,1.175E0)); +#7871=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#7872=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#7873=AXIS2_PLACEMENT_3D('',#7870,#7871,#7872); +#7874=PLANE('',#7873); +#7876=ORIENTED_EDGE('',*,*,#7875,.T.); +#7878=ORIENTED_EDGE('',*,*,#7877,.F.); +#7879=ORIENTED_EDGE('',*,*,#7860,.F.); +#7880=ORIENTED_EDGE('',*,*,#7850,.T.); +#7881=EDGE_LOOP('',(#7876,#7878,#7879,#7880)); +#7882=FACE_OUTER_BOUND('',#7881,.F.); +#7883=ADVANCED_FACE('',(#7882),#7874,.T.); +#7884=CARTESIAN_POINT('',(-4.25E0,2.9E0,1.25E0)); +#7885=DIRECTION('',(0.E0,0.E0,1.E0)); +#7886=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7887=AXIS2_PLACEMENT_3D('',#7884,#7885,#7886); +#7888=PLANE('',#7887); +#7889=ORIENTED_EDGE('',*,*,#7517,.F.); +#7890=ORIENTED_EDGE('',*,*,#5942,.T.); +#7891=ORIENTED_EDGE('',*,*,#7772,.T.); +#7893=ORIENTED_EDGE('',*,*,#7892,.F.); +#7894=EDGE_LOOP('',(#7889,#7890,#7891,#7893)); +#7895=FACE_OUTER_BOUND('',#7894,.F.); +#7896=ADVANCED_FACE('',(#7895),#7888,.T.); +#7897=CARTESIAN_POINT('',(-4.25E0,2.9E0,1.25E0)); +#7898=DIRECTION('',(0.E0,0.E0,1.E0)); +#7899=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7900=AXIS2_PLACEMENT_3D('',#7897,#7898,#7899); +#7901=PLANE('',#7900); +#7902=ORIENTED_EDGE('',*,*,#7875,.F.); +#7903=ORIENTED_EDGE('',*,*,#7756,.T.); +#7904=ORIENTED_EDGE('',*,*,#5502,.F.); +#7905=ORIENTED_EDGE('',*,*,#7495,.F.); +#7906=EDGE_LOOP('',(#7902,#7903,#7904,#7905)); +#7907=FACE_OUTER_BOUND('',#7906,.F.); +#7908=ADVANCED_FACE('',(#7907),#7901,.T.); +#7909=CARTESIAN_POINT('',(-4.25E0,-3.7E0,1.45E0)); +#7910=DIRECTION('',(1.E0,0.E0,0.E0)); +#7911=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7912=AXIS2_PLACEMENT_3D('',#7909,#7910,#7911); +#7913=CYLINDRICAL_SURFACE('',#7912,2.E-1); +#7914=ORIENTED_EDGE('',*,*,#7519,.F.); +#7915=ORIENTED_EDGE('',*,*,#7892,.T.); +#7916=ORIENTED_EDGE('',*,*,#7770,.T.); +#7918=ORIENTED_EDGE('',*,*,#7917,.F.); +#7919=EDGE_LOOP('',(#7914,#7915,#7916,#7918)); +#7920=FACE_OUTER_BOUND('',#7919,.F.); +#7921=ADVANCED_FACE('',(#7920),#7913,.F.); +#7922=CARTESIAN_POINT('',(-4.25E0,-3.9E0,1.45E0)); +#7923=DIRECTION('',(0.E0,1.E0,0.E0)); +#7924=DIRECTION('',(0.E0,0.E0,1.E0)); +#7925=AXIS2_PLACEMENT_3D('',#7922,#7923,#7924); +#7926=PLANE('',#7925); +#7928=ORIENTED_EDGE('',*,*,#7927,.T.); +#7929=ORIENTED_EDGE('',*,*,#7521,.F.); +#7930=ORIENTED_EDGE('',*,*,#7917,.T.); +#7931=ORIENTED_EDGE('',*,*,#7768,.T.); +#7932=EDGE_LOOP('',(#7928,#7929,#7930,#7931)); +#7933=FACE_OUTER_BOUND('',#7932,.F.); +#7934=ADVANCED_FACE('',(#7933),#7926,.T.); +#7935=CARTESIAN_POINT('',(-4.E0,-3.975E0,2.35E0)); +#7936=DIRECTION('',(0.E0,9.701425001453E-1,2.425356250363E-1)); +#7937=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#7938=AXIS2_PLACEMENT_3D('',#7935,#7936,#7937); +#7939=PLANE('',#7938); +#7940=ORIENTED_EDGE('',*,*,#7927,.F.); +#7942=ORIENTED_EDGE('',*,*,#7941,.F.); +#7944=ORIENTED_EDGE('',*,*,#7943,.T.); +#7946=ORIENTED_EDGE('',*,*,#7945,.T.); +#7947=EDGE_LOOP('',(#7940,#7942,#7944,#7946)); +#7948=FACE_OUTER_BOUND('',#7947,.F.); +#7949=ADVANCED_FACE('',(#7948),#7939,.T.); +#7950=CARTESIAN_POINT('',(-3.825E0,-4.15E0,2.35E0)); +#7951=DIRECTION('',(9.701425001453E-1,0.E0,2.425356250363E-1)); +#7952=DIRECTION('',(-2.425356250363E-1,0.E0,9.701425001453E-1)); +#7953=AXIS2_PLACEMENT_3D('',#7950,#7951,#7952); +#7954=PLANE('',#7953); +#7956=ORIENTED_EDGE('',*,*,#7955,.F.); +#7957=ORIENTED_EDGE('',*,*,#7941,.T.); +#7958=ORIENTED_EDGE('',*,*,#7766,.T.); +#7960=ORIENTED_EDGE('',*,*,#7959,.T.); +#7961=EDGE_LOOP('',(#7956,#7957,#7958,#7960)); +#7962=FACE_OUTER_BOUND('',#7961,.F.); +#7963=ADVANCED_FACE('',(#7962),#7954,.T.); +#7964=CARTESIAN_POINT('',(-4.25E0,-3.9E0,2.65E0)); +#7965=DIRECTION('',(0.E0,0.E0,1.E0)); +#7966=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7967=AXIS2_PLACEMENT_3D('',#7964,#7965,#7966); +#7968=PLANE('',#7967); +#7970=ORIENTED_EDGE('',*,*,#7969,.T.); +#7972=ORIENTED_EDGE('',*,*,#7971,.T.); +#7973=ORIENTED_EDGE('',*,*,#7943,.F.); +#7974=ORIENTED_EDGE('',*,*,#7955,.T.); +#7975=EDGE_LOOP('',(#7970,#7972,#7973,#7974)); +#7976=FACE_OUTER_BOUND('',#7975,.F.); +#7977=ADVANCED_FACE('',(#7976),#7968,.T.); +#7978=CARTESIAN_POINT('',(-4.E0,-4.325E0,2.35E0)); +#7979=DIRECTION('',(0.E0,-9.701425001453E-1,2.425356250363E-1)); +#7980=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#7981=AXIS2_PLACEMENT_3D('',#7978,#7979,#7980); +#7982=PLANE('',#7981); +#7984=ORIENTED_EDGE('',*,*,#7983,.T.); +#7986=ORIENTED_EDGE('',*,*,#7985,.F.); +#7987=ORIENTED_EDGE('',*,*,#7969,.F.); +#7988=ORIENTED_EDGE('',*,*,#7959,.F.); +#7989=EDGE_LOOP('',(#7984,#7986,#7987,#7988)); +#7990=FACE_OUTER_BOUND('',#7989,.F.); +#7991=ADVANCED_FACE('',(#7990),#7982,.T.); +#7992=CARTESIAN_POINT('',(-4.25E0,-4.4E0,2.65E0)); +#7993=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7994=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7995=AXIS2_PLACEMENT_3D('',#7992,#7993,#7994); +#7996=PLANE('',#7995); +#7997=ORIENTED_EDGE('',*,*,#7983,.F.); +#7998=ORIENTED_EDGE('',*,*,#7779,.T.); +#8000=ORIENTED_EDGE('',*,*,#7999,.F.); +#8001=ORIENTED_EDGE('',*,*,#7510,.F.); +#8002=EDGE_LOOP('',(#7997,#7998,#8000,#8001)); +#8003=FACE_OUTER_BOUND('',#8002,.F.); +#8004=ADVANCED_FACE('',(#8003),#7996,.T.); +#8005=CARTESIAN_POINT('',(-4.25E0,-3.7E0,1.45E0)); +#8006=DIRECTION('',(1.E0,0.E0,0.E0)); +#8007=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8008=AXIS2_PLACEMENT_3D('',#8005,#8006,#8007); +#8009=CYLINDRICAL_SURFACE('',#8008,7.E-1); +#8010=ORIENTED_EDGE('',*,*,#7512,.F.); +#8011=ORIENTED_EDGE('',*,*,#7999,.T.); +#8012=ORIENTED_EDGE('',*,*,#7777,.T.); +#8014=ORIENTED_EDGE('',*,*,#8013,.F.); +#8015=EDGE_LOOP('',(#8010,#8011,#8012,#8014)); +#8016=FACE_OUTER_BOUND('',#8015,.F.); +#8017=ADVANCED_FACE('',(#8016),#8009,.T.); +#8018=CARTESIAN_POINT('',(-4.25E0,-3.7E0,7.5E-1)); +#8019=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8020=DIRECTION('',(0.E0,1.E0,0.E0)); +#8021=AXIS2_PLACEMENT_3D('',#8018,#8019,#8020); +#8022=PLANE('',#8021); +#8023=ORIENTED_EDGE('',*,*,#7514,.F.); +#8024=ORIENTED_EDGE('',*,*,#8013,.T.); +#8025=ORIENTED_EDGE('',*,*,#7775,.T.); +#8026=ORIENTED_EDGE('',*,*,#5938,.F.); +#8027=EDGE_LOOP('',(#8023,#8024,#8025,#8026)); +#8028=FACE_OUTER_BOUND('',#8027,.F.); +#8029=ADVANCED_FACE('',(#8028),#8022,.T.); +#8030=CARTESIAN_POINT('',(-4.25E0,-3.7E0,7.5E-1)); +#8031=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8032=DIRECTION('',(0.E0,1.E0,0.E0)); +#8033=AXIS2_PLACEMENT_3D('',#8030,#8031,#8032); +#8034=PLANE('',#8033); +#8036=ORIENTED_EDGE('',*,*,#8035,.T.); +#8037=ORIENTED_EDGE('',*,*,#7498,.F.); +#8038=ORIENTED_EDGE('',*,*,#5506,.T.); +#8039=ORIENTED_EDGE('',*,*,#7753,.T.); +#8040=EDGE_LOOP('',(#8036,#8037,#8038,#8039)); +#8041=FACE_OUTER_BOUND('',#8040,.F.); +#8042=ADVANCED_FACE('',(#8041),#8034,.T.); +#8043=CARTESIAN_POINT('',(-4.E0,2.6E0,8.25E-1)); +#8044=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#8045=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#8046=AXIS2_PLACEMENT_3D('',#8043,#8044,#8045); +#8047=PLANE('',#8046); +#8048=ORIENTED_EDGE('',*,*,#8035,.F.); +#8049=ORIENTED_EDGE('',*,*,#7847,.F.); +#8050=ORIENTED_EDGE('',*,*,#7864,.T.); +#8052=ORIENTED_EDGE('',*,*,#8051,.T.); +#8053=EDGE_LOOP('',(#8048,#8049,#8050,#8052)); +#8054=FACE_OUTER_BOUND('',#8053,.F.); +#8055=ADVANCED_FACE('',(#8054),#8047,.T.); +#8056=CARTESIAN_POINT('',(-4.175E0,2.6E0,1.E0)); +#8057=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#8058=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#8059=AXIS2_PLACEMENT_3D('',#8056,#8057,#8058); +#8060=PLANE('',#8059); +#8061=ORIENTED_EDGE('',*,*,#7493,.T.); +#8062=ORIENTED_EDGE('',*,*,#8051,.F.); +#8063=ORIENTED_EDGE('',*,*,#7862,.F.); +#8064=ORIENTED_EDGE('',*,*,#7877,.T.); +#8065=EDGE_LOOP('',(#8061,#8062,#8063,#8064)); +#8066=FACE_OUTER_BOUND('',#8065,.F.); +#8067=ADVANCED_FACE('',(#8066),#8060,.T.); +#8068=CARTESIAN_POINT('',(-4.175E0,-4.15E0,2.35E0)); +#8069=DIRECTION('',(-9.701425001453E-1,0.E0,2.425356250363E-1)); +#8070=DIRECTION('',(2.425356250363E-1,0.E0,9.701425001453E-1)); +#8071=AXIS2_PLACEMENT_3D('',#8068,#8069,#8070); +#8072=PLANE('',#8071); +#8073=ORIENTED_EDGE('',*,*,#7508,.T.); +#8074=ORIENTED_EDGE('',*,*,#7945,.F.); +#8075=ORIENTED_EDGE('',*,*,#7971,.F.); +#8076=ORIENTED_EDGE('',*,*,#7985,.T.); +#8077=EDGE_LOOP('',(#8073,#8074,#8075,#8076)); +#8078=FACE_OUTER_BOUND('',#8077,.F.); +#8079=ADVANCED_FACE('',(#8078),#8072,.T.); +#8080=CARTESIAN_POINT('',(-3.825E0,-4.15E0,-2.35E0)); +#8081=DIRECTION('',(9.701425001453E-1,0.E0,-2.425356250363E-1)); +#8082=DIRECTION('',(-2.425356250363E-1,0.E0,-9.701425001453E-1)); +#8083=AXIS2_PLACEMENT_3D('',#8080,#8081,#8082); +#8084=PLANE('',#8083); +#8086=ORIENTED_EDGE('',*,*,#8085,.F.); +#8087=ORIENTED_EDGE('',*,*,#7670,.T.); +#8088=ORIENTED_EDGE('',*,*,#7789,.T.); +#8089=ORIENTED_EDGE('',*,*,#7644,.T.); +#8090=EDGE_LOOP('',(#8086,#8087,#8088,#8089)); +#8091=FACE_OUTER_BOUND('',#8090,.F.); +#8092=ADVANCED_FACE('',(#8091),#8084,.T.); +#8093=CARTESIAN_POINT('',(-4.25E0,-4.4E0,-2.65E0)); +#8094=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8095=DIRECTION('',(0.E0,1.E0,0.E0)); +#8096=AXIS2_PLACEMENT_3D('',#8093,#8094,#8095); +#8097=PLANE('',#8096); +#8098=ORIENTED_EDGE('',*,*,#7642,.T.); +#8099=ORIENTED_EDGE('',*,*,#7657,.T.); +#8100=ORIENTED_EDGE('',*,*,#7672,.F.); +#8101=ORIENTED_EDGE('',*,*,#8085,.T.); +#8102=EDGE_LOOP('',(#8098,#8099,#8100,#8101)); +#8103=FACE_OUTER_BOUND('',#8102,.F.); +#8104=ADVANCED_FACE('',(#8103),#8097,.T.); +#8105=CARTESIAN_POINT('',(-2.25E0,0.E0,0.E0)); +#8106=DIRECTION('',(1.E0,0.E0,0.E0)); +#8107=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8108=AXIS2_PLACEMENT_3D('',#8105,#8106,#8107); +#8109=PLANE('',#8108); +#8111=ORIENTED_EDGE('',*,*,#8110,.F.); +#8113=ORIENTED_EDGE('',*,*,#8112,.T.); +#8114=ORIENTED_EDGE('',*,*,#5530,.T.); +#8116=ORIENTED_EDGE('',*,*,#8115,.T.); +#8117=EDGE_LOOP('',(#8111,#8113,#8114,#8116)); +#8118=FACE_OUTER_BOUND('',#8117,.F.); +#8119=ADVANCED_FACE('',(#8118),#8109,.F.); +#8120=CARTESIAN_POINT('',(-2.25E0,0.E0,0.E0)); +#8121=DIRECTION('',(1.E0,0.E0,0.E0)); +#8122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8123=AXIS2_PLACEMENT_3D('',#8120,#8121,#8122); +#8124=PLANE('',#8123); +#8126=ORIENTED_EDGE('',*,*,#8125,.F.); +#8128=ORIENTED_EDGE('',*,*,#8127,.T.); +#8129=ORIENTED_EDGE('',*,*,#5520,.T.); +#8131=ORIENTED_EDGE('',*,*,#8130,.T.); +#8132=EDGE_LOOP('',(#8126,#8128,#8129,#8131)); +#8133=FACE_OUTER_BOUND('',#8132,.F.); +#8134=ADVANCED_FACE('',(#8133),#8124,.F.); +#8135=CARTESIAN_POINT('',(-2.25E0,0.E0,0.E0)); +#8136=DIRECTION('',(1.E0,0.E0,0.E0)); +#8137=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8138=AXIS2_PLACEMENT_3D('',#8135,#8136,#8137); +#8139=PLANE('',#8138); +#8141=ORIENTED_EDGE('',*,*,#8140,.F.); +#8143=ORIENTED_EDGE('',*,*,#8142,.T.); +#8145=ORIENTED_EDGE('',*,*,#8144,.T.); +#8147=ORIENTED_EDGE('',*,*,#8146,.T.); +#8148=ORIENTED_EDGE('',*,*,#5956,.T.); +#8150=ORIENTED_EDGE('',*,*,#8149,.T.); +#8152=ORIENTED_EDGE('',*,*,#8151,.T.); +#8154=ORIENTED_EDGE('',*,*,#8153,.T.); +#8155=EDGE_LOOP('',(#8141,#8143,#8145,#8147,#8148,#8150,#8152,#8154)); +#8156=FACE_OUTER_BOUND('',#8155,.F.); +#8157=ADVANCED_FACE('',(#8156),#8139,.F.); +#8158=CARTESIAN_POINT('',(-2.25E0,0.E0,0.E0)); +#8159=DIRECTION('',(1.E0,0.E0,0.E0)); +#8160=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8161=AXIS2_PLACEMENT_3D('',#8158,#8159,#8160); +#8162=PLANE('',#8161); +#8164=ORIENTED_EDGE('',*,*,#8163,.F.); +#8166=ORIENTED_EDGE('',*,*,#8165,.T.); +#8168=ORIENTED_EDGE('',*,*,#8167,.T.); +#8170=ORIENTED_EDGE('',*,*,#8169,.T.); +#8171=ORIENTED_EDGE('',*,*,#5946,.T.); +#8173=ORIENTED_EDGE('',*,*,#8172,.T.); +#8175=ORIENTED_EDGE('',*,*,#8174,.T.); +#8177=ORIENTED_EDGE('',*,*,#8176,.T.); +#8178=EDGE_LOOP('',(#8164,#8166,#8168,#8170,#8171,#8173,#8175,#8177)); +#8179=FACE_OUTER_BOUND('',#8178,.F.); +#8180=ADVANCED_FACE('',(#8179),#8162,.F.); +#8181=CARTESIAN_POINT('',(-2.175E0,2.6E0,-1.E0)); +#8182=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#8183=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#8184=AXIS2_PLACEMENT_3D('',#8181,#8182,#8183); +#8185=PLANE('',#8184); +#8186=ORIENTED_EDGE('',*,*,#8110,.T.); +#8188=ORIENTED_EDGE('',*,*,#8187,.F.); +#8190=ORIENTED_EDGE('',*,*,#8189,.F.); +#8192=ORIENTED_EDGE('',*,*,#8191,.T.); +#8193=EDGE_LOOP('',(#8186,#8188,#8190,#8192)); +#8194=FACE_OUTER_BOUND('',#8193,.F.); +#8195=ADVANCED_FACE('',(#8194),#8185,.T.); +#8196=CARTESIAN_POINT('',(-2.E0,2.6E0,-1.175E0)); +#8197=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#8198=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#8199=AXIS2_PLACEMENT_3D('',#8196,#8197,#8198); +#8200=PLANE('',#8199); +#8202=ORIENTED_EDGE('',*,*,#8201,.F.); +#8204=ORIENTED_EDGE('',*,*,#8203,.F.); +#8206=ORIENTED_EDGE('',*,*,#8205,.T.); +#8207=ORIENTED_EDGE('',*,*,#8187,.T.); +#8208=EDGE_LOOP('',(#8202,#8204,#8206,#8207)); +#8209=FACE_OUTER_BOUND('',#8208,.F.); +#8210=ADVANCED_FACE('',(#8209),#8200,.T.); +#8211=CARTESIAN_POINT('',(-2.25E0,-3.7E0,-1.25E0)); +#8212=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8213=DIRECTION('',(0.E0,1.E0,0.E0)); +#8214=AXIS2_PLACEMENT_3D('',#8211,#8212,#8213); +#8215=PLANE('',#8214); +#8216=ORIENTED_EDGE('',*,*,#8169,.F.); +#8218=ORIENTED_EDGE('',*,*,#8217,.T.); +#8220=ORIENTED_EDGE('',*,*,#8219,.T.); +#8221=ORIENTED_EDGE('',*,*,#5948,.F.); +#8222=EDGE_LOOP('',(#8216,#8218,#8220,#8221)); +#8223=FACE_OUTER_BOUND('',#8222,.F.); +#8224=ADVANCED_FACE('',(#8223),#8215,.T.); +#8225=CARTESIAN_POINT('',(-2.25E0,-3.7E0,-1.25E0)); +#8226=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8227=DIRECTION('',(0.E0,1.E0,0.E0)); +#8228=AXIS2_PLACEMENT_3D('',#8225,#8226,#8227); +#8229=PLANE('',#8228); +#8230=ORIENTED_EDGE('',*,*,#8201,.T.); +#8231=ORIENTED_EDGE('',*,*,#8115,.F.); +#8232=ORIENTED_EDGE('',*,*,#5536,.T.); +#8234=ORIENTED_EDGE('',*,*,#8233,.T.); +#8235=EDGE_LOOP('',(#8230,#8231,#8232,#8234)); +#8236=FACE_OUTER_BOUND('',#8235,.F.); +#8237=ADVANCED_FACE('',(#8236),#8229,.T.); +#8238=CARTESIAN_POINT('',(-2.25E0,-3.7E0,-1.45E0)); +#8239=DIRECTION('',(1.E0,0.E0,0.E0)); +#8240=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8241=AXIS2_PLACEMENT_3D('',#8238,#8239,#8240); +#8242=CYLINDRICAL_SURFACE('',#8241,2.E-1); +#8243=ORIENTED_EDGE('',*,*,#8167,.F.); +#8245=ORIENTED_EDGE('',*,*,#8244,.T.); +#8247=ORIENTED_EDGE('',*,*,#8246,.T.); +#8248=ORIENTED_EDGE('',*,*,#8217,.F.); +#8249=EDGE_LOOP('',(#8243,#8245,#8247,#8248)); +#8250=FACE_OUTER_BOUND('',#8249,.F.); +#8251=ADVANCED_FACE('',(#8250),#8242,.F.); +#8252=CARTESIAN_POINT('',(-2.25E0,-3.9E0,-2.65E0)); +#8253=DIRECTION('',(0.E0,1.E0,0.E0)); +#8254=DIRECTION('',(0.E0,0.E0,1.E0)); +#8255=AXIS2_PLACEMENT_3D('',#8252,#8253,#8254); +#8256=PLANE('',#8255); +#8258=ORIENTED_EDGE('',*,*,#8257,.F.); +#8260=ORIENTED_EDGE('',*,*,#8259,.T.); +#8261=ORIENTED_EDGE('',*,*,#8244,.F.); +#8262=ORIENTED_EDGE('',*,*,#8165,.F.); +#8263=EDGE_LOOP('',(#8258,#8260,#8261,#8262)); +#8264=FACE_OUTER_BOUND('',#8263,.F.); +#8265=ADVANCED_FACE('',(#8264),#8256,.T.); +#8266=CARTESIAN_POINT('',(-2.E0,-3.975E0,-2.35E0)); +#8267=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#8268=DIRECTION('',(0.E0,-2.425356250363E-1,-9.701425001453E-1)); +#8269=AXIS2_PLACEMENT_3D('',#8266,#8267,#8268); +#8270=PLANE('',#8269); +#8271=ORIENTED_EDGE('',*,*,#8257,.T.); +#8273=ORIENTED_EDGE('',*,*,#8272,.F.); +#8275=ORIENTED_EDGE('',*,*,#8274,.F.); +#8277=ORIENTED_EDGE('',*,*,#8276,.F.); +#8278=EDGE_LOOP('',(#8271,#8273,#8275,#8277)); +#8279=FACE_OUTER_BOUND('',#8278,.F.); +#8280=ADVANCED_FACE('',(#8279),#8270,.T.); +#8281=CARTESIAN_POINT('',(-2.175E0,-4.15E0,-2.35E0)); +#8282=DIRECTION('',(-9.701425001453E-1,0.E0,-2.425356250363E-1)); +#8283=DIRECTION('',(2.425356250363E-1,0.E0,-9.701425001453E-1)); +#8284=AXIS2_PLACEMENT_3D('',#8281,#8282,#8283); +#8285=PLANE('',#8284); +#8286=ORIENTED_EDGE('',*,*,#8163,.T.); +#8288=ORIENTED_EDGE('',*,*,#8287,.F.); +#8290=ORIENTED_EDGE('',*,*,#8289,.F.); +#8291=ORIENTED_EDGE('',*,*,#8272,.T.); +#8292=EDGE_LOOP('',(#8286,#8288,#8290,#8291)); +#8293=FACE_OUTER_BOUND('',#8292,.F.); +#8294=ADVANCED_FACE('',(#8293),#8285,.T.); +#8295=CARTESIAN_POINT('',(-2.E0,-4.325E0,-2.35E0)); +#8296=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#8297=DIRECTION('',(0.E0,-2.425356250363E-1,9.701425001453E-1)); +#8298=AXIS2_PLACEMENT_3D('',#8295,#8296,#8297); +#8299=PLANE('',#8298); +#8301=ORIENTED_EDGE('',*,*,#8300,.F.); +#8303=ORIENTED_EDGE('',*,*,#8302,.F.); +#8305=ORIENTED_EDGE('',*,*,#8304,.T.); +#8306=ORIENTED_EDGE('',*,*,#8287,.T.); +#8307=EDGE_LOOP('',(#8301,#8303,#8305,#8306)); +#8308=FACE_OUTER_BOUND('',#8307,.F.); +#8309=ADVANCED_FACE('',(#8308),#8299,.T.); +#8310=CARTESIAN_POINT('',(-2.25E0,-4.4E0,-1.45E0)); +#8311=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8312=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8313=AXIS2_PLACEMENT_3D('',#8310,#8311,#8312); +#8314=PLANE('',#8313); +#8315=ORIENTED_EDGE('',*,*,#8300,.T.); +#8316=ORIENTED_EDGE('',*,*,#8176,.F.); +#8318=ORIENTED_EDGE('',*,*,#8317,.T.); +#8320=ORIENTED_EDGE('',*,*,#8319,.T.); +#8321=EDGE_LOOP('',(#8315,#8316,#8318,#8320)); +#8322=FACE_OUTER_BOUND('',#8321,.F.); +#8323=ADVANCED_FACE('',(#8322),#8314,.T.); +#8324=CARTESIAN_POINT('',(-2.25E0,-3.7E0,-1.45E0)); +#8325=DIRECTION('',(1.E0,0.E0,0.E0)); +#8326=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8327=AXIS2_PLACEMENT_3D('',#8324,#8325,#8326); +#8328=CYLINDRICAL_SURFACE('',#8327,7.E-1); +#8329=ORIENTED_EDGE('',*,*,#8174,.F.); +#8331=ORIENTED_EDGE('',*,*,#8330,.T.); +#8333=ORIENTED_EDGE('',*,*,#8332,.T.); +#8334=ORIENTED_EDGE('',*,*,#8317,.F.); +#8335=EDGE_LOOP('',(#8329,#8331,#8333,#8334)); +#8336=FACE_OUTER_BOUND('',#8335,.F.); +#8337=ADVANCED_FACE('',(#8336),#8328,.T.); +#8338=CARTESIAN_POINT('',(-2.25E0,2.9E0,-7.5E-1)); +#8339=DIRECTION('',(0.E0,0.E0,1.E0)); +#8340=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8341=AXIS2_PLACEMENT_3D('',#8338,#8339,#8340); +#8342=PLANE('',#8341); +#8343=ORIENTED_EDGE('',*,*,#8172,.F.); +#8344=ORIENTED_EDGE('',*,*,#5952,.T.); +#8346=ORIENTED_EDGE('',*,*,#8345,.T.); +#8347=ORIENTED_EDGE('',*,*,#8330,.F.); +#8348=EDGE_LOOP('',(#8343,#8344,#8346,#8347)); +#8349=FACE_OUTER_BOUND('',#8348,.F.); +#8350=ADVANCED_FACE('',(#8349),#8342,.T.); +#8351=CARTESIAN_POINT('',(-2.25E0,2.9E0,-7.5E-1)); +#8352=DIRECTION('',(0.E0,0.E0,1.E0)); +#8353=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8354=AXIS2_PLACEMENT_3D('',#8351,#8352,#8353); +#8355=PLANE('',#8354); +#8357=ORIENTED_EDGE('',*,*,#8356,.F.); +#8359=ORIENTED_EDGE('',*,*,#8358,.T.); +#8360=ORIENTED_EDGE('',*,*,#5532,.F.); +#8361=ORIENTED_EDGE('',*,*,#8112,.F.); +#8362=EDGE_LOOP('',(#8357,#8359,#8360,#8361)); +#8363=FACE_OUTER_BOUND('',#8362,.F.); +#8364=ADVANCED_FACE('',(#8363),#8355,.T.); +#8365=CARTESIAN_POINT('',(-1.75E0,0.E0,0.E0)); +#8366=DIRECTION('',(1.E0,0.E0,0.E0)); +#8367=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8368=AXIS2_PLACEMENT_3D('',#8365,#8366,#8367); +#8369=PLANE('',#8368); +#8371=ORIENTED_EDGE('',*,*,#8370,.F.); +#8372=ORIENTED_EDGE('',*,*,#8233,.F.); +#8373=ORIENTED_EDGE('',*,*,#5534,.F.); +#8374=ORIENTED_EDGE('',*,*,#8358,.F.); +#8375=EDGE_LOOP('',(#8371,#8372,#8373,#8374)); +#8376=FACE_OUTER_BOUND('',#8375,.F.); +#8377=ADVANCED_FACE('',(#8376),#8369,.T.); +#8378=CARTESIAN_POINT('',(-1.75E0,0.E0,0.E0)); +#8379=DIRECTION('',(1.E0,0.E0,0.E0)); +#8380=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8381=AXIS2_PLACEMENT_3D('',#8378,#8379,#8380); +#8382=PLANE('',#8381); +#8384=ORIENTED_EDGE('',*,*,#8383,.F.); +#8386=ORIENTED_EDGE('',*,*,#8385,.F.); +#8387=ORIENTED_EDGE('',*,*,#5524,.F.); +#8389=ORIENTED_EDGE('',*,*,#8388,.F.); +#8390=EDGE_LOOP('',(#8384,#8386,#8387,#8389)); +#8391=FACE_OUTER_BOUND('',#8390,.F.); +#8392=ADVANCED_FACE('',(#8391),#8382,.T.); +#8393=CARTESIAN_POINT('',(-1.75E0,0.E0,0.E0)); +#8394=DIRECTION('',(1.E0,0.E0,0.E0)); +#8395=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8396=AXIS2_PLACEMENT_3D('',#8393,#8394,#8395); +#8397=PLANE('',#8396); +#8399=ORIENTED_EDGE('',*,*,#8398,.F.); +#8401=ORIENTED_EDGE('',*,*,#8400,.F.); +#8403=ORIENTED_EDGE('',*,*,#8402,.F.); +#8405=ORIENTED_EDGE('',*,*,#8404,.F.); +#8406=ORIENTED_EDGE('',*,*,#5960,.F.); +#8408=ORIENTED_EDGE('',*,*,#8407,.F.); +#8410=ORIENTED_EDGE('',*,*,#8409,.F.); +#8412=ORIENTED_EDGE('',*,*,#8411,.F.); +#8413=EDGE_LOOP('',(#8399,#8401,#8403,#8405,#8406,#8408,#8410,#8412)); +#8414=FACE_OUTER_BOUND('',#8413,.F.); +#8415=ADVANCED_FACE('',(#8414),#8397,.T.); +#8416=CARTESIAN_POINT('',(-1.75E0,0.E0,0.E0)); +#8417=DIRECTION('',(1.E0,0.E0,0.E0)); +#8418=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8419=AXIS2_PLACEMENT_3D('',#8416,#8417,#8418); +#8420=PLANE('',#8419); +#8422=ORIENTED_EDGE('',*,*,#8421,.F.); +#8423=ORIENTED_EDGE('',*,*,#8319,.F.); +#8424=ORIENTED_EDGE('',*,*,#8332,.F.); +#8425=ORIENTED_EDGE('',*,*,#8345,.F.); +#8426=ORIENTED_EDGE('',*,*,#5950,.F.); +#8427=ORIENTED_EDGE('',*,*,#8219,.F.); +#8428=ORIENTED_EDGE('',*,*,#8246,.F.); +#8429=ORIENTED_EDGE('',*,*,#8259,.F.); +#8430=EDGE_LOOP('',(#8422,#8423,#8424,#8425,#8426,#8427,#8428,#8429)); +#8431=FACE_OUTER_BOUND('',#8430,.F.); +#8432=ADVANCED_FACE('',(#8431),#8420,.T.); +#8433=CARTESIAN_POINT('',(-1.825E0,2.6E0,-1.E0)); +#8434=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#8435=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#8436=AXIS2_PLACEMENT_3D('',#8433,#8434,#8435); +#8437=PLANE('',#8436); +#8439=ORIENTED_EDGE('',*,*,#8438,.F.); +#8440=ORIENTED_EDGE('',*,*,#8203,.T.); +#8441=ORIENTED_EDGE('',*,*,#8370,.T.); +#8443=ORIENTED_EDGE('',*,*,#8442,.T.); +#8444=EDGE_LOOP('',(#8439,#8440,#8441,#8443)); +#8445=FACE_OUTER_BOUND('',#8444,.F.); +#8446=ADVANCED_FACE('',(#8445),#8437,.T.); +#8447=CARTESIAN_POINT('',(-2.25E0,2.9E0,-1.25E0)); +#8448=DIRECTION('',(0.E0,1.E0,0.E0)); +#8449=DIRECTION('',(0.E0,0.E0,1.E0)); +#8450=AXIS2_PLACEMENT_3D('',#8447,#8448,#8449); +#8451=PLANE('',#8450); +#8453=ORIENTED_EDGE('',*,*,#8452,.T.); +#8454=ORIENTED_EDGE('',*,*,#8189,.T.); +#8455=ORIENTED_EDGE('',*,*,#8205,.F.); +#8456=ORIENTED_EDGE('',*,*,#8438,.T.); +#8457=EDGE_LOOP('',(#8453,#8454,#8455,#8456)); +#8458=FACE_OUTER_BOUND('',#8457,.F.); +#8459=ADVANCED_FACE('',(#8458),#8451,.T.); +#8460=CARTESIAN_POINT('',(-2.E0,2.6E0,-8.25E-1)); +#8461=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#8462=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#8463=AXIS2_PLACEMENT_3D('',#8460,#8461,#8462); +#8464=PLANE('',#8463); +#8465=ORIENTED_EDGE('',*,*,#8356,.T.); +#8466=ORIENTED_EDGE('',*,*,#8191,.F.); +#8467=ORIENTED_EDGE('',*,*,#8452,.F.); +#8468=ORIENTED_EDGE('',*,*,#8442,.F.); +#8469=EDGE_LOOP('',(#8465,#8466,#8467,#8468)); +#8470=FACE_OUTER_BOUND('',#8469,.F.); +#8471=ADVANCED_FACE('',(#8470),#8464,.T.); +#8472=CARTESIAN_POINT('',(-1.825E0,2.6E0,1.E0)); +#8473=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#8474=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#8475=AXIS2_PLACEMENT_3D('',#8472,#8473,#8474); +#8476=PLANE('',#8475); +#8478=ORIENTED_EDGE('',*,*,#8477,.F.); +#8480=ORIENTED_EDGE('',*,*,#8479,.T.); +#8481=ORIENTED_EDGE('',*,*,#8383,.T.); +#8483=ORIENTED_EDGE('',*,*,#8482,.F.); +#8484=EDGE_LOOP('',(#8478,#8480,#8481,#8483)); +#8485=FACE_OUTER_BOUND('',#8484,.F.); +#8486=ADVANCED_FACE('',(#8485),#8476,.T.); +#8487=CARTESIAN_POINT('',(-2.25E0,2.9E0,7.5E-1)); +#8488=DIRECTION('',(0.E0,1.E0,0.E0)); +#8489=DIRECTION('',(0.E0,0.E0,1.E0)); +#8490=AXIS2_PLACEMENT_3D('',#8487,#8488,#8489); +#8491=PLANE('',#8490); +#8493=ORIENTED_EDGE('',*,*,#8492,.T.); +#8495=ORIENTED_EDGE('',*,*,#8494,.T.); +#8497=ORIENTED_EDGE('',*,*,#8496,.F.); +#8498=ORIENTED_EDGE('',*,*,#8477,.T.); +#8499=EDGE_LOOP('',(#8493,#8495,#8497,#8498)); +#8500=FACE_OUTER_BOUND('',#8499,.F.); +#8501=ADVANCED_FACE('',(#8500),#8491,.T.); +#8502=CARTESIAN_POINT('',(-2.E0,2.6E0,1.175E0)); +#8503=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#8504=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#8505=AXIS2_PLACEMENT_3D('',#8502,#8503,#8504); +#8506=PLANE('',#8505); +#8508=ORIENTED_EDGE('',*,*,#8507,.T.); +#8510=ORIENTED_EDGE('',*,*,#8509,.F.); +#8511=ORIENTED_EDGE('',*,*,#8492,.F.); +#8512=ORIENTED_EDGE('',*,*,#8482,.T.); +#8513=EDGE_LOOP('',(#8508,#8510,#8511,#8512)); +#8514=FACE_OUTER_BOUND('',#8513,.F.); +#8515=ADVANCED_FACE('',(#8514),#8506,.T.); +#8516=CARTESIAN_POINT('',(-2.25E0,2.9E0,1.25E0)); +#8517=DIRECTION('',(0.E0,0.E0,1.E0)); +#8518=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8519=AXIS2_PLACEMENT_3D('',#8516,#8517,#8518); +#8520=PLANE('',#8519); +#8521=ORIENTED_EDGE('',*,*,#8149,.F.); +#8522=ORIENTED_EDGE('',*,*,#5962,.T.); +#8523=ORIENTED_EDGE('',*,*,#8404,.T.); +#8525=ORIENTED_EDGE('',*,*,#8524,.F.); +#8526=EDGE_LOOP('',(#8521,#8522,#8523,#8525)); +#8527=FACE_OUTER_BOUND('',#8526,.F.); +#8528=ADVANCED_FACE('',(#8527),#8520,.T.); +#8529=CARTESIAN_POINT('',(-2.25E0,2.9E0,1.25E0)); +#8530=DIRECTION('',(0.E0,0.E0,1.E0)); +#8531=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8532=AXIS2_PLACEMENT_3D('',#8529,#8530,#8531); +#8533=PLANE('',#8532); +#8534=ORIENTED_EDGE('',*,*,#8507,.F.); +#8535=ORIENTED_EDGE('',*,*,#8388,.T.); +#8536=ORIENTED_EDGE('',*,*,#5522,.F.); +#8537=ORIENTED_EDGE('',*,*,#8127,.F.); +#8538=EDGE_LOOP('',(#8534,#8535,#8536,#8537)); +#8539=FACE_OUTER_BOUND('',#8538,.F.); +#8540=ADVANCED_FACE('',(#8539),#8533,.T.); +#8541=CARTESIAN_POINT('',(-2.25E0,-3.7E0,1.45E0)); +#8542=DIRECTION('',(1.E0,0.E0,0.E0)); +#8543=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8544=AXIS2_PLACEMENT_3D('',#8541,#8542,#8543); +#8545=CYLINDRICAL_SURFACE('',#8544,2.E-1); +#8546=ORIENTED_EDGE('',*,*,#8151,.F.); +#8547=ORIENTED_EDGE('',*,*,#8524,.T.); +#8548=ORIENTED_EDGE('',*,*,#8402,.T.); +#8550=ORIENTED_EDGE('',*,*,#8549,.F.); +#8551=EDGE_LOOP('',(#8546,#8547,#8548,#8550)); +#8552=FACE_OUTER_BOUND('',#8551,.F.); +#8553=ADVANCED_FACE('',(#8552),#8545,.F.); +#8554=CARTESIAN_POINT('',(-2.25E0,-3.9E0,1.45E0)); +#8555=DIRECTION('',(0.E0,1.E0,0.E0)); +#8556=DIRECTION('',(0.E0,0.E0,1.E0)); +#8557=AXIS2_PLACEMENT_3D('',#8554,#8555,#8556); +#8558=PLANE('',#8557); +#8560=ORIENTED_EDGE('',*,*,#8559,.T.); +#8561=ORIENTED_EDGE('',*,*,#8153,.F.); +#8562=ORIENTED_EDGE('',*,*,#8549,.T.); +#8563=ORIENTED_EDGE('',*,*,#8400,.T.); +#8564=EDGE_LOOP('',(#8560,#8561,#8562,#8563)); +#8565=FACE_OUTER_BOUND('',#8564,.F.); +#8566=ADVANCED_FACE('',(#8565),#8558,.T.); +#8567=CARTESIAN_POINT('',(-2.E0,-3.975E0,2.35E0)); +#8568=DIRECTION('',(0.E0,9.701425001453E-1,2.425356250363E-1)); +#8569=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#8570=AXIS2_PLACEMENT_3D('',#8567,#8568,#8569); +#8571=PLANE('',#8570); +#8572=ORIENTED_EDGE('',*,*,#8559,.F.); +#8574=ORIENTED_EDGE('',*,*,#8573,.F.); +#8576=ORIENTED_EDGE('',*,*,#8575,.T.); +#8578=ORIENTED_EDGE('',*,*,#8577,.T.); +#8579=EDGE_LOOP('',(#8572,#8574,#8576,#8578)); +#8580=FACE_OUTER_BOUND('',#8579,.F.); +#8581=ADVANCED_FACE('',(#8580),#8571,.T.); +#8582=CARTESIAN_POINT('',(-1.825E0,-4.15E0,2.35E0)); +#8583=DIRECTION('',(9.701425001453E-1,0.E0,2.425356250363E-1)); +#8584=DIRECTION('',(-2.425356250363E-1,0.E0,9.701425001453E-1)); +#8585=AXIS2_PLACEMENT_3D('',#8582,#8583,#8584); +#8586=PLANE('',#8585); +#8588=ORIENTED_EDGE('',*,*,#8587,.F.); +#8589=ORIENTED_EDGE('',*,*,#8573,.T.); +#8590=ORIENTED_EDGE('',*,*,#8398,.T.); +#8592=ORIENTED_EDGE('',*,*,#8591,.T.); +#8593=EDGE_LOOP('',(#8588,#8589,#8590,#8592)); +#8594=FACE_OUTER_BOUND('',#8593,.F.); +#8595=ADVANCED_FACE('',(#8594),#8586,.T.); +#8596=CARTESIAN_POINT('',(-2.25E0,-3.9E0,2.65E0)); +#8597=DIRECTION('',(0.E0,0.E0,1.E0)); +#8598=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8599=AXIS2_PLACEMENT_3D('',#8596,#8597,#8598); +#8600=PLANE('',#8599); +#8602=ORIENTED_EDGE('',*,*,#8601,.T.); +#8604=ORIENTED_EDGE('',*,*,#8603,.T.); +#8605=ORIENTED_EDGE('',*,*,#8575,.F.); +#8606=ORIENTED_EDGE('',*,*,#8587,.T.); +#8607=EDGE_LOOP('',(#8602,#8604,#8605,#8606)); +#8608=FACE_OUTER_BOUND('',#8607,.F.); +#8609=ADVANCED_FACE('',(#8608),#8600,.T.); +#8610=CARTESIAN_POINT('',(-2.E0,-4.325E0,2.35E0)); +#8611=DIRECTION('',(0.E0,-9.701425001453E-1,2.425356250363E-1)); +#8612=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#8613=AXIS2_PLACEMENT_3D('',#8610,#8611,#8612); +#8614=PLANE('',#8613); +#8616=ORIENTED_EDGE('',*,*,#8615,.T.); +#8618=ORIENTED_EDGE('',*,*,#8617,.F.); +#8619=ORIENTED_EDGE('',*,*,#8601,.F.); +#8620=ORIENTED_EDGE('',*,*,#8591,.F.); +#8621=EDGE_LOOP('',(#8616,#8618,#8619,#8620)); +#8622=FACE_OUTER_BOUND('',#8621,.F.); +#8623=ADVANCED_FACE('',(#8622),#8614,.T.); +#8624=CARTESIAN_POINT('',(-2.25E0,-4.4E0,2.65E0)); +#8625=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8626=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8627=AXIS2_PLACEMENT_3D('',#8624,#8625,#8626); +#8628=PLANE('',#8627); +#8629=ORIENTED_EDGE('',*,*,#8615,.F.); +#8630=ORIENTED_EDGE('',*,*,#8411,.T.); +#8632=ORIENTED_EDGE('',*,*,#8631,.F.); +#8633=ORIENTED_EDGE('',*,*,#8142,.F.); +#8634=EDGE_LOOP('',(#8629,#8630,#8632,#8633)); +#8635=FACE_OUTER_BOUND('',#8634,.F.); +#8636=ADVANCED_FACE('',(#8635),#8628,.T.); +#8637=CARTESIAN_POINT('',(-2.25E0,-3.7E0,1.45E0)); +#8638=DIRECTION('',(1.E0,0.E0,0.E0)); +#8639=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8640=AXIS2_PLACEMENT_3D('',#8637,#8638,#8639); +#8641=CYLINDRICAL_SURFACE('',#8640,7.E-1); +#8642=ORIENTED_EDGE('',*,*,#8144,.F.); +#8643=ORIENTED_EDGE('',*,*,#8631,.T.); +#8644=ORIENTED_EDGE('',*,*,#8409,.T.); +#8646=ORIENTED_EDGE('',*,*,#8645,.F.); +#8647=EDGE_LOOP('',(#8642,#8643,#8644,#8646)); +#8648=FACE_OUTER_BOUND('',#8647,.F.); +#8649=ADVANCED_FACE('',(#8648),#8641,.T.); +#8650=CARTESIAN_POINT('',(-2.25E0,-3.7E0,7.5E-1)); +#8651=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8652=DIRECTION('',(0.E0,1.E0,0.E0)); +#8653=AXIS2_PLACEMENT_3D('',#8650,#8651,#8652); +#8654=PLANE('',#8653); +#8655=ORIENTED_EDGE('',*,*,#8146,.F.); +#8656=ORIENTED_EDGE('',*,*,#8645,.T.); +#8657=ORIENTED_EDGE('',*,*,#8407,.T.); +#8658=ORIENTED_EDGE('',*,*,#5958,.F.); +#8659=EDGE_LOOP('',(#8655,#8656,#8657,#8658)); +#8660=FACE_OUTER_BOUND('',#8659,.F.); +#8661=ADVANCED_FACE('',(#8660),#8654,.T.); +#8662=CARTESIAN_POINT('',(-2.25E0,-3.7E0,7.5E-1)); +#8663=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8664=DIRECTION('',(0.E0,1.E0,0.E0)); +#8665=AXIS2_PLACEMENT_3D('',#8662,#8663,#8664); +#8666=PLANE('',#8665); +#8668=ORIENTED_EDGE('',*,*,#8667,.T.); +#8669=ORIENTED_EDGE('',*,*,#8130,.F.); +#8670=ORIENTED_EDGE('',*,*,#5526,.T.); +#8671=ORIENTED_EDGE('',*,*,#8385,.T.); +#8672=EDGE_LOOP('',(#8668,#8669,#8670,#8671)); +#8673=FACE_OUTER_BOUND('',#8672,.F.); +#8674=ADVANCED_FACE('',(#8673),#8666,.T.); +#8675=CARTESIAN_POINT('',(-2.E0,2.6E0,8.25E-1)); +#8676=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#8677=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#8678=AXIS2_PLACEMENT_3D('',#8675,#8676,#8677); +#8679=PLANE('',#8678); +#8680=ORIENTED_EDGE('',*,*,#8667,.F.); +#8681=ORIENTED_EDGE('',*,*,#8479,.F.); +#8682=ORIENTED_EDGE('',*,*,#8496,.T.); +#8684=ORIENTED_EDGE('',*,*,#8683,.T.); +#8685=EDGE_LOOP('',(#8680,#8681,#8682,#8684)); +#8686=FACE_OUTER_BOUND('',#8685,.F.); +#8687=ADVANCED_FACE('',(#8686),#8679,.T.); +#8688=CARTESIAN_POINT('',(-2.175E0,2.6E0,1.E0)); +#8689=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#8690=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#8691=AXIS2_PLACEMENT_3D('',#8688,#8689,#8690); +#8692=PLANE('',#8691); +#8693=ORIENTED_EDGE('',*,*,#8125,.T.); +#8694=ORIENTED_EDGE('',*,*,#8683,.F.); +#8695=ORIENTED_EDGE('',*,*,#8494,.F.); +#8696=ORIENTED_EDGE('',*,*,#8509,.T.); +#8697=EDGE_LOOP('',(#8693,#8694,#8695,#8696)); +#8698=FACE_OUTER_BOUND('',#8697,.F.); +#8699=ADVANCED_FACE('',(#8698),#8692,.T.); +#8700=CARTESIAN_POINT('',(-2.175E0,-4.15E0,2.35E0)); +#8701=DIRECTION('',(-9.701425001453E-1,0.E0,2.425356250363E-1)); +#8702=DIRECTION('',(2.425356250363E-1,0.E0,9.701425001453E-1)); +#8703=AXIS2_PLACEMENT_3D('',#8700,#8701,#8702); +#8704=PLANE('',#8703); +#8705=ORIENTED_EDGE('',*,*,#8140,.T.); +#8706=ORIENTED_EDGE('',*,*,#8577,.F.); +#8707=ORIENTED_EDGE('',*,*,#8603,.F.); +#8708=ORIENTED_EDGE('',*,*,#8617,.T.); +#8709=EDGE_LOOP('',(#8705,#8706,#8707,#8708)); +#8710=FACE_OUTER_BOUND('',#8709,.F.); +#8711=ADVANCED_FACE('',(#8710),#8704,.T.); +#8712=CARTESIAN_POINT('',(-1.825E0,-4.15E0,-2.35E0)); +#8713=DIRECTION('',(9.701425001453E-1,0.E0,-2.425356250363E-1)); +#8714=DIRECTION('',(-2.425356250363E-1,0.E0,-9.701425001453E-1)); +#8715=AXIS2_PLACEMENT_3D('',#8712,#8713,#8714); +#8716=PLANE('',#8715); +#8718=ORIENTED_EDGE('',*,*,#8717,.F.); +#8719=ORIENTED_EDGE('',*,*,#8302,.T.); +#8720=ORIENTED_EDGE('',*,*,#8421,.T.); +#8721=ORIENTED_EDGE('',*,*,#8276,.T.); +#8722=EDGE_LOOP('',(#8718,#8719,#8720,#8721)); +#8723=FACE_OUTER_BOUND('',#8722,.F.); +#8724=ADVANCED_FACE('',(#8723),#8716,.T.); +#8725=CARTESIAN_POINT('',(-2.25E0,-4.4E0,-2.65E0)); +#8726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8727=DIRECTION('',(0.E0,1.E0,0.E0)); +#8728=AXIS2_PLACEMENT_3D('',#8725,#8726,#8727); +#8729=PLANE('',#8728); +#8730=ORIENTED_EDGE('',*,*,#8274,.T.); +#8731=ORIENTED_EDGE('',*,*,#8289,.T.); +#8732=ORIENTED_EDGE('',*,*,#8304,.F.); +#8733=ORIENTED_EDGE('',*,*,#8717,.T.); +#8734=EDGE_LOOP('',(#8730,#8731,#8732,#8733)); +#8735=FACE_OUTER_BOUND('',#8734,.F.); +#8736=ADVANCED_FACE('',(#8735),#8729,.T.); +#8737=CARTESIAN_POINT('',(-2.5E-1,0.E0,0.E0)); +#8738=DIRECTION('',(1.E0,0.E0,0.E0)); +#8739=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8740=AXIS2_PLACEMENT_3D('',#8737,#8738,#8739); +#8741=PLANE('',#8740); +#8743=ORIENTED_EDGE('',*,*,#8742,.F.); +#8745=ORIENTED_EDGE('',*,*,#8744,.T.); +#8746=ORIENTED_EDGE('',*,*,#5550,.T.); +#8748=ORIENTED_EDGE('',*,*,#8747,.T.); +#8749=EDGE_LOOP('',(#8743,#8745,#8746,#8748)); +#8750=FACE_OUTER_BOUND('',#8749,.F.); +#8751=ADVANCED_FACE('',(#8750),#8741,.F.); +#8752=CARTESIAN_POINT('',(-2.5E-1,0.E0,0.E0)); +#8753=DIRECTION('',(1.E0,0.E0,0.E0)); +#8754=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8755=AXIS2_PLACEMENT_3D('',#8752,#8753,#8754); +#8756=PLANE('',#8755); +#8758=ORIENTED_EDGE('',*,*,#8757,.F.); +#8760=ORIENTED_EDGE('',*,*,#8759,.T.); +#8761=ORIENTED_EDGE('',*,*,#5540,.T.); +#8763=ORIENTED_EDGE('',*,*,#8762,.T.); +#8764=EDGE_LOOP('',(#8758,#8760,#8761,#8763)); +#8765=FACE_OUTER_BOUND('',#8764,.F.); +#8766=ADVANCED_FACE('',(#8765),#8756,.F.); +#8767=CARTESIAN_POINT('',(-2.5E-1,0.E0,0.E0)); +#8768=DIRECTION('',(1.E0,0.E0,0.E0)); +#8769=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8770=AXIS2_PLACEMENT_3D('',#8767,#8768,#8769); +#8771=PLANE('',#8770); +#8773=ORIENTED_EDGE('',*,*,#8772,.F.); +#8775=ORIENTED_EDGE('',*,*,#8774,.T.); +#8777=ORIENTED_EDGE('',*,*,#8776,.T.); +#8779=ORIENTED_EDGE('',*,*,#8778,.T.); +#8780=ORIENTED_EDGE('',*,*,#5976,.T.); +#8782=ORIENTED_EDGE('',*,*,#8781,.T.); +#8784=ORIENTED_EDGE('',*,*,#8783,.T.); +#8786=ORIENTED_EDGE('',*,*,#8785,.T.); +#8787=EDGE_LOOP('',(#8773,#8775,#8777,#8779,#8780,#8782,#8784,#8786)); +#8788=FACE_OUTER_BOUND('',#8787,.F.); +#8789=ADVANCED_FACE('',(#8788),#8771,.F.); +#8790=CARTESIAN_POINT('',(-2.5E-1,0.E0,0.E0)); +#8791=DIRECTION('',(1.E0,0.E0,0.E0)); +#8792=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8793=AXIS2_PLACEMENT_3D('',#8790,#8791,#8792); +#8794=PLANE('',#8793); +#8796=ORIENTED_EDGE('',*,*,#8795,.F.); +#8798=ORIENTED_EDGE('',*,*,#8797,.T.); +#8800=ORIENTED_EDGE('',*,*,#8799,.T.); +#8802=ORIENTED_EDGE('',*,*,#8801,.T.); +#8803=ORIENTED_EDGE('',*,*,#5966,.T.); +#8805=ORIENTED_EDGE('',*,*,#8804,.T.); +#8807=ORIENTED_EDGE('',*,*,#8806,.T.); +#8809=ORIENTED_EDGE('',*,*,#8808,.T.); +#8810=EDGE_LOOP('',(#8796,#8798,#8800,#8802,#8803,#8805,#8807,#8809)); +#8811=FACE_OUTER_BOUND('',#8810,.F.); +#8812=ADVANCED_FACE('',(#8811),#8794,.F.); +#8813=CARTESIAN_POINT('',(-1.75E-1,2.6E0,-1.E0)); +#8814=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#8815=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#8816=AXIS2_PLACEMENT_3D('',#8813,#8814,#8815); +#8817=PLANE('',#8816); +#8818=ORIENTED_EDGE('',*,*,#8742,.T.); +#8820=ORIENTED_EDGE('',*,*,#8819,.F.); +#8822=ORIENTED_EDGE('',*,*,#8821,.F.); +#8824=ORIENTED_EDGE('',*,*,#8823,.T.); +#8825=EDGE_LOOP('',(#8818,#8820,#8822,#8824)); +#8826=FACE_OUTER_BOUND('',#8825,.F.); +#8827=ADVANCED_FACE('',(#8826),#8817,.T.); +#8828=CARTESIAN_POINT('',(0.E0,2.6E0,-1.175E0)); +#8829=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#8830=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#8831=AXIS2_PLACEMENT_3D('',#8828,#8829,#8830); +#8832=PLANE('',#8831); +#8834=ORIENTED_EDGE('',*,*,#8833,.F.); +#8836=ORIENTED_EDGE('',*,*,#8835,.F.); +#8838=ORIENTED_EDGE('',*,*,#8837,.T.); +#8839=ORIENTED_EDGE('',*,*,#8819,.T.); +#8840=EDGE_LOOP('',(#8834,#8836,#8838,#8839)); +#8841=FACE_OUTER_BOUND('',#8840,.F.); +#8842=ADVANCED_FACE('',(#8841),#8832,.T.); +#8843=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,-1.25E0)); +#8844=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8845=DIRECTION('',(0.E0,1.E0,0.E0)); +#8846=AXIS2_PLACEMENT_3D('',#8843,#8844,#8845); +#8847=PLANE('',#8846); +#8848=ORIENTED_EDGE('',*,*,#8801,.F.); +#8850=ORIENTED_EDGE('',*,*,#8849,.T.); +#8852=ORIENTED_EDGE('',*,*,#8851,.T.); +#8853=ORIENTED_EDGE('',*,*,#5968,.F.); +#8854=EDGE_LOOP('',(#8848,#8850,#8852,#8853)); +#8855=FACE_OUTER_BOUND('',#8854,.F.); +#8856=ADVANCED_FACE('',(#8855),#8847,.T.); +#8857=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,-1.25E0)); +#8858=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8859=DIRECTION('',(0.E0,1.E0,0.E0)); +#8860=AXIS2_PLACEMENT_3D('',#8857,#8858,#8859); +#8861=PLANE('',#8860); +#8862=ORIENTED_EDGE('',*,*,#8833,.T.); +#8863=ORIENTED_EDGE('',*,*,#8747,.F.); +#8864=ORIENTED_EDGE('',*,*,#5556,.T.); +#8866=ORIENTED_EDGE('',*,*,#8865,.T.); +#8867=EDGE_LOOP('',(#8862,#8863,#8864,#8866)); +#8868=FACE_OUTER_BOUND('',#8867,.F.); +#8869=ADVANCED_FACE('',(#8868),#8861,.T.); +#8870=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,-1.45E0)); +#8871=DIRECTION('',(1.E0,0.E0,0.E0)); +#8872=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8873=AXIS2_PLACEMENT_3D('',#8870,#8871,#8872); +#8874=CYLINDRICAL_SURFACE('',#8873,2.E-1); +#8875=ORIENTED_EDGE('',*,*,#8799,.F.); +#8877=ORIENTED_EDGE('',*,*,#8876,.T.); +#8879=ORIENTED_EDGE('',*,*,#8878,.T.); +#8880=ORIENTED_EDGE('',*,*,#8849,.F.); +#8881=EDGE_LOOP('',(#8875,#8877,#8879,#8880)); +#8882=FACE_OUTER_BOUND('',#8881,.F.); +#8883=ADVANCED_FACE('',(#8882),#8874,.F.); +#8884=CARTESIAN_POINT('',(-2.5E-1,-3.9E0,-2.65E0)); +#8885=DIRECTION('',(0.E0,1.E0,0.E0)); +#8886=DIRECTION('',(0.E0,0.E0,1.E0)); +#8887=AXIS2_PLACEMENT_3D('',#8884,#8885,#8886); +#8888=PLANE('',#8887); +#8890=ORIENTED_EDGE('',*,*,#8889,.F.); +#8892=ORIENTED_EDGE('',*,*,#8891,.T.); +#8893=ORIENTED_EDGE('',*,*,#8876,.F.); +#8894=ORIENTED_EDGE('',*,*,#8797,.F.); +#8895=EDGE_LOOP('',(#8890,#8892,#8893,#8894)); +#8896=FACE_OUTER_BOUND('',#8895,.F.); +#8897=ADVANCED_FACE('',(#8896),#8888,.T.); +#8898=CARTESIAN_POINT('',(0.E0,-3.975E0,-2.35E0)); +#8899=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#8900=DIRECTION('',(0.E0,-2.425356250363E-1,-9.701425001453E-1)); +#8901=AXIS2_PLACEMENT_3D('',#8898,#8899,#8900); +#8902=PLANE('',#8901); +#8903=ORIENTED_EDGE('',*,*,#8889,.T.); +#8905=ORIENTED_EDGE('',*,*,#8904,.F.); +#8907=ORIENTED_EDGE('',*,*,#8906,.F.); +#8909=ORIENTED_EDGE('',*,*,#8908,.F.); +#8910=EDGE_LOOP('',(#8903,#8905,#8907,#8909)); +#8911=FACE_OUTER_BOUND('',#8910,.F.); +#8912=ADVANCED_FACE('',(#8911),#8902,.T.); +#8913=CARTESIAN_POINT('',(-1.75E-1,-4.15E0,-2.35E0)); +#8914=DIRECTION('',(-9.701425001453E-1,0.E0,-2.425356250363E-1)); +#8915=DIRECTION('',(2.425356250363E-1,0.E0,-9.701425001453E-1)); +#8916=AXIS2_PLACEMENT_3D('',#8913,#8914,#8915); +#8917=PLANE('',#8916); +#8918=ORIENTED_EDGE('',*,*,#8795,.T.); +#8920=ORIENTED_EDGE('',*,*,#8919,.F.); +#8922=ORIENTED_EDGE('',*,*,#8921,.F.); +#8923=ORIENTED_EDGE('',*,*,#8904,.T.); +#8924=EDGE_LOOP('',(#8918,#8920,#8922,#8923)); +#8925=FACE_OUTER_BOUND('',#8924,.F.); +#8926=ADVANCED_FACE('',(#8925),#8917,.T.); +#8927=CARTESIAN_POINT('',(0.E0,-4.325E0,-2.35E0)); +#8928=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#8929=DIRECTION('',(0.E0,-2.425356250363E-1,9.701425001453E-1)); +#8930=AXIS2_PLACEMENT_3D('',#8927,#8928,#8929); +#8931=PLANE('',#8930); +#8933=ORIENTED_EDGE('',*,*,#8932,.F.); +#8935=ORIENTED_EDGE('',*,*,#8934,.F.); +#8937=ORIENTED_EDGE('',*,*,#8936,.T.); +#8938=ORIENTED_EDGE('',*,*,#8919,.T.); +#8939=EDGE_LOOP('',(#8933,#8935,#8937,#8938)); +#8940=FACE_OUTER_BOUND('',#8939,.F.); +#8941=ADVANCED_FACE('',(#8940),#8931,.T.); +#8942=CARTESIAN_POINT('',(-2.5E-1,-4.4E0,-1.45E0)); +#8943=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8944=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8945=AXIS2_PLACEMENT_3D('',#8942,#8943,#8944); +#8946=PLANE('',#8945); +#8947=ORIENTED_EDGE('',*,*,#8932,.T.); +#8948=ORIENTED_EDGE('',*,*,#8808,.F.); +#8950=ORIENTED_EDGE('',*,*,#8949,.T.); +#8952=ORIENTED_EDGE('',*,*,#8951,.T.); +#8953=EDGE_LOOP('',(#8947,#8948,#8950,#8952)); +#8954=FACE_OUTER_BOUND('',#8953,.F.); +#8955=ADVANCED_FACE('',(#8954),#8946,.T.); +#8956=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,-1.45E0)); +#8957=DIRECTION('',(1.E0,0.E0,0.E0)); +#8958=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8959=AXIS2_PLACEMENT_3D('',#8956,#8957,#8958); +#8960=CYLINDRICAL_SURFACE('',#8959,7.E-1); +#8961=ORIENTED_EDGE('',*,*,#8806,.F.); +#8963=ORIENTED_EDGE('',*,*,#8962,.T.); +#8965=ORIENTED_EDGE('',*,*,#8964,.T.); +#8966=ORIENTED_EDGE('',*,*,#8949,.F.); +#8967=EDGE_LOOP('',(#8961,#8963,#8965,#8966)); +#8968=FACE_OUTER_BOUND('',#8967,.F.); +#8969=ADVANCED_FACE('',(#8968),#8960,.T.); +#8970=CARTESIAN_POINT('',(-2.5E-1,2.9E0,-7.5E-1)); +#8971=DIRECTION('',(0.E0,0.E0,1.E0)); +#8972=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8973=AXIS2_PLACEMENT_3D('',#8970,#8971,#8972); +#8974=PLANE('',#8973); +#8975=ORIENTED_EDGE('',*,*,#8804,.F.); +#8976=ORIENTED_EDGE('',*,*,#5972,.T.); +#8978=ORIENTED_EDGE('',*,*,#8977,.T.); +#8979=ORIENTED_EDGE('',*,*,#8962,.F.); +#8980=EDGE_LOOP('',(#8975,#8976,#8978,#8979)); +#8981=FACE_OUTER_BOUND('',#8980,.F.); +#8982=ADVANCED_FACE('',(#8981),#8974,.T.); +#8983=CARTESIAN_POINT('',(-2.5E-1,2.9E0,-7.5E-1)); +#8984=DIRECTION('',(0.E0,0.E0,1.E0)); +#8985=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8986=AXIS2_PLACEMENT_3D('',#8983,#8984,#8985); +#8987=PLANE('',#8986); +#8989=ORIENTED_EDGE('',*,*,#8988,.F.); +#8991=ORIENTED_EDGE('',*,*,#8990,.T.); +#8992=ORIENTED_EDGE('',*,*,#5552,.F.); +#8993=ORIENTED_EDGE('',*,*,#8744,.F.); +#8994=EDGE_LOOP('',(#8989,#8991,#8992,#8993)); +#8995=FACE_OUTER_BOUND('',#8994,.F.); +#8996=ADVANCED_FACE('',(#8995),#8987,.T.); +#8997=CARTESIAN_POINT('',(2.5E-1,0.E0,0.E0)); +#8998=DIRECTION('',(1.E0,0.E0,0.E0)); +#8999=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9000=AXIS2_PLACEMENT_3D('',#8997,#8998,#8999); +#9001=PLANE('',#9000); +#9003=ORIENTED_EDGE('',*,*,#9002,.F.); +#9004=ORIENTED_EDGE('',*,*,#8865,.F.); +#9005=ORIENTED_EDGE('',*,*,#5554,.F.); +#9006=ORIENTED_EDGE('',*,*,#8990,.F.); +#9007=EDGE_LOOP('',(#9003,#9004,#9005,#9006)); +#9008=FACE_OUTER_BOUND('',#9007,.F.); +#9009=ADVANCED_FACE('',(#9008),#9001,.T.); +#9010=CARTESIAN_POINT('',(2.5E-1,0.E0,0.E0)); +#9011=DIRECTION('',(1.E0,0.E0,0.E0)); +#9012=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9013=AXIS2_PLACEMENT_3D('',#9010,#9011,#9012); +#9014=PLANE('',#9013); +#9016=ORIENTED_EDGE('',*,*,#9015,.F.); +#9018=ORIENTED_EDGE('',*,*,#9017,.F.); +#9019=ORIENTED_EDGE('',*,*,#5544,.F.); +#9021=ORIENTED_EDGE('',*,*,#9020,.F.); +#9022=EDGE_LOOP('',(#9016,#9018,#9019,#9021)); +#9023=FACE_OUTER_BOUND('',#9022,.F.); +#9024=ADVANCED_FACE('',(#9023),#9014,.T.); +#9025=CARTESIAN_POINT('',(2.5E-1,0.E0,0.E0)); +#9026=DIRECTION('',(1.E0,0.E0,0.E0)); +#9027=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9028=AXIS2_PLACEMENT_3D('',#9025,#9026,#9027); +#9029=PLANE('',#9028); +#9031=ORIENTED_EDGE('',*,*,#9030,.F.); +#9033=ORIENTED_EDGE('',*,*,#9032,.F.); +#9035=ORIENTED_EDGE('',*,*,#9034,.F.); +#9037=ORIENTED_EDGE('',*,*,#9036,.F.); +#9038=ORIENTED_EDGE('',*,*,#5980,.F.); +#9040=ORIENTED_EDGE('',*,*,#9039,.F.); +#9042=ORIENTED_EDGE('',*,*,#9041,.F.); +#9044=ORIENTED_EDGE('',*,*,#9043,.F.); +#9045=EDGE_LOOP('',(#9031,#9033,#9035,#9037,#9038,#9040,#9042,#9044)); +#9046=FACE_OUTER_BOUND('',#9045,.F.); +#9047=ADVANCED_FACE('',(#9046),#9029,.T.); +#9048=CARTESIAN_POINT('',(2.5E-1,0.E0,0.E0)); +#9049=DIRECTION('',(1.E0,0.E0,0.E0)); +#9050=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9051=AXIS2_PLACEMENT_3D('',#9048,#9049,#9050); +#9052=PLANE('',#9051); +#9054=ORIENTED_EDGE('',*,*,#9053,.F.); +#9055=ORIENTED_EDGE('',*,*,#8951,.F.); +#9056=ORIENTED_EDGE('',*,*,#8964,.F.); +#9057=ORIENTED_EDGE('',*,*,#8977,.F.); +#9058=ORIENTED_EDGE('',*,*,#5970,.F.); +#9059=ORIENTED_EDGE('',*,*,#8851,.F.); +#9060=ORIENTED_EDGE('',*,*,#8878,.F.); +#9061=ORIENTED_EDGE('',*,*,#8891,.F.); +#9062=EDGE_LOOP('',(#9054,#9055,#9056,#9057,#9058,#9059,#9060,#9061)); +#9063=FACE_OUTER_BOUND('',#9062,.F.); +#9064=ADVANCED_FACE('',(#9063),#9052,.T.); +#9065=CARTESIAN_POINT('',(1.75E-1,2.6E0,-1.E0)); +#9066=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#9067=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#9068=AXIS2_PLACEMENT_3D('',#9065,#9066,#9067); +#9069=PLANE('',#9068); +#9071=ORIENTED_EDGE('',*,*,#9070,.F.); +#9072=ORIENTED_EDGE('',*,*,#8835,.T.); +#9073=ORIENTED_EDGE('',*,*,#9002,.T.); +#9075=ORIENTED_EDGE('',*,*,#9074,.T.); +#9076=EDGE_LOOP('',(#9071,#9072,#9073,#9075)); +#9077=FACE_OUTER_BOUND('',#9076,.F.); +#9078=ADVANCED_FACE('',(#9077),#9069,.T.); +#9079=CARTESIAN_POINT('',(-2.5E-1,2.9E0,-1.25E0)); +#9080=DIRECTION('',(0.E0,1.E0,0.E0)); +#9081=DIRECTION('',(0.E0,0.E0,1.E0)); +#9082=AXIS2_PLACEMENT_3D('',#9079,#9080,#9081); +#9083=PLANE('',#9082); +#9085=ORIENTED_EDGE('',*,*,#9084,.T.); +#9086=ORIENTED_EDGE('',*,*,#8821,.T.); +#9087=ORIENTED_EDGE('',*,*,#8837,.F.); +#9088=ORIENTED_EDGE('',*,*,#9070,.T.); +#9089=EDGE_LOOP('',(#9085,#9086,#9087,#9088)); +#9090=FACE_OUTER_BOUND('',#9089,.F.); +#9091=ADVANCED_FACE('',(#9090),#9083,.T.); +#9092=CARTESIAN_POINT('',(0.E0,2.6E0,-8.25E-1)); +#9093=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#9094=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#9095=AXIS2_PLACEMENT_3D('',#9092,#9093,#9094); +#9096=PLANE('',#9095); +#9097=ORIENTED_EDGE('',*,*,#8988,.T.); +#9098=ORIENTED_EDGE('',*,*,#8823,.F.); +#9099=ORIENTED_EDGE('',*,*,#9084,.F.); +#9100=ORIENTED_EDGE('',*,*,#9074,.F.); +#9101=EDGE_LOOP('',(#9097,#9098,#9099,#9100)); +#9102=FACE_OUTER_BOUND('',#9101,.F.); +#9103=ADVANCED_FACE('',(#9102),#9096,.T.); +#9104=CARTESIAN_POINT('',(1.75E-1,2.6E0,1.E0)); +#9105=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#9106=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#9107=AXIS2_PLACEMENT_3D('',#9104,#9105,#9106); +#9108=PLANE('',#9107); +#9110=ORIENTED_EDGE('',*,*,#9109,.F.); +#9112=ORIENTED_EDGE('',*,*,#9111,.T.); +#9113=ORIENTED_EDGE('',*,*,#9015,.T.); +#9115=ORIENTED_EDGE('',*,*,#9114,.F.); +#9116=EDGE_LOOP('',(#9110,#9112,#9113,#9115)); +#9117=FACE_OUTER_BOUND('',#9116,.F.); +#9118=ADVANCED_FACE('',(#9117),#9108,.T.); +#9119=CARTESIAN_POINT('',(-2.5E-1,2.9E0,7.5E-1)); +#9120=DIRECTION('',(0.E0,1.E0,0.E0)); +#9121=DIRECTION('',(0.E0,0.E0,1.E0)); +#9122=AXIS2_PLACEMENT_3D('',#9119,#9120,#9121); +#9123=PLANE('',#9122); +#9125=ORIENTED_EDGE('',*,*,#9124,.T.); +#9127=ORIENTED_EDGE('',*,*,#9126,.T.); +#9129=ORIENTED_EDGE('',*,*,#9128,.F.); +#9130=ORIENTED_EDGE('',*,*,#9109,.T.); +#9131=EDGE_LOOP('',(#9125,#9127,#9129,#9130)); +#9132=FACE_OUTER_BOUND('',#9131,.F.); +#9133=ADVANCED_FACE('',(#9132),#9123,.T.); +#9134=CARTESIAN_POINT('',(0.E0,2.6E0,1.175E0)); +#9135=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#9136=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#9137=AXIS2_PLACEMENT_3D('',#9134,#9135,#9136); +#9138=PLANE('',#9137); +#9140=ORIENTED_EDGE('',*,*,#9139,.T.); +#9142=ORIENTED_EDGE('',*,*,#9141,.F.); +#9143=ORIENTED_EDGE('',*,*,#9124,.F.); +#9144=ORIENTED_EDGE('',*,*,#9114,.T.); +#9145=EDGE_LOOP('',(#9140,#9142,#9143,#9144)); +#9146=FACE_OUTER_BOUND('',#9145,.F.); +#9147=ADVANCED_FACE('',(#9146),#9138,.T.); +#9148=CARTESIAN_POINT('',(-2.5E-1,2.9E0,1.25E0)); +#9149=DIRECTION('',(0.E0,0.E0,1.E0)); +#9150=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9151=AXIS2_PLACEMENT_3D('',#9148,#9149,#9150); +#9152=PLANE('',#9151); +#9153=ORIENTED_EDGE('',*,*,#8781,.F.); +#9154=ORIENTED_EDGE('',*,*,#5982,.T.); +#9155=ORIENTED_EDGE('',*,*,#9036,.T.); +#9157=ORIENTED_EDGE('',*,*,#9156,.F.); +#9158=EDGE_LOOP('',(#9153,#9154,#9155,#9157)); +#9159=FACE_OUTER_BOUND('',#9158,.F.); +#9160=ADVANCED_FACE('',(#9159),#9152,.T.); +#9161=CARTESIAN_POINT('',(-2.5E-1,2.9E0,1.25E0)); +#9162=DIRECTION('',(0.E0,0.E0,1.E0)); +#9163=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9164=AXIS2_PLACEMENT_3D('',#9161,#9162,#9163); +#9165=PLANE('',#9164); +#9166=ORIENTED_EDGE('',*,*,#9139,.F.); +#9167=ORIENTED_EDGE('',*,*,#9020,.T.); +#9168=ORIENTED_EDGE('',*,*,#5542,.F.); +#9169=ORIENTED_EDGE('',*,*,#8759,.F.); +#9170=EDGE_LOOP('',(#9166,#9167,#9168,#9169)); +#9171=FACE_OUTER_BOUND('',#9170,.F.); +#9172=ADVANCED_FACE('',(#9171),#9165,.T.); +#9173=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,1.45E0)); +#9174=DIRECTION('',(1.E0,0.E0,0.E0)); +#9175=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9176=AXIS2_PLACEMENT_3D('',#9173,#9174,#9175); +#9177=CYLINDRICAL_SURFACE('',#9176,2.E-1); +#9178=ORIENTED_EDGE('',*,*,#8783,.F.); +#9179=ORIENTED_EDGE('',*,*,#9156,.T.); +#9180=ORIENTED_EDGE('',*,*,#9034,.T.); +#9182=ORIENTED_EDGE('',*,*,#9181,.F.); +#9183=EDGE_LOOP('',(#9178,#9179,#9180,#9182)); +#9184=FACE_OUTER_BOUND('',#9183,.F.); +#9185=ADVANCED_FACE('',(#9184),#9177,.F.); +#9186=CARTESIAN_POINT('',(-2.5E-1,-3.9E0,1.45E0)); +#9187=DIRECTION('',(0.E0,1.E0,0.E0)); +#9188=DIRECTION('',(0.E0,0.E0,1.E0)); +#9189=AXIS2_PLACEMENT_3D('',#9186,#9187,#9188); +#9190=PLANE('',#9189); +#9192=ORIENTED_EDGE('',*,*,#9191,.T.); +#9193=ORIENTED_EDGE('',*,*,#8785,.F.); +#9194=ORIENTED_EDGE('',*,*,#9181,.T.); +#9195=ORIENTED_EDGE('',*,*,#9032,.T.); +#9196=EDGE_LOOP('',(#9192,#9193,#9194,#9195)); +#9197=FACE_OUTER_BOUND('',#9196,.F.); +#9198=ADVANCED_FACE('',(#9197),#9190,.T.); +#9199=CARTESIAN_POINT('',(0.E0,-3.975E0,2.35E0)); +#9200=DIRECTION('',(0.E0,9.701425001453E-1,2.425356250363E-1)); +#9201=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#9202=AXIS2_PLACEMENT_3D('',#9199,#9200,#9201); +#9203=PLANE('',#9202); +#9204=ORIENTED_EDGE('',*,*,#9191,.F.); +#9206=ORIENTED_EDGE('',*,*,#9205,.F.); +#9208=ORIENTED_EDGE('',*,*,#9207,.T.); +#9210=ORIENTED_EDGE('',*,*,#9209,.T.); +#9211=EDGE_LOOP('',(#9204,#9206,#9208,#9210)); +#9212=FACE_OUTER_BOUND('',#9211,.F.); +#9213=ADVANCED_FACE('',(#9212),#9203,.T.); +#9214=CARTESIAN_POINT('',(1.75E-1,-4.15E0,2.35E0)); +#9215=DIRECTION('',(9.701425001453E-1,0.E0,2.425356250363E-1)); +#9216=DIRECTION('',(-2.425356250363E-1,0.E0,9.701425001453E-1)); +#9217=AXIS2_PLACEMENT_3D('',#9214,#9215,#9216); +#9218=PLANE('',#9217); +#9220=ORIENTED_EDGE('',*,*,#9219,.F.); +#9221=ORIENTED_EDGE('',*,*,#9205,.T.); +#9222=ORIENTED_EDGE('',*,*,#9030,.T.); +#9224=ORIENTED_EDGE('',*,*,#9223,.T.); +#9225=EDGE_LOOP('',(#9220,#9221,#9222,#9224)); +#9226=FACE_OUTER_BOUND('',#9225,.F.); +#9227=ADVANCED_FACE('',(#9226),#9218,.T.); +#9228=CARTESIAN_POINT('',(-2.5E-1,-3.9E0,2.65E0)); +#9229=DIRECTION('',(0.E0,0.E0,1.E0)); +#9230=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9231=AXIS2_PLACEMENT_3D('',#9228,#9229,#9230); +#9232=PLANE('',#9231); +#9234=ORIENTED_EDGE('',*,*,#9233,.T.); +#9236=ORIENTED_EDGE('',*,*,#9235,.T.); +#9237=ORIENTED_EDGE('',*,*,#9207,.F.); +#9238=ORIENTED_EDGE('',*,*,#9219,.T.); +#9239=EDGE_LOOP('',(#9234,#9236,#9237,#9238)); +#9240=FACE_OUTER_BOUND('',#9239,.F.); +#9241=ADVANCED_FACE('',(#9240),#9232,.T.); +#9242=CARTESIAN_POINT('',(0.E0,-4.325E0,2.35E0)); +#9243=DIRECTION('',(0.E0,-9.701425001453E-1,2.425356250363E-1)); +#9244=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#9245=AXIS2_PLACEMENT_3D('',#9242,#9243,#9244); +#9246=PLANE('',#9245); +#9248=ORIENTED_EDGE('',*,*,#9247,.T.); +#9250=ORIENTED_EDGE('',*,*,#9249,.F.); +#9251=ORIENTED_EDGE('',*,*,#9233,.F.); +#9252=ORIENTED_EDGE('',*,*,#9223,.F.); +#9253=EDGE_LOOP('',(#9248,#9250,#9251,#9252)); +#9254=FACE_OUTER_BOUND('',#9253,.F.); +#9255=ADVANCED_FACE('',(#9254),#9246,.T.); +#9256=CARTESIAN_POINT('',(-2.5E-1,-4.4E0,2.65E0)); +#9257=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9258=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9259=AXIS2_PLACEMENT_3D('',#9256,#9257,#9258); +#9260=PLANE('',#9259); +#9261=ORIENTED_EDGE('',*,*,#9247,.F.); +#9262=ORIENTED_EDGE('',*,*,#9043,.T.); +#9264=ORIENTED_EDGE('',*,*,#9263,.F.); +#9265=ORIENTED_EDGE('',*,*,#8774,.F.); +#9266=EDGE_LOOP('',(#9261,#9262,#9264,#9265)); +#9267=FACE_OUTER_BOUND('',#9266,.F.); +#9268=ADVANCED_FACE('',(#9267),#9260,.T.); +#9269=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,1.45E0)); +#9270=DIRECTION('',(1.E0,0.E0,0.E0)); +#9271=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9272=AXIS2_PLACEMENT_3D('',#9269,#9270,#9271); +#9273=CYLINDRICAL_SURFACE('',#9272,7.E-1); +#9274=ORIENTED_EDGE('',*,*,#8776,.F.); +#9275=ORIENTED_EDGE('',*,*,#9263,.T.); +#9276=ORIENTED_EDGE('',*,*,#9041,.T.); +#9278=ORIENTED_EDGE('',*,*,#9277,.F.); +#9279=EDGE_LOOP('',(#9274,#9275,#9276,#9278)); +#9280=FACE_OUTER_BOUND('',#9279,.F.); +#9281=ADVANCED_FACE('',(#9280),#9273,.T.); +#9282=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,7.5E-1)); +#9283=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9284=DIRECTION('',(0.E0,1.E0,0.E0)); +#9285=AXIS2_PLACEMENT_3D('',#9282,#9283,#9284); +#9286=PLANE('',#9285); +#9287=ORIENTED_EDGE('',*,*,#8778,.F.); +#9288=ORIENTED_EDGE('',*,*,#9277,.T.); +#9289=ORIENTED_EDGE('',*,*,#9039,.T.); +#9290=ORIENTED_EDGE('',*,*,#5978,.F.); +#9291=EDGE_LOOP('',(#9287,#9288,#9289,#9290)); +#9292=FACE_OUTER_BOUND('',#9291,.F.); +#9293=ADVANCED_FACE('',(#9292),#9286,.T.); +#9294=CARTESIAN_POINT('',(-2.5E-1,-3.7E0,7.5E-1)); +#9295=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9296=DIRECTION('',(0.E0,1.E0,0.E0)); +#9297=AXIS2_PLACEMENT_3D('',#9294,#9295,#9296); +#9298=PLANE('',#9297); +#9300=ORIENTED_EDGE('',*,*,#9299,.T.); +#9301=ORIENTED_EDGE('',*,*,#8762,.F.); +#9302=ORIENTED_EDGE('',*,*,#5546,.T.); +#9303=ORIENTED_EDGE('',*,*,#9017,.T.); +#9304=EDGE_LOOP('',(#9300,#9301,#9302,#9303)); +#9305=FACE_OUTER_BOUND('',#9304,.F.); +#9306=ADVANCED_FACE('',(#9305),#9298,.T.); +#9307=CARTESIAN_POINT('',(0.E0,2.6E0,8.25E-1)); +#9308=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#9309=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#9310=AXIS2_PLACEMENT_3D('',#9307,#9308,#9309); +#9311=PLANE('',#9310); +#9312=ORIENTED_EDGE('',*,*,#9299,.F.); +#9313=ORIENTED_EDGE('',*,*,#9111,.F.); +#9314=ORIENTED_EDGE('',*,*,#9128,.T.); +#9316=ORIENTED_EDGE('',*,*,#9315,.T.); +#9317=EDGE_LOOP('',(#9312,#9313,#9314,#9316)); +#9318=FACE_OUTER_BOUND('',#9317,.F.); +#9319=ADVANCED_FACE('',(#9318),#9311,.T.); +#9320=CARTESIAN_POINT('',(-1.75E-1,2.6E0,1.E0)); +#9321=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#9322=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#9323=AXIS2_PLACEMENT_3D('',#9320,#9321,#9322); +#9324=PLANE('',#9323); +#9325=ORIENTED_EDGE('',*,*,#8757,.T.); +#9326=ORIENTED_EDGE('',*,*,#9315,.F.); +#9327=ORIENTED_EDGE('',*,*,#9126,.F.); +#9328=ORIENTED_EDGE('',*,*,#9141,.T.); +#9329=EDGE_LOOP('',(#9325,#9326,#9327,#9328)); +#9330=FACE_OUTER_BOUND('',#9329,.F.); +#9331=ADVANCED_FACE('',(#9330),#9324,.T.); +#9332=CARTESIAN_POINT('',(-1.75E-1,-4.15E0,2.35E0)); +#9333=DIRECTION('',(-9.701425001453E-1,0.E0,2.425356250363E-1)); +#9334=DIRECTION('',(2.425356250363E-1,0.E0,9.701425001453E-1)); +#9335=AXIS2_PLACEMENT_3D('',#9332,#9333,#9334); +#9336=PLANE('',#9335); +#9337=ORIENTED_EDGE('',*,*,#8772,.T.); +#9338=ORIENTED_EDGE('',*,*,#9209,.F.); +#9339=ORIENTED_EDGE('',*,*,#9235,.F.); +#9340=ORIENTED_EDGE('',*,*,#9249,.T.); +#9341=EDGE_LOOP('',(#9337,#9338,#9339,#9340)); +#9342=FACE_OUTER_BOUND('',#9341,.F.); +#9343=ADVANCED_FACE('',(#9342),#9336,.T.); +#9344=CARTESIAN_POINT('',(1.75E-1,-4.15E0,-2.35E0)); +#9345=DIRECTION('',(9.701425001453E-1,0.E0,-2.425356250363E-1)); +#9346=DIRECTION('',(-2.425356250363E-1,0.E0,-9.701425001453E-1)); +#9347=AXIS2_PLACEMENT_3D('',#9344,#9345,#9346); +#9348=PLANE('',#9347); +#9350=ORIENTED_EDGE('',*,*,#9349,.F.); +#9351=ORIENTED_EDGE('',*,*,#8934,.T.); +#9352=ORIENTED_EDGE('',*,*,#9053,.T.); +#9353=ORIENTED_EDGE('',*,*,#8908,.T.); +#9354=EDGE_LOOP('',(#9350,#9351,#9352,#9353)); +#9355=FACE_OUTER_BOUND('',#9354,.F.); +#9356=ADVANCED_FACE('',(#9355),#9348,.T.); +#9357=CARTESIAN_POINT('',(-2.5E-1,-4.4E0,-2.65E0)); +#9358=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9359=DIRECTION('',(0.E0,1.E0,0.E0)); +#9360=AXIS2_PLACEMENT_3D('',#9357,#9358,#9359); +#9361=PLANE('',#9360); +#9362=ORIENTED_EDGE('',*,*,#8906,.T.); +#9363=ORIENTED_EDGE('',*,*,#8921,.T.); +#9364=ORIENTED_EDGE('',*,*,#8936,.F.); +#9365=ORIENTED_EDGE('',*,*,#9349,.T.); +#9366=EDGE_LOOP('',(#9362,#9363,#9364,#9365)); +#9367=FACE_OUTER_BOUND('',#9366,.F.); +#9368=ADVANCED_FACE('',(#9367),#9361,.T.); +#9369=CARTESIAN_POINT('',(1.75E0,0.E0,0.E0)); +#9370=DIRECTION('',(1.E0,0.E0,0.E0)); +#9371=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9372=AXIS2_PLACEMENT_3D('',#9369,#9370,#9371); +#9373=PLANE('',#9372); +#9375=ORIENTED_EDGE('',*,*,#9374,.F.); +#9377=ORIENTED_EDGE('',*,*,#9376,.T.); +#9378=ORIENTED_EDGE('',*,*,#5570,.T.); +#9380=ORIENTED_EDGE('',*,*,#9379,.T.); +#9381=EDGE_LOOP('',(#9375,#9377,#9378,#9380)); +#9382=FACE_OUTER_BOUND('',#9381,.F.); +#9383=ADVANCED_FACE('',(#9382),#9373,.F.); +#9384=CARTESIAN_POINT('',(1.75E0,0.E0,0.E0)); +#9385=DIRECTION('',(1.E0,0.E0,0.E0)); +#9386=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9387=AXIS2_PLACEMENT_3D('',#9384,#9385,#9386); +#9388=PLANE('',#9387); +#9390=ORIENTED_EDGE('',*,*,#9389,.F.); +#9392=ORIENTED_EDGE('',*,*,#9391,.T.); +#9393=ORIENTED_EDGE('',*,*,#5560,.T.); +#9395=ORIENTED_EDGE('',*,*,#9394,.T.); +#9396=EDGE_LOOP('',(#9390,#9392,#9393,#9395)); +#9397=FACE_OUTER_BOUND('',#9396,.F.); +#9398=ADVANCED_FACE('',(#9397),#9388,.F.); +#9399=CARTESIAN_POINT('',(1.75E0,0.E0,0.E0)); +#9400=DIRECTION('',(1.E0,0.E0,0.E0)); +#9401=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9402=AXIS2_PLACEMENT_3D('',#9399,#9400,#9401); +#9403=PLANE('',#9402); +#9405=ORIENTED_EDGE('',*,*,#9404,.F.); +#9407=ORIENTED_EDGE('',*,*,#9406,.T.); +#9409=ORIENTED_EDGE('',*,*,#9408,.T.); +#9411=ORIENTED_EDGE('',*,*,#9410,.T.); +#9412=ORIENTED_EDGE('',*,*,#5996,.T.); +#9414=ORIENTED_EDGE('',*,*,#9413,.T.); +#9416=ORIENTED_EDGE('',*,*,#9415,.T.); +#9418=ORIENTED_EDGE('',*,*,#9417,.T.); +#9419=EDGE_LOOP('',(#9405,#9407,#9409,#9411,#9412,#9414,#9416,#9418)); +#9420=FACE_OUTER_BOUND('',#9419,.F.); +#9421=ADVANCED_FACE('',(#9420),#9403,.F.); +#9422=CARTESIAN_POINT('',(1.75E0,0.E0,0.E0)); +#9423=DIRECTION('',(1.E0,0.E0,0.E0)); +#9424=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9425=AXIS2_PLACEMENT_3D('',#9422,#9423,#9424); +#9426=PLANE('',#9425); +#9428=ORIENTED_EDGE('',*,*,#9427,.F.); +#9430=ORIENTED_EDGE('',*,*,#9429,.T.); +#9432=ORIENTED_EDGE('',*,*,#9431,.T.); +#9434=ORIENTED_EDGE('',*,*,#9433,.T.); +#9435=ORIENTED_EDGE('',*,*,#5986,.T.); +#9437=ORIENTED_EDGE('',*,*,#9436,.T.); +#9439=ORIENTED_EDGE('',*,*,#9438,.T.); +#9441=ORIENTED_EDGE('',*,*,#9440,.T.); +#9442=EDGE_LOOP('',(#9428,#9430,#9432,#9434,#9435,#9437,#9439,#9441)); +#9443=FACE_OUTER_BOUND('',#9442,.F.); +#9444=ADVANCED_FACE('',(#9443),#9426,.F.); +#9445=CARTESIAN_POINT('',(1.825E0,2.6E0,-1.E0)); +#9446=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#9447=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#9448=AXIS2_PLACEMENT_3D('',#9445,#9446,#9447); +#9449=PLANE('',#9448); +#9450=ORIENTED_EDGE('',*,*,#9374,.T.); +#9452=ORIENTED_EDGE('',*,*,#9451,.F.); +#9454=ORIENTED_EDGE('',*,*,#9453,.F.); +#9456=ORIENTED_EDGE('',*,*,#9455,.T.); +#9457=EDGE_LOOP('',(#9450,#9452,#9454,#9456)); +#9458=FACE_OUTER_BOUND('',#9457,.F.); +#9459=ADVANCED_FACE('',(#9458),#9449,.T.); +#9460=CARTESIAN_POINT('',(2.E0,2.6E0,-1.175E0)); +#9461=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#9462=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#9463=AXIS2_PLACEMENT_3D('',#9460,#9461,#9462); +#9464=PLANE('',#9463); +#9466=ORIENTED_EDGE('',*,*,#9465,.F.); +#9468=ORIENTED_EDGE('',*,*,#9467,.F.); +#9470=ORIENTED_EDGE('',*,*,#9469,.T.); +#9471=ORIENTED_EDGE('',*,*,#9451,.T.); +#9472=EDGE_LOOP('',(#9466,#9468,#9470,#9471)); +#9473=FACE_OUTER_BOUND('',#9472,.F.); +#9474=ADVANCED_FACE('',(#9473),#9464,.T.); +#9475=CARTESIAN_POINT('',(1.75E0,-3.7E0,-1.25E0)); +#9476=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9477=DIRECTION('',(0.E0,1.E0,0.E0)); +#9478=AXIS2_PLACEMENT_3D('',#9475,#9476,#9477); +#9479=PLANE('',#9478); +#9480=ORIENTED_EDGE('',*,*,#9433,.F.); +#9482=ORIENTED_EDGE('',*,*,#9481,.T.); +#9484=ORIENTED_EDGE('',*,*,#9483,.T.); +#9485=ORIENTED_EDGE('',*,*,#5988,.F.); +#9486=EDGE_LOOP('',(#9480,#9482,#9484,#9485)); +#9487=FACE_OUTER_BOUND('',#9486,.F.); +#9488=ADVANCED_FACE('',(#9487),#9479,.T.); +#9489=CARTESIAN_POINT('',(1.75E0,-3.7E0,-1.25E0)); +#9490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9491=DIRECTION('',(0.E0,1.E0,0.E0)); +#9492=AXIS2_PLACEMENT_3D('',#9489,#9490,#9491); +#9493=PLANE('',#9492); +#9494=ORIENTED_EDGE('',*,*,#9465,.T.); +#9495=ORIENTED_EDGE('',*,*,#9379,.F.); +#9496=ORIENTED_EDGE('',*,*,#5576,.T.); +#9498=ORIENTED_EDGE('',*,*,#9497,.T.); +#9499=EDGE_LOOP('',(#9494,#9495,#9496,#9498)); +#9500=FACE_OUTER_BOUND('',#9499,.F.); +#9501=ADVANCED_FACE('',(#9500),#9493,.T.); +#9502=CARTESIAN_POINT('',(1.75E0,-3.7E0,-1.45E0)); +#9503=DIRECTION('',(1.E0,0.E0,0.E0)); +#9504=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9505=AXIS2_PLACEMENT_3D('',#9502,#9503,#9504); +#9506=CYLINDRICAL_SURFACE('',#9505,2.E-1); +#9507=ORIENTED_EDGE('',*,*,#9431,.F.); +#9509=ORIENTED_EDGE('',*,*,#9508,.T.); +#9511=ORIENTED_EDGE('',*,*,#9510,.T.); +#9512=ORIENTED_EDGE('',*,*,#9481,.F.); +#9513=EDGE_LOOP('',(#9507,#9509,#9511,#9512)); +#9514=FACE_OUTER_BOUND('',#9513,.F.); +#9515=ADVANCED_FACE('',(#9514),#9506,.F.); +#9516=CARTESIAN_POINT('',(1.75E0,-3.9E0,-2.65E0)); +#9517=DIRECTION('',(0.E0,1.E0,0.E0)); +#9518=DIRECTION('',(0.E0,0.E0,1.E0)); +#9519=AXIS2_PLACEMENT_3D('',#9516,#9517,#9518); +#9520=PLANE('',#9519); +#9522=ORIENTED_EDGE('',*,*,#9521,.F.); +#9524=ORIENTED_EDGE('',*,*,#9523,.T.); +#9525=ORIENTED_EDGE('',*,*,#9508,.F.); +#9526=ORIENTED_EDGE('',*,*,#9429,.F.); +#9527=EDGE_LOOP('',(#9522,#9524,#9525,#9526)); +#9528=FACE_OUTER_BOUND('',#9527,.F.); +#9529=ADVANCED_FACE('',(#9528),#9520,.T.); +#9530=CARTESIAN_POINT('',(2.E0,-3.975E0,-2.35E0)); +#9531=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#9532=DIRECTION('',(0.E0,-2.425356250363E-1,-9.701425001453E-1)); +#9533=AXIS2_PLACEMENT_3D('',#9530,#9531,#9532); +#9534=PLANE('',#9533); +#9535=ORIENTED_EDGE('',*,*,#9521,.T.); +#9537=ORIENTED_EDGE('',*,*,#9536,.F.); +#9539=ORIENTED_EDGE('',*,*,#9538,.F.); +#9541=ORIENTED_EDGE('',*,*,#9540,.F.); +#9542=EDGE_LOOP('',(#9535,#9537,#9539,#9541)); +#9543=FACE_OUTER_BOUND('',#9542,.F.); +#9544=ADVANCED_FACE('',(#9543),#9534,.T.); +#9545=CARTESIAN_POINT('',(1.825E0,-4.15E0,-2.35E0)); +#9546=DIRECTION('',(-9.701425001453E-1,0.E0,-2.425356250363E-1)); +#9547=DIRECTION('',(2.425356250363E-1,0.E0,-9.701425001453E-1)); +#9548=AXIS2_PLACEMENT_3D('',#9545,#9546,#9547); +#9549=PLANE('',#9548); +#9550=ORIENTED_EDGE('',*,*,#9427,.T.); +#9552=ORIENTED_EDGE('',*,*,#9551,.F.); +#9554=ORIENTED_EDGE('',*,*,#9553,.F.); +#9555=ORIENTED_EDGE('',*,*,#9536,.T.); +#9556=EDGE_LOOP('',(#9550,#9552,#9554,#9555)); +#9557=FACE_OUTER_BOUND('',#9556,.F.); +#9558=ADVANCED_FACE('',(#9557),#9549,.T.); +#9559=CARTESIAN_POINT('',(2.E0,-4.325E0,-2.35E0)); +#9560=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#9561=DIRECTION('',(0.E0,-2.425356250363E-1,9.701425001453E-1)); +#9562=AXIS2_PLACEMENT_3D('',#9559,#9560,#9561); +#9563=PLANE('',#9562); +#9565=ORIENTED_EDGE('',*,*,#9564,.F.); +#9567=ORIENTED_EDGE('',*,*,#9566,.F.); +#9569=ORIENTED_EDGE('',*,*,#9568,.T.); +#9570=ORIENTED_EDGE('',*,*,#9551,.T.); +#9571=EDGE_LOOP('',(#9565,#9567,#9569,#9570)); +#9572=FACE_OUTER_BOUND('',#9571,.F.); +#9573=ADVANCED_FACE('',(#9572),#9563,.T.); +#9574=CARTESIAN_POINT('',(1.75E0,-4.4E0,-1.45E0)); +#9575=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9576=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9577=AXIS2_PLACEMENT_3D('',#9574,#9575,#9576); +#9578=PLANE('',#9577); +#9579=ORIENTED_EDGE('',*,*,#9564,.T.); +#9580=ORIENTED_EDGE('',*,*,#9440,.F.); +#9582=ORIENTED_EDGE('',*,*,#9581,.T.); +#9584=ORIENTED_EDGE('',*,*,#9583,.T.); +#9585=EDGE_LOOP('',(#9579,#9580,#9582,#9584)); +#9586=FACE_OUTER_BOUND('',#9585,.F.); +#9587=ADVANCED_FACE('',(#9586),#9578,.T.); +#9588=CARTESIAN_POINT('',(1.75E0,-3.7E0,-1.45E0)); +#9589=DIRECTION('',(1.E0,0.E0,0.E0)); +#9590=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9591=AXIS2_PLACEMENT_3D('',#9588,#9589,#9590); +#9592=CYLINDRICAL_SURFACE('',#9591,7.E-1); +#9593=ORIENTED_EDGE('',*,*,#9438,.F.); +#9595=ORIENTED_EDGE('',*,*,#9594,.T.); +#9597=ORIENTED_EDGE('',*,*,#9596,.T.); +#9598=ORIENTED_EDGE('',*,*,#9581,.F.); +#9599=EDGE_LOOP('',(#9593,#9595,#9597,#9598)); +#9600=FACE_OUTER_BOUND('',#9599,.F.); +#9601=ADVANCED_FACE('',(#9600),#9592,.T.); +#9602=CARTESIAN_POINT('',(1.75E0,2.9E0,-7.5E-1)); +#9603=DIRECTION('',(0.E0,0.E0,1.E0)); +#9604=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9605=AXIS2_PLACEMENT_3D('',#9602,#9603,#9604); +#9606=PLANE('',#9605); +#9607=ORIENTED_EDGE('',*,*,#9436,.F.); +#9608=ORIENTED_EDGE('',*,*,#5992,.T.); +#9610=ORIENTED_EDGE('',*,*,#9609,.T.); +#9611=ORIENTED_EDGE('',*,*,#9594,.F.); +#9612=EDGE_LOOP('',(#9607,#9608,#9610,#9611)); +#9613=FACE_OUTER_BOUND('',#9612,.F.); +#9614=ADVANCED_FACE('',(#9613),#9606,.T.); +#9615=CARTESIAN_POINT('',(1.75E0,2.9E0,-7.5E-1)); +#9616=DIRECTION('',(0.E0,0.E0,1.E0)); +#9617=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9618=AXIS2_PLACEMENT_3D('',#9615,#9616,#9617); +#9619=PLANE('',#9618); +#9621=ORIENTED_EDGE('',*,*,#9620,.F.); +#9623=ORIENTED_EDGE('',*,*,#9622,.T.); +#9624=ORIENTED_EDGE('',*,*,#5572,.F.); +#9625=ORIENTED_EDGE('',*,*,#9376,.F.); +#9626=EDGE_LOOP('',(#9621,#9623,#9624,#9625)); +#9627=FACE_OUTER_BOUND('',#9626,.F.); +#9628=ADVANCED_FACE('',(#9627),#9619,.T.); +#9629=CARTESIAN_POINT('',(2.25E0,0.E0,0.E0)); +#9630=DIRECTION('',(1.E0,0.E0,0.E0)); +#9631=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9632=AXIS2_PLACEMENT_3D('',#9629,#9630,#9631); +#9633=PLANE('',#9632); +#9635=ORIENTED_EDGE('',*,*,#9634,.F.); +#9636=ORIENTED_EDGE('',*,*,#9497,.F.); +#9637=ORIENTED_EDGE('',*,*,#5574,.F.); +#9638=ORIENTED_EDGE('',*,*,#9622,.F.); +#9639=EDGE_LOOP('',(#9635,#9636,#9637,#9638)); +#9640=FACE_OUTER_BOUND('',#9639,.F.); +#9641=ADVANCED_FACE('',(#9640),#9633,.T.); +#9642=CARTESIAN_POINT('',(2.25E0,0.E0,0.E0)); +#9643=DIRECTION('',(1.E0,0.E0,0.E0)); +#9644=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9645=AXIS2_PLACEMENT_3D('',#9642,#9643,#9644); +#9646=PLANE('',#9645); +#9648=ORIENTED_EDGE('',*,*,#9647,.F.); +#9650=ORIENTED_EDGE('',*,*,#9649,.F.); +#9651=ORIENTED_EDGE('',*,*,#5564,.F.); +#9653=ORIENTED_EDGE('',*,*,#9652,.F.); +#9654=EDGE_LOOP('',(#9648,#9650,#9651,#9653)); +#9655=FACE_OUTER_BOUND('',#9654,.F.); +#9656=ADVANCED_FACE('',(#9655),#9646,.T.); +#9657=CARTESIAN_POINT('',(2.25E0,0.E0,0.E0)); +#9658=DIRECTION('',(1.E0,0.E0,0.E0)); +#9659=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9660=AXIS2_PLACEMENT_3D('',#9657,#9658,#9659); +#9661=PLANE('',#9660); +#9663=ORIENTED_EDGE('',*,*,#9662,.F.); +#9665=ORIENTED_EDGE('',*,*,#9664,.F.); +#9667=ORIENTED_EDGE('',*,*,#9666,.F.); +#9669=ORIENTED_EDGE('',*,*,#9668,.F.); +#9670=ORIENTED_EDGE('',*,*,#6000,.F.); +#9672=ORIENTED_EDGE('',*,*,#9671,.F.); +#9674=ORIENTED_EDGE('',*,*,#9673,.F.); +#9676=ORIENTED_EDGE('',*,*,#9675,.F.); +#9677=EDGE_LOOP('',(#9663,#9665,#9667,#9669,#9670,#9672,#9674,#9676)); +#9678=FACE_OUTER_BOUND('',#9677,.F.); +#9679=ADVANCED_FACE('',(#9678),#9661,.T.); +#9680=CARTESIAN_POINT('',(2.25E0,0.E0,0.E0)); +#9681=DIRECTION('',(1.E0,0.E0,0.E0)); +#9682=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9683=AXIS2_PLACEMENT_3D('',#9680,#9681,#9682); +#9684=PLANE('',#9683); +#9686=ORIENTED_EDGE('',*,*,#9685,.F.); +#9687=ORIENTED_EDGE('',*,*,#9583,.F.); +#9688=ORIENTED_EDGE('',*,*,#9596,.F.); +#9689=ORIENTED_EDGE('',*,*,#9609,.F.); +#9690=ORIENTED_EDGE('',*,*,#5990,.F.); +#9691=ORIENTED_EDGE('',*,*,#9483,.F.); +#9692=ORIENTED_EDGE('',*,*,#9510,.F.); +#9693=ORIENTED_EDGE('',*,*,#9523,.F.); +#9694=EDGE_LOOP('',(#9686,#9687,#9688,#9689,#9690,#9691,#9692,#9693)); +#9695=FACE_OUTER_BOUND('',#9694,.F.); +#9696=ADVANCED_FACE('',(#9695),#9684,.T.); +#9697=CARTESIAN_POINT('',(2.175E0,2.6E0,-1.E0)); +#9698=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#9699=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#9700=AXIS2_PLACEMENT_3D('',#9697,#9698,#9699); +#9701=PLANE('',#9700); +#9703=ORIENTED_EDGE('',*,*,#9702,.F.); +#9704=ORIENTED_EDGE('',*,*,#9467,.T.); +#9705=ORIENTED_EDGE('',*,*,#9634,.T.); +#9707=ORIENTED_EDGE('',*,*,#9706,.T.); +#9708=EDGE_LOOP('',(#9703,#9704,#9705,#9707)); +#9709=FACE_OUTER_BOUND('',#9708,.F.); +#9710=ADVANCED_FACE('',(#9709),#9701,.T.); +#9711=CARTESIAN_POINT('',(1.75E0,2.9E0,-1.25E0)); +#9712=DIRECTION('',(0.E0,1.E0,0.E0)); +#9713=DIRECTION('',(0.E0,0.E0,1.E0)); +#9714=AXIS2_PLACEMENT_3D('',#9711,#9712,#9713); +#9715=PLANE('',#9714); +#9717=ORIENTED_EDGE('',*,*,#9716,.T.); +#9718=ORIENTED_EDGE('',*,*,#9453,.T.); +#9719=ORIENTED_EDGE('',*,*,#9469,.F.); +#9720=ORIENTED_EDGE('',*,*,#9702,.T.); +#9721=EDGE_LOOP('',(#9717,#9718,#9719,#9720)); +#9722=FACE_OUTER_BOUND('',#9721,.F.); +#9723=ADVANCED_FACE('',(#9722),#9715,.T.); +#9724=CARTESIAN_POINT('',(2.E0,2.6E0,-8.25E-1)); +#9725=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#9726=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#9727=AXIS2_PLACEMENT_3D('',#9724,#9725,#9726); +#9728=PLANE('',#9727); +#9729=ORIENTED_EDGE('',*,*,#9620,.T.); +#9730=ORIENTED_EDGE('',*,*,#9455,.F.); +#9731=ORIENTED_EDGE('',*,*,#9716,.F.); +#9732=ORIENTED_EDGE('',*,*,#9706,.F.); +#9733=EDGE_LOOP('',(#9729,#9730,#9731,#9732)); +#9734=FACE_OUTER_BOUND('',#9733,.F.); +#9735=ADVANCED_FACE('',(#9734),#9728,.T.); +#9736=CARTESIAN_POINT('',(2.175E0,2.6E0,1.E0)); +#9737=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#9738=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#9739=AXIS2_PLACEMENT_3D('',#9736,#9737,#9738); +#9740=PLANE('',#9739); +#9742=ORIENTED_EDGE('',*,*,#9741,.F.); +#9744=ORIENTED_EDGE('',*,*,#9743,.T.); +#9745=ORIENTED_EDGE('',*,*,#9647,.T.); +#9747=ORIENTED_EDGE('',*,*,#9746,.F.); +#9748=EDGE_LOOP('',(#9742,#9744,#9745,#9747)); +#9749=FACE_OUTER_BOUND('',#9748,.F.); +#9750=ADVANCED_FACE('',(#9749),#9740,.T.); +#9751=CARTESIAN_POINT('',(1.75E0,2.9E0,7.5E-1)); +#9752=DIRECTION('',(0.E0,1.E0,0.E0)); +#9753=DIRECTION('',(0.E0,0.E0,1.E0)); +#9754=AXIS2_PLACEMENT_3D('',#9751,#9752,#9753); +#9755=PLANE('',#9754); +#9757=ORIENTED_EDGE('',*,*,#9756,.T.); +#9759=ORIENTED_EDGE('',*,*,#9758,.T.); +#9761=ORIENTED_EDGE('',*,*,#9760,.F.); +#9762=ORIENTED_EDGE('',*,*,#9741,.T.); +#9763=EDGE_LOOP('',(#9757,#9759,#9761,#9762)); +#9764=FACE_OUTER_BOUND('',#9763,.F.); +#9765=ADVANCED_FACE('',(#9764),#9755,.T.); +#9766=CARTESIAN_POINT('',(2.E0,2.6E0,1.175E0)); +#9767=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#9768=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#9769=AXIS2_PLACEMENT_3D('',#9766,#9767,#9768); +#9770=PLANE('',#9769); +#9772=ORIENTED_EDGE('',*,*,#9771,.T.); +#9774=ORIENTED_EDGE('',*,*,#9773,.F.); +#9775=ORIENTED_EDGE('',*,*,#9756,.F.); +#9776=ORIENTED_EDGE('',*,*,#9746,.T.); +#9777=EDGE_LOOP('',(#9772,#9774,#9775,#9776)); +#9778=FACE_OUTER_BOUND('',#9777,.F.); +#9779=ADVANCED_FACE('',(#9778),#9770,.T.); +#9780=CARTESIAN_POINT('',(1.75E0,2.9E0,1.25E0)); +#9781=DIRECTION('',(0.E0,0.E0,1.E0)); +#9782=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9783=AXIS2_PLACEMENT_3D('',#9780,#9781,#9782); +#9784=PLANE('',#9783); +#9785=ORIENTED_EDGE('',*,*,#9413,.F.); +#9786=ORIENTED_EDGE('',*,*,#6002,.T.); +#9787=ORIENTED_EDGE('',*,*,#9668,.T.); +#9789=ORIENTED_EDGE('',*,*,#9788,.F.); +#9790=EDGE_LOOP('',(#9785,#9786,#9787,#9789)); +#9791=FACE_OUTER_BOUND('',#9790,.F.); +#9792=ADVANCED_FACE('',(#9791),#9784,.T.); +#9793=CARTESIAN_POINT('',(1.75E0,2.9E0,1.25E0)); +#9794=DIRECTION('',(0.E0,0.E0,1.E0)); +#9795=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9796=AXIS2_PLACEMENT_3D('',#9793,#9794,#9795); +#9797=PLANE('',#9796); +#9798=ORIENTED_EDGE('',*,*,#9771,.F.); +#9799=ORIENTED_EDGE('',*,*,#9652,.T.); +#9800=ORIENTED_EDGE('',*,*,#5562,.F.); +#9801=ORIENTED_EDGE('',*,*,#9391,.F.); +#9802=EDGE_LOOP('',(#9798,#9799,#9800,#9801)); +#9803=FACE_OUTER_BOUND('',#9802,.F.); +#9804=ADVANCED_FACE('',(#9803),#9797,.T.); +#9805=CARTESIAN_POINT('',(1.75E0,-3.7E0,1.45E0)); +#9806=DIRECTION('',(1.E0,0.E0,0.E0)); +#9807=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9808=AXIS2_PLACEMENT_3D('',#9805,#9806,#9807); +#9809=CYLINDRICAL_SURFACE('',#9808,2.E-1); +#9810=ORIENTED_EDGE('',*,*,#9415,.F.); +#9811=ORIENTED_EDGE('',*,*,#9788,.T.); +#9812=ORIENTED_EDGE('',*,*,#9666,.T.); +#9814=ORIENTED_EDGE('',*,*,#9813,.F.); +#9815=EDGE_LOOP('',(#9810,#9811,#9812,#9814)); +#9816=FACE_OUTER_BOUND('',#9815,.F.); +#9817=ADVANCED_FACE('',(#9816),#9809,.F.); +#9818=CARTESIAN_POINT('',(1.75E0,-3.9E0,1.45E0)); +#9819=DIRECTION('',(0.E0,1.E0,0.E0)); +#9820=DIRECTION('',(0.E0,0.E0,1.E0)); +#9821=AXIS2_PLACEMENT_3D('',#9818,#9819,#9820); +#9822=PLANE('',#9821); +#9824=ORIENTED_EDGE('',*,*,#9823,.T.); +#9825=ORIENTED_EDGE('',*,*,#9417,.F.); +#9826=ORIENTED_EDGE('',*,*,#9813,.T.); +#9827=ORIENTED_EDGE('',*,*,#9664,.T.); +#9828=EDGE_LOOP('',(#9824,#9825,#9826,#9827)); +#9829=FACE_OUTER_BOUND('',#9828,.F.); +#9830=ADVANCED_FACE('',(#9829),#9822,.T.); +#9831=CARTESIAN_POINT('',(2.E0,-3.975E0,2.35E0)); +#9832=DIRECTION('',(0.E0,9.701425001453E-1,2.425356250363E-1)); +#9833=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#9834=AXIS2_PLACEMENT_3D('',#9831,#9832,#9833); +#9835=PLANE('',#9834); +#9836=ORIENTED_EDGE('',*,*,#9823,.F.); +#9838=ORIENTED_EDGE('',*,*,#9837,.F.); +#9840=ORIENTED_EDGE('',*,*,#9839,.T.); +#9842=ORIENTED_EDGE('',*,*,#9841,.T.); +#9843=EDGE_LOOP('',(#9836,#9838,#9840,#9842)); +#9844=FACE_OUTER_BOUND('',#9843,.F.); +#9845=ADVANCED_FACE('',(#9844),#9835,.T.); +#9846=CARTESIAN_POINT('',(2.175E0,-4.15E0,2.35E0)); +#9847=DIRECTION('',(9.701425001453E-1,0.E0,2.425356250363E-1)); +#9848=DIRECTION('',(-2.425356250363E-1,0.E0,9.701425001453E-1)); +#9849=AXIS2_PLACEMENT_3D('',#9846,#9847,#9848); +#9850=PLANE('',#9849); +#9852=ORIENTED_EDGE('',*,*,#9851,.F.); +#9853=ORIENTED_EDGE('',*,*,#9837,.T.); +#9854=ORIENTED_EDGE('',*,*,#9662,.T.); +#9856=ORIENTED_EDGE('',*,*,#9855,.T.); +#9857=EDGE_LOOP('',(#9852,#9853,#9854,#9856)); +#9858=FACE_OUTER_BOUND('',#9857,.F.); +#9859=ADVANCED_FACE('',(#9858),#9850,.T.); +#9860=CARTESIAN_POINT('',(1.75E0,-3.9E0,2.65E0)); +#9861=DIRECTION('',(0.E0,0.E0,1.E0)); +#9862=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9863=AXIS2_PLACEMENT_3D('',#9860,#9861,#9862); +#9864=PLANE('',#9863); +#9866=ORIENTED_EDGE('',*,*,#9865,.T.); +#9868=ORIENTED_EDGE('',*,*,#9867,.T.); +#9869=ORIENTED_EDGE('',*,*,#9839,.F.); +#9870=ORIENTED_EDGE('',*,*,#9851,.T.); +#9871=EDGE_LOOP('',(#9866,#9868,#9869,#9870)); +#9872=FACE_OUTER_BOUND('',#9871,.F.); +#9873=ADVANCED_FACE('',(#9872),#9864,.T.); +#9874=CARTESIAN_POINT('',(2.E0,-4.325E0,2.35E0)); +#9875=DIRECTION('',(0.E0,-9.701425001453E-1,2.425356250363E-1)); +#9876=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#9877=AXIS2_PLACEMENT_3D('',#9874,#9875,#9876); +#9878=PLANE('',#9877); +#9880=ORIENTED_EDGE('',*,*,#9879,.T.); +#9882=ORIENTED_EDGE('',*,*,#9881,.F.); +#9883=ORIENTED_EDGE('',*,*,#9865,.F.); +#9884=ORIENTED_EDGE('',*,*,#9855,.F.); +#9885=EDGE_LOOP('',(#9880,#9882,#9883,#9884)); +#9886=FACE_OUTER_BOUND('',#9885,.F.); +#9887=ADVANCED_FACE('',(#9886),#9878,.T.); +#9888=CARTESIAN_POINT('',(1.75E0,-4.4E0,2.65E0)); +#9889=DIRECTION('',(0.E0,-1.E0,0.E0)); +#9890=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9891=AXIS2_PLACEMENT_3D('',#9888,#9889,#9890); +#9892=PLANE('',#9891); +#9893=ORIENTED_EDGE('',*,*,#9879,.F.); +#9894=ORIENTED_EDGE('',*,*,#9675,.T.); +#9896=ORIENTED_EDGE('',*,*,#9895,.F.); +#9897=ORIENTED_EDGE('',*,*,#9406,.F.); +#9898=EDGE_LOOP('',(#9893,#9894,#9896,#9897)); +#9899=FACE_OUTER_BOUND('',#9898,.F.); +#9900=ADVANCED_FACE('',(#9899),#9892,.T.); +#9901=CARTESIAN_POINT('',(1.75E0,-3.7E0,1.45E0)); +#9902=DIRECTION('',(1.E0,0.E0,0.E0)); +#9903=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9904=AXIS2_PLACEMENT_3D('',#9901,#9902,#9903); +#9905=CYLINDRICAL_SURFACE('',#9904,7.E-1); +#9906=ORIENTED_EDGE('',*,*,#9408,.F.); +#9907=ORIENTED_EDGE('',*,*,#9895,.T.); +#9908=ORIENTED_EDGE('',*,*,#9673,.T.); +#9910=ORIENTED_EDGE('',*,*,#9909,.F.); +#9911=EDGE_LOOP('',(#9906,#9907,#9908,#9910)); +#9912=FACE_OUTER_BOUND('',#9911,.F.); +#9913=ADVANCED_FACE('',(#9912),#9905,.T.); +#9914=CARTESIAN_POINT('',(1.75E0,-3.7E0,7.5E-1)); +#9915=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9916=DIRECTION('',(0.E0,1.E0,0.E0)); +#9917=AXIS2_PLACEMENT_3D('',#9914,#9915,#9916); +#9918=PLANE('',#9917); +#9919=ORIENTED_EDGE('',*,*,#9410,.F.); +#9920=ORIENTED_EDGE('',*,*,#9909,.T.); +#9921=ORIENTED_EDGE('',*,*,#9671,.T.); +#9922=ORIENTED_EDGE('',*,*,#5998,.F.); +#9923=EDGE_LOOP('',(#9919,#9920,#9921,#9922)); +#9924=FACE_OUTER_BOUND('',#9923,.F.); +#9925=ADVANCED_FACE('',(#9924),#9918,.T.); +#9926=CARTESIAN_POINT('',(1.75E0,-3.7E0,7.5E-1)); +#9927=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9928=DIRECTION('',(0.E0,1.E0,0.E0)); +#9929=AXIS2_PLACEMENT_3D('',#9926,#9927,#9928); +#9930=PLANE('',#9929); +#9932=ORIENTED_EDGE('',*,*,#9931,.T.); +#9933=ORIENTED_EDGE('',*,*,#9394,.F.); +#9934=ORIENTED_EDGE('',*,*,#5566,.T.); +#9935=ORIENTED_EDGE('',*,*,#9649,.T.); +#9936=EDGE_LOOP('',(#9932,#9933,#9934,#9935)); +#9937=FACE_OUTER_BOUND('',#9936,.F.); +#9938=ADVANCED_FACE('',(#9937),#9930,.T.); +#9939=CARTESIAN_POINT('',(2.E0,2.6E0,8.25E-1)); +#9940=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#9941=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#9942=AXIS2_PLACEMENT_3D('',#9939,#9940,#9941); +#9943=PLANE('',#9942); +#9944=ORIENTED_EDGE('',*,*,#9931,.F.); +#9945=ORIENTED_EDGE('',*,*,#9743,.F.); +#9946=ORIENTED_EDGE('',*,*,#9760,.T.); +#9948=ORIENTED_EDGE('',*,*,#9947,.T.); +#9949=EDGE_LOOP('',(#9944,#9945,#9946,#9948)); +#9950=FACE_OUTER_BOUND('',#9949,.F.); +#9951=ADVANCED_FACE('',(#9950),#9943,.T.); +#9952=CARTESIAN_POINT('',(1.825E0,2.6E0,1.E0)); +#9953=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#9954=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#9955=AXIS2_PLACEMENT_3D('',#9952,#9953,#9954); +#9956=PLANE('',#9955); +#9957=ORIENTED_EDGE('',*,*,#9389,.T.); +#9958=ORIENTED_EDGE('',*,*,#9947,.F.); +#9959=ORIENTED_EDGE('',*,*,#9758,.F.); +#9960=ORIENTED_EDGE('',*,*,#9773,.T.); +#9961=EDGE_LOOP('',(#9957,#9958,#9959,#9960)); +#9962=FACE_OUTER_BOUND('',#9961,.F.); +#9963=ADVANCED_FACE('',(#9962),#9956,.T.); +#9964=CARTESIAN_POINT('',(1.825E0,-4.15E0,2.35E0)); +#9965=DIRECTION('',(-9.701425001453E-1,0.E0,2.425356250363E-1)); +#9966=DIRECTION('',(2.425356250363E-1,0.E0,9.701425001453E-1)); +#9967=AXIS2_PLACEMENT_3D('',#9964,#9965,#9966); +#9968=PLANE('',#9967); +#9969=ORIENTED_EDGE('',*,*,#9404,.T.); +#9970=ORIENTED_EDGE('',*,*,#9841,.F.); +#9971=ORIENTED_EDGE('',*,*,#9867,.F.); +#9972=ORIENTED_EDGE('',*,*,#9881,.T.); +#9973=EDGE_LOOP('',(#9969,#9970,#9971,#9972)); +#9974=FACE_OUTER_BOUND('',#9973,.F.); +#9975=ADVANCED_FACE('',(#9974),#9968,.T.); +#9976=CARTESIAN_POINT('',(2.175E0,-4.15E0,-2.35E0)); +#9977=DIRECTION('',(9.701425001453E-1,0.E0,-2.425356250363E-1)); +#9978=DIRECTION('',(-2.425356250363E-1,0.E0,-9.701425001453E-1)); +#9979=AXIS2_PLACEMENT_3D('',#9976,#9977,#9978); +#9980=PLANE('',#9979); +#9982=ORIENTED_EDGE('',*,*,#9981,.F.); +#9983=ORIENTED_EDGE('',*,*,#9566,.T.); +#9984=ORIENTED_EDGE('',*,*,#9685,.T.); +#9985=ORIENTED_EDGE('',*,*,#9540,.T.); +#9986=EDGE_LOOP('',(#9982,#9983,#9984,#9985)); +#9987=FACE_OUTER_BOUND('',#9986,.F.); +#9988=ADVANCED_FACE('',(#9987),#9980,.T.); +#9989=CARTESIAN_POINT('',(1.75E0,-4.4E0,-2.65E0)); +#9990=DIRECTION('',(0.E0,0.E0,-1.E0)); +#9991=DIRECTION('',(0.E0,1.E0,0.E0)); +#9992=AXIS2_PLACEMENT_3D('',#9989,#9990,#9991); +#9993=PLANE('',#9992); +#9994=ORIENTED_EDGE('',*,*,#9538,.T.); +#9995=ORIENTED_EDGE('',*,*,#9553,.T.); +#9996=ORIENTED_EDGE('',*,*,#9568,.F.); +#9997=ORIENTED_EDGE('',*,*,#9981,.T.); +#9998=EDGE_LOOP('',(#9994,#9995,#9996,#9997)); +#9999=FACE_OUTER_BOUND('',#9998,.F.); +#10000=ADVANCED_FACE('',(#9999),#9993,.T.); +#10001=CARTESIAN_POINT('',(3.75E0,0.E0,0.E0)); +#10002=DIRECTION('',(1.E0,0.E0,0.E0)); +#10003=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10004=AXIS2_PLACEMENT_3D('',#10001,#10002,#10003); +#10005=PLANE('',#10004); +#10007=ORIENTED_EDGE('',*,*,#10006,.F.); +#10009=ORIENTED_EDGE('',*,*,#10008,.T.); +#10010=ORIENTED_EDGE('',*,*,#5590,.T.); +#10012=ORIENTED_EDGE('',*,*,#10011,.T.); +#10013=EDGE_LOOP('',(#10007,#10009,#10010,#10012)); +#10014=FACE_OUTER_BOUND('',#10013,.F.); +#10015=ADVANCED_FACE('',(#10014),#10005,.F.); +#10016=CARTESIAN_POINT('',(3.75E0,0.E0,0.E0)); +#10017=DIRECTION('',(1.E0,0.E0,0.E0)); +#10018=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10019=AXIS2_PLACEMENT_3D('',#10016,#10017,#10018); +#10020=PLANE('',#10019); +#10022=ORIENTED_EDGE('',*,*,#10021,.F.); +#10024=ORIENTED_EDGE('',*,*,#10023,.T.); +#10025=ORIENTED_EDGE('',*,*,#5580,.T.); +#10027=ORIENTED_EDGE('',*,*,#10026,.T.); +#10028=EDGE_LOOP('',(#10022,#10024,#10025,#10027)); +#10029=FACE_OUTER_BOUND('',#10028,.F.); +#10030=ADVANCED_FACE('',(#10029),#10020,.F.); +#10031=CARTESIAN_POINT('',(3.75E0,0.E0,0.E0)); +#10032=DIRECTION('',(1.E0,0.E0,0.E0)); +#10033=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10034=AXIS2_PLACEMENT_3D('',#10031,#10032,#10033); +#10035=PLANE('',#10034); +#10037=ORIENTED_EDGE('',*,*,#10036,.F.); +#10039=ORIENTED_EDGE('',*,*,#10038,.T.); +#10041=ORIENTED_EDGE('',*,*,#10040,.T.); +#10043=ORIENTED_EDGE('',*,*,#10042,.T.); +#10044=ORIENTED_EDGE('',*,*,#6016,.T.); +#10046=ORIENTED_EDGE('',*,*,#10045,.T.); +#10048=ORIENTED_EDGE('',*,*,#10047,.T.); +#10050=ORIENTED_EDGE('',*,*,#10049,.T.); +#10051=EDGE_LOOP('',(#10037,#10039,#10041,#10043,#10044,#10046,#10048,#10050)); +#10052=FACE_OUTER_BOUND('',#10051,.F.); +#10053=ADVANCED_FACE('',(#10052),#10035,.F.); +#10054=CARTESIAN_POINT('',(3.75E0,0.E0,0.E0)); +#10055=DIRECTION('',(1.E0,0.E0,0.E0)); +#10056=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10057=AXIS2_PLACEMENT_3D('',#10054,#10055,#10056); +#10058=PLANE('',#10057); +#10060=ORIENTED_EDGE('',*,*,#10059,.F.); +#10062=ORIENTED_EDGE('',*,*,#10061,.T.); +#10064=ORIENTED_EDGE('',*,*,#10063,.T.); +#10066=ORIENTED_EDGE('',*,*,#10065,.T.); +#10067=ORIENTED_EDGE('',*,*,#6006,.T.); +#10069=ORIENTED_EDGE('',*,*,#10068,.T.); +#10071=ORIENTED_EDGE('',*,*,#10070,.T.); +#10073=ORIENTED_EDGE('',*,*,#10072,.T.); +#10074=EDGE_LOOP('',(#10060,#10062,#10064,#10066,#10067,#10069,#10071,#10073)); +#10075=FACE_OUTER_BOUND('',#10074,.F.); +#10076=ADVANCED_FACE('',(#10075),#10058,.F.); +#10077=CARTESIAN_POINT('',(3.825E0,2.6E0,-1.E0)); +#10078=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#10079=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#10080=AXIS2_PLACEMENT_3D('',#10077,#10078,#10079); +#10081=PLANE('',#10080); +#10082=ORIENTED_EDGE('',*,*,#10006,.T.); +#10084=ORIENTED_EDGE('',*,*,#10083,.F.); +#10086=ORIENTED_EDGE('',*,*,#10085,.F.); +#10088=ORIENTED_EDGE('',*,*,#10087,.T.); +#10089=EDGE_LOOP('',(#10082,#10084,#10086,#10088)); +#10090=FACE_OUTER_BOUND('',#10089,.F.); +#10091=ADVANCED_FACE('',(#10090),#10081,.T.); +#10092=CARTESIAN_POINT('',(4.E0,2.6E0,-1.175E0)); +#10093=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#10094=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#10095=AXIS2_PLACEMENT_3D('',#10092,#10093,#10094); +#10096=PLANE('',#10095); +#10098=ORIENTED_EDGE('',*,*,#10097,.F.); +#10100=ORIENTED_EDGE('',*,*,#10099,.F.); +#10102=ORIENTED_EDGE('',*,*,#10101,.T.); +#10103=ORIENTED_EDGE('',*,*,#10083,.T.); +#10104=EDGE_LOOP('',(#10098,#10100,#10102,#10103)); +#10105=FACE_OUTER_BOUND('',#10104,.F.); +#10106=ADVANCED_FACE('',(#10105),#10096,.T.); +#10107=CARTESIAN_POINT('',(3.75E0,-3.7E0,-1.25E0)); +#10108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10109=DIRECTION('',(0.E0,1.E0,0.E0)); +#10110=AXIS2_PLACEMENT_3D('',#10107,#10108,#10109); +#10111=PLANE('',#10110); +#10112=ORIENTED_EDGE('',*,*,#10065,.F.); +#10114=ORIENTED_EDGE('',*,*,#10113,.T.); +#10116=ORIENTED_EDGE('',*,*,#10115,.T.); +#10117=ORIENTED_EDGE('',*,*,#6008,.F.); +#10118=EDGE_LOOP('',(#10112,#10114,#10116,#10117)); +#10119=FACE_OUTER_BOUND('',#10118,.F.); +#10120=ADVANCED_FACE('',(#10119),#10111,.T.); +#10121=CARTESIAN_POINT('',(3.75E0,-3.7E0,-1.25E0)); +#10122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10123=DIRECTION('',(0.E0,1.E0,0.E0)); +#10124=AXIS2_PLACEMENT_3D('',#10121,#10122,#10123); +#10125=PLANE('',#10124); +#10126=ORIENTED_EDGE('',*,*,#10097,.T.); +#10127=ORIENTED_EDGE('',*,*,#10011,.F.); +#10128=ORIENTED_EDGE('',*,*,#5596,.T.); +#10130=ORIENTED_EDGE('',*,*,#10129,.T.); +#10131=EDGE_LOOP('',(#10126,#10127,#10128,#10130)); +#10132=FACE_OUTER_BOUND('',#10131,.F.); +#10133=ADVANCED_FACE('',(#10132),#10125,.T.); +#10134=CARTESIAN_POINT('',(3.75E0,-3.7E0,-1.45E0)); +#10135=DIRECTION('',(1.E0,0.E0,0.E0)); +#10136=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10137=AXIS2_PLACEMENT_3D('',#10134,#10135,#10136); +#10138=CYLINDRICAL_SURFACE('',#10137,2.E-1); +#10139=ORIENTED_EDGE('',*,*,#10063,.F.); +#10141=ORIENTED_EDGE('',*,*,#10140,.T.); +#10143=ORIENTED_EDGE('',*,*,#10142,.T.); +#10144=ORIENTED_EDGE('',*,*,#10113,.F.); +#10145=EDGE_LOOP('',(#10139,#10141,#10143,#10144)); +#10146=FACE_OUTER_BOUND('',#10145,.F.); +#10147=ADVANCED_FACE('',(#10146),#10138,.F.); +#10148=CARTESIAN_POINT('',(3.75E0,-3.9E0,-2.65E0)); +#10149=DIRECTION('',(0.E0,1.E0,0.E0)); +#10150=DIRECTION('',(0.E0,0.E0,1.E0)); +#10151=AXIS2_PLACEMENT_3D('',#10148,#10149,#10150); +#10152=PLANE('',#10151); +#10154=ORIENTED_EDGE('',*,*,#10153,.F.); +#10156=ORIENTED_EDGE('',*,*,#10155,.T.); +#10157=ORIENTED_EDGE('',*,*,#10140,.F.); +#10158=ORIENTED_EDGE('',*,*,#10061,.F.); +#10159=EDGE_LOOP('',(#10154,#10156,#10157,#10158)); +#10160=FACE_OUTER_BOUND('',#10159,.F.); +#10161=ADVANCED_FACE('',(#10160),#10152,.T.); +#10162=CARTESIAN_POINT('',(4.E0,-3.975E0,-2.35E0)); +#10163=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#10164=DIRECTION('',(0.E0,-2.425356250363E-1,-9.701425001453E-1)); +#10165=AXIS2_PLACEMENT_3D('',#10162,#10163,#10164); +#10166=PLANE('',#10165); +#10167=ORIENTED_EDGE('',*,*,#10153,.T.); +#10169=ORIENTED_EDGE('',*,*,#10168,.F.); +#10171=ORIENTED_EDGE('',*,*,#10170,.F.); +#10173=ORIENTED_EDGE('',*,*,#10172,.F.); +#10174=EDGE_LOOP('',(#10167,#10169,#10171,#10173)); +#10175=FACE_OUTER_BOUND('',#10174,.F.); +#10176=ADVANCED_FACE('',(#10175),#10166,.T.); +#10177=CARTESIAN_POINT('',(3.825E0,-4.15E0,-2.35E0)); +#10178=DIRECTION('',(-9.701425001453E-1,0.E0,-2.425356250363E-1)); +#10179=DIRECTION('',(2.425356250363E-1,0.E0,-9.701425001453E-1)); +#10180=AXIS2_PLACEMENT_3D('',#10177,#10178,#10179); +#10181=PLANE('',#10180); +#10182=ORIENTED_EDGE('',*,*,#10059,.T.); +#10184=ORIENTED_EDGE('',*,*,#10183,.F.); +#10186=ORIENTED_EDGE('',*,*,#10185,.F.); +#10187=ORIENTED_EDGE('',*,*,#10168,.T.); +#10188=EDGE_LOOP('',(#10182,#10184,#10186,#10187)); +#10189=FACE_OUTER_BOUND('',#10188,.F.); +#10190=ADVANCED_FACE('',(#10189),#10181,.T.); +#10191=CARTESIAN_POINT('',(4.E0,-4.325E0,-2.35E0)); +#10192=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#10193=DIRECTION('',(0.E0,-2.425356250363E-1,9.701425001453E-1)); +#10194=AXIS2_PLACEMENT_3D('',#10191,#10192,#10193); +#10195=PLANE('',#10194); +#10197=ORIENTED_EDGE('',*,*,#10196,.F.); +#10199=ORIENTED_EDGE('',*,*,#10198,.F.); +#10201=ORIENTED_EDGE('',*,*,#10200,.T.); +#10202=ORIENTED_EDGE('',*,*,#10183,.T.); +#10203=EDGE_LOOP('',(#10197,#10199,#10201,#10202)); +#10204=FACE_OUTER_BOUND('',#10203,.F.); +#10205=ADVANCED_FACE('',(#10204),#10195,.T.); +#10206=CARTESIAN_POINT('',(3.75E0,-4.4E0,-1.45E0)); +#10207=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10209=AXIS2_PLACEMENT_3D('',#10206,#10207,#10208); +#10210=PLANE('',#10209); +#10211=ORIENTED_EDGE('',*,*,#10196,.T.); +#10212=ORIENTED_EDGE('',*,*,#10072,.F.); +#10214=ORIENTED_EDGE('',*,*,#10213,.T.); +#10216=ORIENTED_EDGE('',*,*,#10215,.T.); +#10217=EDGE_LOOP('',(#10211,#10212,#10214,#10216)); +#10218=FACE_OUTER_BOUND('',#10217,.F.); +#10219=ADVANCED_FACE('',(#10218),#10210,.T.); +#10220=CARTESIAN_POINT('',(3.75E0,-3.7E0,-1.45E0)); +#10221=DIRECTION('',(1.E0,0.E0,0.E0)); +#10222=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10223=AXIS2_PLACEMENT_3D('',#10220,#10221,#10222); +#10224=CYLINDRICAL_SURFACE('',#10223,7.E-1); +#10225=ORIENTED_EDGE('',*,*,#10070,.F.); +#10227=ORIENTED_EDGE('',*,*,#10226,.T.); +#10229=ORIENTED_EDGE('',*,*,#10228,.T.); +#10230=ORIENTED_EDGE('',*,*,#10213,.F.); +#10231=EDGE_LOOP('',(#10225,#10227,#10229,#10230)); +#10232=FACE_OUTER_BOUND('',#10231,.F.); +#10233=ADVANCED_FACE('',(#10232),#10224,.T.); +#10234=CARTESIAN_POINT('',(3.75E0,2.9E0,-7.5E-1)); +#10235=DIRECTION('',(0.E0,0.E0,1.E0)); +#10236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10237=AXIS2_PLACEMENT_3D('',#10234,#10235,#10236); +#10238=PLANE('',#10237); +#10239=ORIENTED_EDGE('',*,*,#10068,.F.); +#10240=ORIENTED_EDGE('',*,*,#6012,.T.); +#10242=ORIENTED_EDGE('',*,*,#10241,.T.); +#10243=ORIENTED_EDGE('',*,*,#10226,.F.); +#10244=EDGE_LOOP('',(#10239,#10240,#10242,#10243)); +#10245=FACE_OUTER_BOUND('',#10244,.F.); +#10246=ADVANCED_FACE('',(#10245),#10238,.T.); +#10247=CARTESIAN_POINT('',(3.75E0,2.9E0,-7.5E-1)); +#10248=DIRECTION('',(0.E0,0.E0,1.E0)); +#10249=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10250=AXIS2_PLACEMENT_3D('',#10247,#10248,#10249); +#10251=PLANE('',#10250); +#10253=ORIENTED_EDGE('',*,*,#10252,.F.); +#10255=ORIENTED_EDGE('',*,*,#10254,.T.); +#10256=ORIENTED_EDGE('',*,*,#5592,.F.); +#10257=ORIENTED_EDGE('',*,*,#10008,.F.); +#10258=EDGE_LOOP('',(#10253,#10255,#10256,#10257)); +#10259=FACE_OUTER_BOUND('',#10258,.F.); +#10260=ADVANCED_FACE('',(#10259),#10251,.T.); +#10261=CARTESIAN_POINT('',(4.25E0,0.E0,0.E0)); +#10262=DIRECTION('',(1.E0,0.E0,0.E0)); +#10263=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10264=AXIS2_PLACEMENT_3D('',#10261,#10262,#10263); +#10265=PLANE('',#10264); +#10267=ORIENTED_EDGE('',*,*,#10266,.F.); +#10268=ORIENTED_EDGE('',*,*,#10129,.F.); +#10269=ORIENTED_EDGE('',*,*,#5594,.F.); +#10270=ORIENTED_EDGE('',*,*,#10254,.F.); +#10271=EDGE_LOOP('',(#10267,#10268,#10269,#10270)); +#10272=FACE_OUTER_BOUND('',#10271,.F.); +#10273=ADVANCED_FACE('',(#10272),#10265,.T.); +#10274=CARTESIAN_POINT('',(4.25E0,0.E0,0.E0)); +#10275=DIRECTION('',(1.E0,0.E0,0.E0)); +#10276=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10277=AXIS2_PLACEMENT_3D('',#10274,#10275,#10276); +#10278=PLANE('',#10277); +#10280=ORIENTED_EDGE('',*,*,#10279,.F.); +#10282=ORIENTED_EDGE('',*,*,#10281,.F.); +#10283=ORIENTED_EDGE('',*,*,#5584,.F.); +#10285=ORIENTED_EDGE('',*,*,#10284,.F.); +#10286=EDGE_LOOP('',(#10280,#10282,#10283,#10285)); +#10287=FACE_OUTER_BOUND('',#10286,.F.); +#10288=ADVANCED_FACE('',(#10287),#10278,.T.); +#10289=CARTESIAN_POINT('',(4.25E0,0.E0,0.E0)); +#10290=DIRECTION('',(1.E0,0.E0,0.E0)); +#10291=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10292=AXIS2_PLACEMENT_3D('',#10289,#10290,#10291); +#10293=PLANE('',#10292); +#10295=ORIENTED_EDGE('',*,*,#10294,.F.); +#10297=ORIENTED_EDGE('',*,*,#10296,.F.); +#10299=ORIENTED_EDGE('',*,*,#10298,.F.); +#10301=ORIENTED_EDGE('',*,*,#10300,.F.); +#10302=ORIENTED_EDGE('',*,*,#6020,.F.); +#10304=ORIENTED_EDGE('',*,*,#10303,.F.); +#10306=ORIENTED_EDGE('',*,*,#10305,.F.); +#10308=ORIENTED_EDGE('',*,*,#10307,.F.); +#10309=EDGE_LOOP('',(#10295,#10297,#10299,#10301,#10302,#10304,#10306,#10308)); +#10310=FACE_OUTER_BOUND('',#10309,.F.); +#10311=ADVANCED_FACE('',(#10310),#10293,.T.); +#10312=CARTESIAN_POINT('',(4.25E0,0.E0,0.E0)); +#10313=DIRECTION('',(1.E0,0.E0,0.E0)); +#10314=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10315=AXIS2_PLACEMENT_3D('',#10312,#10313,#10314); +#10316=PLANE('',#10315); +#10318=ORIENTED_EDGE('',*,*,#10317,.F.); +#10319=ORIENTED_EDGE('',*,*,#10215,.F.); +#10320=ORIENTED_EDGE('',*,*,#10228,.F.); +#10321=ORIENTED_EDGE('',*,*,#10241,.F.); +#10322=ORIENTED_EDGE('',*,*,#6010,.F.); +#10323=ORIENTED_EDGE('',*,*,#10115,.F.); +#10324=ORIENTED_EDGE('',*,*,#10142,.F.); +#10325=ORIENTED_EDGE('',*,*,#10155,.F.); +#10326=EDGE_LOOP('',(#10318,#10319,#10320,#10321,#10322,#10323,#10324,#10325)); +#10327=FACE_OUTER_BOUND('',#10326,.F.); +#10328=ADVANCED_FACE('',(#10327),#10316,.T.); +#10329=CARTESIAN_POINT('',(4.175E0,2.6E0,-1.E0)); +#10330=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#10331=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#10332=AXIS2_PLACEMENT_3D('',#10329,#10330,#10331); +#10333=PLANE('',#10332); +#10335=ORIENTED_EDGE('',*,*,#10334,.F.); +#10336=ORIENTED_EDGE('',*,*,#10099,.T.); +#10337=ORIENTED_EDGE('',*,*,#10266,.T.); +#10339=ORIENTED_EDGE('',*,*,#10338,.T.); +#10340=EDGE_LOOP('',(#10335,#10336,#10337,#10339)); +#10341=FACE_OUTER_BOUND('',#10340,.F.); +#10342=ADVANCED_FACE('',(#10341),#10333,.T.); +#10343=CARTESIAN_POINT('',(3.75E0,2.9E0,-1.25E0)); +#10344=DIRECTION('',(0.E0,1.E0,0.E0)); +#10345=DIRECTION('',(0.E0,0.E0,1.E0)); +#10346=AXIS2_PLACEMENT_3D('',#10343,#10344,#10345); +#10347=PLANE('',#10346); +#10349=ORIENTED_EDGE('',*,*,#10348,.T.); +#10350=ORIENTED_EDGE('',*,*,#10085,.T.); +#10351=ORIENTED_EDGE('',*,*,#10101,.F.); +#10352=ORIENTED_EDGE('',*,*,#10334,.T.); +#10353=EDGE_LOOP('',(#10349,#10350,#10351,#10352)); +#10354=FACE_OUTER_BOUND('',#10353,.F.); +#10355=ADVANCED_FACE('',(#10354),#10347,.T.); +#10356=CARTESIAN_POINT('',(4.E0,2.6E0,-8.25E-1)); +#10357=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#10358=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#10359=AXIS2_PLACEMENT_3D('',#10356,#10357,#10358); +#10360=PLANE('',#10359); +#10361=ORIENTED_EDGE('',*,*,#10252,.T.); +#10362=ORIENTED_EDGE('',*,*,#10087,.F.); +#10363=ORIENTED_EDGE('',*,*,#10348,.F.); +#10364=ORIENTED_EDGE('',*,*,#10338,.F.); +#10365=EDGE_LOOP('',(#10361,#10362,#10363,#10364)); +#10366=FACE_OUTER_BOUND('',#10365,.F.); +#10367=ADVANCED_FACE('',(#10366),#10360,.T.); +#10368=CARTESIAN_POINT('',(4.175E0,2.6E0,1.E0)); +#10369=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#10370=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#10371=AXIS2_PLACEMENT_3D('',#10368,#10369,#10370); +#10372=PLANE('',#10371); +#10374=ORIENTED_EDGE('',*,*,#10373,.F.); +#10376=ORIENTED_EDGE('',*,*,#10375,.T.); +#10377=ORIENTED_EDGE('',*,*,#10279,.T.); +#10379=ORIENTED_EDGE('',*,*,#10378,.F.); +#10380=EDGE_LOOP('',(#10374,#10376,#10377,#10379)); +#10381=FACE_OUTER_BOUND('',#10380,.F.); +#10382=ADVANCED_FACE('',(#10381),#10372,.T.); +#10383=CARTESIAN_POINT('',(3.75E0,2.9E0,7.5E-1)); +#10384=DIRECTION('',(0.E0,1.E0,0.E0)); +#10385=DIRECTION('',(0.E0,0.E0,1.E0)); +#10386=AXIS2_PLACEMENT_3D('',#10383,#10384,#10385); +#10387=PLANE('',#10386); +#10389=ORIENTED_EDGE('',*,*,#10388,.T.); +#10391=ORIENTED_EDGE('',*,*,#10390,.T.); +#10393=ORIENTED_EDGE('',*,*,#10392,.F.); +#10394=ORIENTED_EDGE('',*,*,#10373,.T.); +#10395=EDGE_LOOP('',(#10389,#10391,#10393,#10394)); +#10396=FACE_OUTER_BOUND('',#10395,.F.); +#10397=ADVANCED_FACE('',(#10396),#10387,.T.); +#10398=CARTESIAN_POINT('',(4.E0,2.6E0,1.175E0)); +#10399=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#10400=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#10401=AXIS2_PLACEMENT_3D('',#10398,#10399,#10400); +#10402=PLANE('',#10401); +#10404=ORIENTED_EDGE('',*,*,#10403,.T.); +#10406=ORIENTED_EDGE('',*,*,#10405,.F.); +#10407=ORIENTED_EDGE('',*,*,#10388,.F.); +#10408=ORIENTED_EDGE('',*,*,#10378,.T.); +#10409=EDGE_LOOP('',(#10404,#10406,#10407,#10408)); +#10410=FACE_OUTER_BOUND('',#10409,.F.); +#10411=ADVANCED_FACE('',(#10410),#10402,.T.); +#10412=CARTESIAN_POINT('',(3.75E0,2.9E0,1.25E0)); +#10413=DIRECTION('',(0.E0,0.E0,1.E0)); +#10414=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10415=AXIS2_PLACEMENT_3D('',#10412,#10413,#10414); +#10416=PLANE('',#10415); +#10417=ORIENTED_EDGE('',*,*,#10045,.F.); +#10418=ORIENTED_EDGE('',*,*,#6022,.T.); +#10419=ORIENTED_EDGE('',*,*,#10300,.T.); +#10421=ORIENTED_EDGE('',*,*,#10420,.F.); +#10422=EDGE_LOOP('',(#10417,#10418,#10419,#10421)); +#10423=FACE_OUTER_BOUND('',#10422,.F.); +#10424=ADVANCED_FACE('',(#10423),#10416,.T.); +#10425=CARTESIAN_POINT('',(3.75E0,2.9E0,1.25E0)); +#10426=DIRECTION('',(0.E0,0.E0,1.E0)); +#10427=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10428=AXIS2_PLACEMENT_3D('',#10425,#10426,#10427); +#10429=PLANE('',#10428); +#10430=ORIENTED_EDGE('',*,*,#10403,.F.); +#10431=ORIENTED_EDGE('',*,*,#10284,.T.); +#10432=ORIENTED_EDGE('',*,*,#5582,.F.); +#10433=ORIENTED_EDGE('',*,*,#10023,.F.); +#10434=EDGE_LOOP('',(#10430,#10431,#10432,#10433)); +#10435=FACE_OUTER_BOUND('',#10434,.F.); +#10436=ADVANCED_FACE('',(#10435),#10429,.T.); +#10437=CARTESIAN_POINT('',(3.75E0,-3.7E0,1.45E0)); +#10438=DIRECTION('',(1.E0,0.E0,0.E0)); +#10439=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10440=AXIS2_PLACEMENT_3D('',#10437,#10438,#10439); +#10441=CYLINDRICAL_SURFACE('',#10440,2.E-1); +#10442=ORIENTED_EDGE('',*,*,#10047,.F.); +#10443=ORIENTED_EDGE('',*,*,#10420,.T.); +#10444=ORIENTED_EDGE('',*,*,#10298,.T.); +#10446=ORIENTED_EDGE('',*,*,#10445,.F.); +#10447=EDGE_LOOP('',(#10442,#10443,#10444,#10446)); +#10448=FACE_OUTER_BOUND('',#10447,.F.); +#10449=ADVANCED_FACE('',(#10448),#10441,.F.); +#10450=CARTESIAN_POINT('',(3.75E0,-3.9E0,1.45E0)); +#10451=DIRECTION('',(0.E0,1.E0,0.E0)); +#10452=DIRECTION('',(0.E0,0.E0,1.E0)); +#10453=AXIS2_PLACEMENT_3D('',#10450,#10451,#10452); +#10454=PLANE('',#10453); +#10456=ORIENTED_EDGE('',*,*,#10455,.T.); +#10457=ORIENTED_EDGE('',*,*,#10049,.F.); +#10458=ORIENTED_EDGE('',*,*,#10445,.T.); +#10459=ORIENTED_EDGE('',*,*,#10296,.T.); +#10460=EDGE_LOOP('',(#10456,#10457,#10458,#10459)); +#10461=FACE_OUTER_BOUND('',#10460,.F.); +#10462=ADVANCED_FACE('',(#10461),#10454,.T.); +#10463=CARTESIAN_POINT('',(4.E0,-3.975E0,2.35E0)); +#10464=DIRECTION('',(0.E0,9.701425001453E-1,2.425356250363E-1)); +#10465=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#10466=AXIS2_PLACEMENT_3D('',#10463,#10464,#10465); +#10467=PLANE('',#10466); +#10468=ORIENTED_EDGE('',*,*,#10455,.F.); +#10470=ORIENTED_EDGE('',*,*,#10469,.F.); +#10472=ORIENTED_EDGE('',*,*,#10471,.T.); +#10474=ORIENTED_EDGE('',*,*,#10473,.T.); +#10475=EDGE_LOOP('',(#10468,#10470,#10472,#10474)); +#10476=FACE_OUTER_BOUND('',#10475,.F.); +#10477=ADVANCED_FACE('',(#10476),#10467,.T.); +#10478=CARTESIAN_POINT('',(4.175E0,-4.15E0,2.35E0)); +#10479=DIRECTION('',(9.701425001453E-1,0.E0,2.425356250363E-1)); +#10480=DIRECTION('',(-2.425356250363E-1,0.E0,9.701425001453E-1)); +#10481=AXIS2_PLACEMENT_3D('',#10478,#10479,#10480); +#10482=PLANE('',#10481); +#10484=ORIENTED_EDGE('',*,*,#10483,.F.); +#10485=ORIENTED_EDGE('',*,*,#10469,.T.); +#10486=ORIENTED_EDGE('',*,*,#10294,.T.); +#10488=ORIENTED_EDGE('',*,*,#10487,.T.); +#10489=EDGE_LOOP('',(#10484,#10485,#10486,#10488)); +#10490=FACE_OUTER_BOUND('',#10489,.F.); +#10491=ADVANCED_FACE('',(#10490),#10482,.T.); +#10492=CARTESIAN_POINT('',(3.75E0,-3.9E0,2.65E0)); +#10493=DIRECTION('',(0.E0,0.E0,1.E0)); +#10494=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10495=AXIS2_PLACEMENT_3D('',#10492,#10493,#10494); +#10496=PLANE('',#10495); +#10498=ORIENTED_EDGE('',*,*,#10497,.T.); +#10500=ORIENTED_EDGE('',*,*,#10499,.T.); +#10501=ORIENTED_EDGE('',*,*,#10471,.F.); +#10502=ORIENTED_EDGE('',*,*,#10483,.T.); +#10503=EDGE_LOOP('',(#10498,#10500,#10501,#10502)); +#10504=FACE_OUTER_BOUND('',#10503,.F.); +#10505=ADVANCED_FACE('',(#10504),#10496,.T.); +#10506=CARTESIAN_POINT('',(4.E0,-4.325E0,2.35E0)); +#10507=DIRECTION('',(0.E0,-9.701425001453E-1,2.425356250363E-1)); +#10508=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#10509=AXIS2_PLACEMENT_3D('',#10506,#10507,#10508); +#10510=PLANE('',#10509); +#10512=ORIENTED_EDGE('',*,*,#10511,.T.); +#10514=ORIENTED_EDGE('',*,*,#10513,.F.); +#10515=ORIENTED_EDGE('',*,*,#10497,.F.); +#10516=ORIENTED_EDGE('',*,*,#10487,.F.); +#10517=EDGE_LOOP('',(#10512,#10514,#10515,#10516)); +#10518=FACE_OUTER_BOUND('',#10517,.F.); +#10519=ADVANCED_FACE('',(#10518),#10510,.T.); +#10520=CARTESIAN_POINT('',(3.75E0,-4.4E0,2.65E0)); +#10521=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10522=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10523=AXIS2_PLACEMENT_3D('',#10520,#10521,#10522); +#10524=PLANE('',#10523); +#10525=ORIENTED_EDGE('',*,*,#10511,.F.); +#10526=ORIENTED_EDGE('',*,*,#10307,.T.); +#10528=ORIENTED_EDGE('',*,*,#10527,.F.); +#10529=ORIENTED_EDGE('',*,*,#10038,.F.); +#10530=EDGE_LOOP('',(#10525,#10526,#10528,#10529)); +#10531=FACE_OUTER_BOUND('',#10530,.F.); +#10532=ADVANCED_FACE('',(#10531),#10524,.T.); +#10533=CARTESIAN_POINT('',(3.75E0,-3.7E0,1.45E0)); +#10534=DIRECTION('',(1.E0,0.E0,0.E0)); +#10535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10536=AXIS2_PLACEMENT_3D('',#10533,#10534,#10535); +#10537=CYLINDRICAL_SURFACE('',#10536,7.E-1); +#10538=ORIENTED_EDGE('',*,*,#10040,.F.); +#10539=ORIENTED_EDGE('',*,*,#10527,.T.); +#10540=ORIENTED_EDGE('',*,*,#10305,.T.); +#10542=ORIENTED_EDGE('',*,*,#10541,.F.); +#10543=EDGE_LOOP('',(#10538,#10539,#10540,#10542)); +#10544=FACE_OUTER_BOUND('',#10543,.F.); +#10545=ADVANCED_FACE('',(#10544),#10537,.T.); +#10546=CARTESIAN_POINT('',(3.75E0,-3.7E0,7.5E-1)); +#10547=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10548=DIRECTION('',(0.E0,1.E0,0.E0)); +#10549=AXIS2_PLACEMENT_3D('',#10546,#10547,#10548); +#10550=PLANE('',#10549); +#10551=ORIENTED_EDGE('',*,*,#10042,.F.); +#10552=ORIENTED_EDGE('',*,*,#10541,.T.); +#10553=ORIENTED_EDGE('',*,*,#10303,.T.); +#10554=ORIENTED_EDGE('',*,*,#6018,.F.); +#10555=EDGE_LOOP('',(#10551,#10552,#10553,#10554)); +#10556=FACE_OUTER_BOUND('',#10555,.F.); +#10557=ADVANCED_FACE('',(#10556),#10550,.T.); +#10558=CARTESIAN_POINT('',(3.75E0,-3.7E0,7.5E-1)); +#10559=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10560=DIRECTION('',(0.E0,1.E0,0.E0)); +#10561=AXIS2_PLACEMENT_3D('',#10558,#10559,#10560); +#10562=PLANE('',#10561); +#10564=ORIENTED_EDGE('',*,*,#10563,.T.); +#10565=ORIENTED_EDGE('',*,*,#10026,.F.); +#10566=ORIENTED_EDGE('',*,*,#5586,.T.); +#10567=ORIENTED_EDGE('',*,*,#10281,.T.); +#10568=EDGE_LOOP('',(#10564,#10565,#10566,#10567)); +#10569=FACE_OUTER_BOUND('',#10568,.F.); +#10570=ADVANCED_FACE('',(#10569),#10562,.T.); +#10571=CARTESIAN_POINT('',(4.E0,2.6E0,8.25E-1)); +#10572=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#10573=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#10574=AXIS2_PLACEMENT_3D('',#10571,#10572,#10573); +#10575=PLANE('',#10574); +#10576=ORIENTED_EDGE('',*,*,#10563,.F.); +#10577=ORIENTED_EDGE('',*,*,#10375,.F.); +#10578=ORIENTED_EDGE('',*,*,#10392,.T.); +#10580=ORIENTED_EDGE('',*,*,#10579,.T.); +#10581=EDGE_LOOP('',(#10576,#10577,#10578,#10580)); +#10582=FACE_OUTER_BOUND('',#10581,.F.); +#10583=ADVANCED_FACE('',(#10582),#10575,.T.); +#10584=CARTESIAN_POINT('',(3.825E0,2.6E0,1.E0)); +#10585=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#10586=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#10587=AXIS2_PLACEMENT_3D('',#10584,#10585,#10586); +#10588=PLANE('',#10587); +#10589=ORIENTED_EDGE('',*,*,#10021,.T.); +#10590=ORIENTED_EDGE('',*,*,#10579,.F.); +#10591=ORIENTED_EDGE('',*,*,#10390,.F.); +#10592=ORIENTED_EDGE('',*,*,#10405,.T.); +#10593=EDGE_LOOP('',(#10589,#10590,#10591,#10592)); +#10594=FACE_OUTER_BOUND('',#10593,.F.); +#10595=ADVANCED_FACE('',(#10594),#10588,.T.); +#10596=CARTESIAN_POINT('',(3.825E0,-4.15E0,2.35E0)); +#10597=DIRECTION('',(-9.701425001453E-1,0.E0,2.425356250363E-1)); +#10598=DIRECTION('',(2.425356250363E-1,0.E0,9.701425001453E-1)); +#10599=AXIS2_PLACEMENT_3D('',#10596,#10597,#10598); +#10600=PLANE('',#10599); +#10601=ORIENTED_EDGE('',*,*,#10036,.T.); +#10602=ORIENTED_EDGE('',*,*,#10473,.F.); +#10603=ORIENTED_EDGE('',*,*,#10499,.F.); +#10604=ORIENTED_EDGE('',*,*,#10513,.T.); +#10605=EDGE_LOOP('',(#10601,#10602,#10603,#10604)); +#10606=FACE_OUTER_BOUND('',#10605,.F.); +#10607=ADVANCED_FACE('',(#10606),#10600,.T.); +#10608=CARTESIAN_POINT('',(4.175E0,-4.15E0,-2.35E0)); +#10609=DIRECTION('',(9.701425001453E-1,0.E0,-2.425356250363E-1)); +#10610=DIRECTION('',(-2.425356250363E-1,0.E0,-9.701425001453E-1)); +#10611=AXIS2_PLACEMENT_3D('',#10608,#10609,#10610); +#10612=PLANE('',#10611); +#10614=ORIENTED_EDGE('',*,*,#10613,.F.); +#10615=ORIENTED_EDGE('',*,*,#10198,.T.); +#10616=ORIENTED_EDGE('',*,*,#10317,.T.); +#10617=ORIENTED_EDGE('',*,*,#10172,.T.); +#10618=EDGE_LOOP('',(#10614,#10615,#10616,#10617)); +#10619=FACE_OUTER_BOUND('',#10618,.F.); +#10620=ADVANCED_FACE('',(#10619),#10612,.T.); +#10621=CARTESIAN_POINT('',(3.75E0,-4.4E0,-2.65E0)); +#10622=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10623=DIRECTION('',(0.E0,1.E0,0.E0)); +#10624=AXIS2_PLACEMENT_3D('',#10621,#10622,#10623); +#10625=PLANE('',#10624); +#10626=ORIENTED_EDGE('',*,*,#10170,.T.); +#10627=ORIENTED_EDGE('',*,*,#10185,.T.); +#10628=ORIENTED_EDGE('',*,*,#10200,.F.); +#10629=ORIENTED_EDGE('',*,*,#10613,.T.); +#10630=EDGE_LOOP('',(#10626,#10627,#10628,#10629)); +#10631=FACE_OUTER_BOUND('',#10630,.F.); +#10632=ADVANCED_FACE('',(#10631),#10625,.T.); +#10633=CARTESIAN_POINT('',(5.75E0,0.E0,0.E0)); +#10634=DIRECTION('',(1.E0,0.E0,0.E0)); +#10635=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10636=AXIS2_PLACEMENT_3D('',#10633,#10634,#10635); +#10637=PLANE('',#10636); +#10639=ORIENTED_EDGE('',*,*,#10638,.F.); +#10641=ORIENTED_EDGE('',*,*,#10640,.T.); +#10642=ORIENTED_EDGE('',*,*,#5610,.T.); +#10644=ORIENTED_EDGE('',*,*,#10643,.T.); +#10645=EDGE_LOOP('',(#10639,#10641,#10642,#10644)); +#10646=FACE_OUTER_BOUND('',#10645,.F.); +#10647=ADVANCED_FACE('',(#10646),#10637,.F.); +#10648=CARTESIAN_POINT('',(5.75E0,0.E0,0.E0)); +#10649=DIRECTION('',(1.E0,0.E0,0.E0)); +#10650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10651=AXIS2_PLACEMENT_3D('',#10648,#10649,#10650); +#10652=PLANE('',#10651); +#10654=ORIENTED_EDGE('',*,*,#10653,.F.); +#10656=ORIENTED_EDGE('',*,*,#10655,.T.); +#10657=ORIENTED_EDGE('',*,*,#5600,.T.); +#10659=ORIENTED_EDGE('',*,*,#10658,.T.); +#10660=EDGE_LOOP('',(#10654,#10656,#10657,#10659)); +#10661=FACE_OUTER_BOUND('',#10660,.F.); +#10662=ADVANCED_FACE('',(#10661),#10652,.F.); +#10663=CARTESIAN_POINT('',(5.75E0,0.E0,0.E0)); +#10664=DIRECTION('',(1.E0,0.E0,0.E0)); +#10665=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10666=AXIS2_PLACEMENT_3D('',#10663,#10664,#10665); +#10667=PLANE('',#10666); +#10669=ORIENTED_EDGE('',*,*,#10668,.F.); +#10671=ORIENTED_EDGE('',*,*,#10670,.T.); +#10673=ORIENTED_EDGE('',*,*,#10672,.T.); +#10675=ORIENTED_EDGE('',*,*,#10674,.T.); +#10676=ORIENTED_EDGE('',*,*,#6036,.T.); +#10678=ORIENTED_EDGE('',*,*,#10677,.T.); +#10680=ORIENTED_EDGE('',*,*,#10679,.T.); +#10682=ORIENTED_EDGE('',*,*,#10681,.T.); +#10683=EDGE_LOOP('',(#10669,#10671,#10673,#10675,#10676,#10678,#10680,#10682)); +#10684=FACE_OUTER_BOUND('',#10683,.F.); +#10685=ADVANCED_FACE('',(#10684),#10667,.F.); +#10686=CARTESIAN_POINT('',(5.75E0,0.E0,0.E0)); +#10687=DIRECTION('',(1.E0,0.E0,0.E0)); +#10688=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10689=AXIS2_PLACEMENT_3D('',#10686,#10687,#10688); +#10690=PLANE('',#10689); +#10692=ORIENTED_EDGE('',*,*,#10691,.F.); +#10694=ORIENTED_EDGE('',*,*,#10693,.T.); +#10696=ORIENTED_EDGE('',*,*,#10695,.T.); +#10698=ORIENTED_EDGE('',*,*,#10697,.T.); +#10699=ORIENTED_EDGE('',*,*,#6026,.T.); +#10701=ORIENTED_EDGE('',*,*,#10700,.T.); +#10703=ORIENTED_EDGE('',*,*,#10702,.T.); +#10705=ORIENTED_EDGE('',*,*,#10704,.T.); +#10706=EDGE_LOOP('',(#10692,#10694,#10696,#10698,#10699,#10701,#10703,#10705)); +#10707=FACE_OUTER_BOUND('',#10706,.F.); +#10708=ADVANCED_FACE('',(#10707),#10690,.F.); +#10709=CARTESIAN_POINT('',(5.825E0,2.6E0,-1.E0)); +#10710=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#10711=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#10712=AXIS2_PLACEMENT_3D('',#10709,#10710,#10711); +#10713=PLANE('',#10712); +#10714=ORIENTED_EDGE('',*,*,#10638,.T.); +#10716=ORIENTED_EDGE('',*,*,#10715,.F.); +#10718=ORIENTED_EDGE('',*,*,#10717,.F.); +#10720=ORIENTED_EDGE('',*,*,#10719,.T.); +#10721=EDGE_LOOP('',(#10714,#10716,#10718,#10720)); +#10722=FACE_OUTER_BOUND('',#10721,.F.); +#10723=ADVANCED_FACE('',(#10722),#10713,.T.); +#10724=CARTESIAN_POINT('',(6.E0,2.6E0,-1.175E0)); +#10725=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#10726=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#10727=AXIS2_PLACEMENT_3D('',#10724,#10725,#10726); +#10728=PLANE('',#10727); +#10730=ORIENTED_EDGE('',*,*,#10729,.F.); +#10732=ORIENTED_EDGE('',*,*,#10731,.F.); +#10734=ORIENTED_EDGE('',*,*,#10733,.T.); +#10735=ORIENTED_EDGE('',*,*,#10715,.T.); +#10736=EDGE_LOOP('',(#10730,#10732,#10734,#10735)); +#10737=FACE_OUTER_BOUND('',#10736,.F.); +#10738=ADVANCED_FACE('',(#10737),#10728,.T.); +#10739=CARTESIAN_POINT('',(5.75E0,-3.7E0,-1.25E0)); +#10740=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10741=DIRECTION('',(0.E0,1.E0,0.E0)); +#10742=AXIS2_PLACEMENT_3D('',#10739,#10740,#10741); +#10743=PLANE('',#10742); +#10744=ORIENTED_EDGE('',*,*,#10697,.F.); +#10746=ORIENTED_EDGE('',*,*,#10745,.T.); +#10748=ORIENTED_EDGE('',*,*,#10747,.T.); +#10749=ORIENTED_EDGE('',*,*,#6028,.F.); +#10750=EDGE_LOOP('',(#10744,#10746,#10748,#10749)); +#10751=FACE_OUTER_BOUND('',#10750,.F.); +#10752=ADVANCED_FACE('',(#10751),#10743,.T.); +#10753=CARTESIAN_POINT('',(5.75E0,-3.7E0,-1.25E0)); +#10754=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10755=DIRECTION('',(0.E0,1.E0,0.E0)); +#10756=AXIS2_PLACEMENT_3D('',#10753,#10754,#10755); +#10757=PLANE('',#10756); +#10758=ORIENTED_EDGE('',*,*,#10729,.T.); +#10759=ORIENTED_EDGE('',*,*,#10643,.F.); +#10760=ORIENTED_EDGE('',*,*,#5616,.T.); +#10762=ORIENTED_EDGE('',*,*,#10761,.T.); +#10763=EDGE_LOOP('',(#10758,#10759,#10760,#10762)); +#10764=FACE_OUTER_BOUND('',#10763,.F.); +#10765=ADVANCED_FACE('',(#10764),#10757,.T.); +#10766=CARTESIAN_POINT('',(5.75E0,-3.7E0,-1.45E0)); +#10767=DIRECTION('',(1.E0,0.E0,0.E0)); +#10768=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10769=AXIS2_PLACEMENT_3D('',#10766,#10767,#10768); +#10770=CYLINDRICAL_SURFACE('',#10769,2.E-1); +#10771=ORIENTED_EDGE('',*,*,#10695,.F.); +#10773=ORIENTED_EDGE('',*,*,#10772,.T.); +#10775=ORIENTED_EDGE('',*,*,#10774,.T.); +#10776=ORIENTED_EDGE('',*,*,#10745,.F.); +#10777=EDGE_LOOP('',(#10771,#10773,#10775,#10776)); +#10778=FACE_OUTER_BOUND('',#10777,.F.); +#10779=ADVANCED_FACE('',(#10778),#10770,.F.); +#10780=CARTESIAN_POINT('',(5.75E0,-3.9E0,-2.65E0)); +#10781=DIRECTION('',(0.E0,1.E0,0.E0)); +#10782=DIRECTION('',(0.E0,0.E0,1.E0)); +#10783=AXIS2_PLACEMENT_3D('',#10780,#10781,#10782); +#10784=PLANE('',#10783); +#10786=ORIENTED_EDGE('',*,*,#10785,.F.); +#10788=ORIENTED_EDGE('',*,*,#10787,.T.); +#10789=ORIENTED_EDGE('',*,*,#10772,.F.); +#10790=ORIENTED_EDGE('',*,*,#10693,.F.); +#10791=EDGE_LOOP('',(#10786,#10788,#10789,#10790)); +#10792=FACE_OUTER_BOUND('',#10791,.F.); +#10793=ADVANCED_FACE('',(#10792),#10784,.T.); +#10794=CARTESIAN_POINT('',(6.E0,-3.975E0,-2.35E0)); +#10795=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#10796=DIRECTION('',(0.E0,-2.425356250363E-1,-9.701425001453E-1)); +#10797=AXIS2_PLACEMENT_3D('',#10794,#10795,#10796); +#10798=PLANE('',#10797); +#10799=ORIENTED_EDGE('',*,*,#10785,.T.); +#10801=ORIENTED_EDGE('',*,*,#10800,.F.); +#10803=ORIENTED_EDGE('',*,*,#10802,.F.); +#10805=ORIENTED_EDGE('',*,*,#10804,.F.); +#10806=EDGE_LOOP('',(#10799,#10801,#10803,#10805)); +#10807=FACE_OUTER_BOUND('',#10806,.F.); +#10808=ADVANCED_FACE('',(#10807),#10798,.T.); +#10809=CARTESIAN_POINT('',(5.825E0,-4.15E0,-2.35E0)); +#10810=DIRECTION('',(-9.701425001453E-1,0.E0,-2.425356250363E-1)); +#10811=DIRECTION('',(2.425356250363E-1,0.E0,-9.701425001453E-1)); +#10812=AXIS2_PLACEMENT_3D('',#10809,#10810,#10811); +#10813=PLANE('',#10812); +#10814=ORIENTED_EDGE('',*,*,#10691,.T.); +#10816=ORIENTED_EDGE('',*,*,#10815,.F.); +#10818=ORIENTED_EDGE('',*,*,#10817,.F.); +#10819=ORIENTED_EDGE('',*,*,#10800,.T.); +#10820=EDGE_LOOP('',(#10814,#10816,#10818,#10819)); +#10821=FACE_OUTER_BOUND('',#10820,.F.); +#10822=ADVANCED_FACE('',(#10821),#10813,.T.); +#10823=CARTESIAN_POINT('',(6.E0,-4.325E0,-2.35E0)); +#10824=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#10825=DIRECTION('',(0.E0,-2.425356250363E-1,9.701425001453E-1)); +#10826=AXIS2_PLACEMENT_3D('',#10823,#10824,#10825); +#10827=PLANE('',#10826); +#10829=ORIENTED_EDGE('',*,*,#10828,.F.); +#10831=ORIENTED_EDGE('',*,*,#10830,.F.); +#10833=ORIENTED_EDGE('',*,*,#10832,.T.); +#10834=ORIENTED_EDGE('',*,*,#10815,.T.); +#10835=EDGE_LOOP('',(#10829,#10831,#10833,#10834)); +#10836=FACE_OUTER_BOUND('',#10835,.F.); +#10837=ADVANCED_FACE('',(#10836),#10827,.T.); +#10838=CARTESIAN_POINT('',(5.75E0,-4.4E0,-1.45E0)); +#10839=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10840=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10841=AXIS2_PLACEMENT_3D('',#10838,#10839,#10840); +#10842=PLANE('',#10841); +#10843=ORIENTED_EDGE('',*,*,#10828,.T.); +#10844=ORIENTED_EDGE('',*,*,#10704,.F.); +#10846=ORIENTED_EDGE('',*,*,#10845,.T.); +#10848=ORIENTED_EDGE('',*,*,#10847,.T.); +#10849=EDGE_LOOP('',(#10843,#10844,#10846,#10848)); +#10850=FACE_OUTER_BOUND('',#10849,.F.); +#10851=ADVANCED_FACE('',(#10850),#10842,.T.); +#10852=CARTESIAN_POINT('',(5.75E0,-3.7E0,-1.45E0)); +#10853=DIRECTION('',(1.E0,0.E0,0.E0)); +#10854=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10855=AXIS2_PLACEMENT_3D('',#10852,#10853,#10854); +#10856=CYLINDRICAL_SURFACE('',#10855,7.E-1); +#10857=ORIENTED_EDGE('',*,*,#10702,.F.); +#10859=ORIENTED_EDGE('',*,*,#10858,.T.); +#10861=ORIENTED_EDGE('',*,*,#10860,.T.); +#10862=ORIENTED_EDGE('',*,*,#10845,.F.); +#10863=EDGE_LOOP('',(#10857,#10859,#10861,#10862)); +#10864=FACE_OUTER_BOUND('',#10863,.F.); +#10865=ADVANCED_FACE('',(#10864),#10856,.T.); +#10866=CARTESIAN_POINT('',(5.75E0,2.9E0,-7.5E-1)); +#10867=DIRECTION('',(0.E0,0.E0,1.E0)); +#10868=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10869=AXIS2_PLACEMENT_3D('',#10866,#10867,#10868); +#10870=PLANE('',#10869); +#10871=ORIENTED_EDGE('',*,*,#10700,.F.); +#10872=ORIENTED_EDGE('',*,*,#6032,.T.); +#10874=ORIENTED_EDGE('',*,*,#10873,.T.); +#10875=ORIENTED_EDGE('',*,*,#10858,.F.); +#10876=EDGE_LOOP('',(#10871,#10872,#10874,#10875)); +#10877=FACE_OUTER_BOUND('',#10876,.F.); +#10878=ADVANCED_FACE('',(#10877),#10870,.T.); +#10879=CARTESIAN_POINT('',(5.75E0,2.9E0,-7.5E-1)); +#10880=DIRECTION('',(0.E0,0.E0,1.E0)); +#10881=DIRECTION('',(0.E0,-1.E0,0.E0)); +#10882=AXIS2_PLACEMENT_3D('',#10879,#10880,#10881); +#10883=PLANE('',#10882); +#10885=ORIENTED_EDGE('',*,*,#10884,.F.); +#10887=ORIENTED_EDGE('',*,*,#10886,.T.); +#10888=ORIENTED_EDGE('',*,*,#5612,.F.); +#10889=ORIENTED_EDGE('',*,*,#10640,.F.); +#10890=EDGE_LOOP('',(#10885,#10887,#10888,#10889)); +#10891=FACE_OUTER_BOUND('',#10890,.F.); +#10892=ADVANCED_FACE('',(#10891),#10883,.T.); +#10893=CARTESIAN_POINT('',(6.25E0,0.E0,0.E0)); +#10894=DIRECTION('',(1.E0,0.E0,0.E0)); +#10895=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10896=AXIS2_PLACEMENT_3D('',#10893,#10894,#10895); +#10897=PLANE('',#10896); +#10899=ORIENTED_EDGE('',*,*,#10898,.F.); +#10900=ORIENTED_EDGE('',*,*,#10761,.F.); +#10901=ORIENTED_EDGE('',*,*,#5614,.F.); +#10902=ORIENTED_EDGE('',*,*,#10886,.F.); +#10903=EDGE_LOOP('',(#10899,#10900,#10901,#10902)); +#10904=FACE_OUTER_BOUND('',#10903,.F.); +#10905=ADVANCED_FACE('',(#10904),#10897,.T.); +#10906=CARTESIAN_POINT('',(6.25E0,0.E0,0.E0)); +#10907=DIRECTION('',(1.E0,0.E0,0.E0)); +#10908=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10909=AXIS2_PLACEMENT_3D('',#10906,#10907,#10908); +#10910=PLANE('',#10909); +#10912=ORIENTED_EDGE('',*,*,#10911,.F.); +#10914=ORIENTED_EDGE('',*,*,#10913,.F.); +#10915=ORIENTED_EDGE('',*,*,#5604,.F.); +#10917=ORIENTED_EDGE('',*,*,#10916,.F.); +#10918=EDGE_LOOP('',(#10912,#10914,#10915,#10917)); +#10919=FACE_OUTER_BOUND('',#10918,.F.); +#10920=ADVANCED_FACE('',(#10919),#10910,.T.); +#10921=CARTESIAN_POINT('',(6.25E0,0.E0,0.E0)); +#10922=DIRECTION('',(1.E0,0.E0,0.E0)); +#10923=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10924=AXIS2_PLACEMENT_3D('',#10921,#10922,#10923); +#10925=PLANE('',#10924); +#10927=ORIENTED_EDGE('',*,*,#10926,.F.); +#10929=ORIENTED_EDGE('',*,*,#10928,.F.); +#10931=ORIENTED_EDGE('',*,*,#10930,.F.); +#10933=ORIENTED_EDGE('',*,*,#10932,.F.); +#10934=ORIENTED_EDGE('',*,*,#6040,.F.); +#10936=ORIENTED_EDGE('',*,*,#10935,.F.); +#10938=ORIENTED_EDGE('',*,*,#10937,.F.); +#10940=ORIENTED_EDGE('',*,*,#10939,.F.); +#10941=EDGE_LOOP('',(#10927,#10929,#10931,#10933,#10934,#10936,#10938,#10940)); +#10942=FACE_OUTER_BOUND('',#10941,.F.); +#10943=ADVANCED_FACE('',(#10942),#10925,.T.); +#10944=CARTESIAN_POINT('',(6.25E0,0.E0,0.E0)); +#10945=DIRECTION('',(1.E0,0.E0,0.E0)); +#10946=DIRECTION('',(0.E0,0.E0,-1.E0)); +#10947=AXIS2_PLACEMENT_3D('',#10944,#10945,#10946); +#10948=PLANE('',#10947); +#10950=ORIENTED_EDGE('',*,*,#10949,.F.); +#10951=ORIENTED_EDGE('',*,*,#10847,.F.); +#10952=ORIENTED_EDGE('',*,*,#10860,.F.); +#10953=ORIENTED_EDGE('',*,*,#10873,.F.); +#10954=ORIENTED_EDGE('',*,*,#6030,.F.); +#10955=ORIENTED_EDGE('',*,*,#10747,.F.); +#10956=ORIENTED_EDGE('',*,*,#10774,.F.); +#10957=ORIENTED_EDGE('',*,*,#10787,.F.); +#10958=EDGE_LOOP('',(#10950,#10951,#10952,#10953,#10954,#10955,#10956,#10957)); +#10959=FACE_OUTER_BOUND('',#10958,.F.); +#10960=ADVANCED_FACE('',(#10959),#10948,.T.); +#10961=CARTESIAN_POINT('',(6.175E0,2.6E0,-1.E0)); +#10962=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#10963=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#10964=AXIS2_PLACEMENT_3D('',#10961,#10962,#10963); +#10965=PLANE('',#10964); +#10967=ORIENTED_EDGE('',*,*,#10966,.F.); +#10968=ORIENTED_EDGE('',*,*,#10731,.T.); +#10969=ORIENTED_EDGE('',*,*,#10898,.T.); +#10971=ORIENTED_EDGE('',*,*,#10970,.T.); +#10972=EDGE_LOOP('',(#10967,#10968,#10969,#10971)); +#10973=FACE_OUTER_BOUND('',#10972,.F.); +#10974=ADVANCED_FACE('',(#10973),#10965,.T.); +#10975=CARTESIAN_POINT('',(5.75E0,2.9E0,-1.25E0)); +#10976=DIRECTION('',(0.E0,1.E0,0.E0)); +#10977=DIRECTION('',(0.E0,0.E0,1.E0)); +#10978=AXIS2_PLACEMENT_3D('',#10975,#10976,#10977); +#10979=PLANE('',#10978); +#10981=ORIENTED_EDGE('',*,*,#10980,.T.); +#10982=ORIENTED_EDGE('',*,*,#10717,.T.); +#10983=ORIENTED_EDGE('',*,*,#10733,.F.); +#10984=ORIENTED_EDGE('',*,*,#10966,.T.); +#10985=EDGE_LOOP('',(#10981,#10982,#10983,#10984)); +#10986=FACE_OUTER_BOUND('',#10985,.F.); +#10987=ADVANCED_FACE('',(#10986),#10979,.T.); +#10988=CARTESIAN_POINT('',(6.E0,2.6E0,-8.25E-1)); +#10989=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#10990=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#10991=AXIS2_PLACEMENT_3D('',#10988,#10989,#10990); +#10992=PLANE('',#10991); +#10993=ORIENTED_EDGE('',*,*,#10884,.T.); +#10994=ORIENTED_EDGE('',*,*,#10719,.F.); +#10995=ORIENTED_EDGE('',*,*,#10980,.F.); +#10996=ORIENTED_EDGE('',*,*,#10970,.F.); +#10997=EDGE_LOOP('',(#10993,#10994,#10995,#10996)); +#10998=FACE_OUTER_BOUND('',#10997,.F.); +#10999=ADVANCED_FACE('',(#10998),#10992,.T.); +#11000=CARTESIAN_POINT('',(6.175E0,2.6E0,1.E0)); +#11001=DIRECTION('',(9.701425001453E-1,2.425356250363E-1,0.E0)); +#11002=DIRECTION('',(-2.425356250363E-1,9.701425001453E-1,0.E0)); +#11003=AXIS2_PLACEMENT_3D('',#11000,#11001,#11002); +#11004=PLANE('',#11003); +#11006=ORIENTED_EDGE('',*,*,#11005,.F.); +#11008=ORIENTED_EDGE('',*,*,#11007,.T.); +#11009=ORIENTED_EDGE('',*,*,#10911,.T.); +#11011=ORIENTED_EDGE('',*,*,#11010,.F.); +#11012=EDGE_LOOP('',(#11006,#11008,#11009,#11011)); +#11013=FACE_OUTER_BOUND('',#11012,.F.); +#11014=ADVANCED_FACE('',(#11013),#11004,.T.); +#11015=CARTESIAN_POINT('',(5.75E0,2.9E0,7.5E-1)); +#11016=DIRECTION('',(0.E0,1.E0,0.E0)); +#11017=DIRECTION('',(0.E0,0.E0,1.E0)); +#11018=AXIS2_PLACEMENT_3D('',#11015,#11016,#11017); +#11019=PLANE('',#11018); +#11021=ORIENTED_EDGE('',*,*,#11020,.T.); +#11023=ORIENTED_EDGE('',*,*,#11022,.T.); +#11025=ORIENTED_EDGE('',*,*,#11024,.F.); +#11026=ORIENTED_EDGE('',*,*,#11005,.T.); +#11027=EDGE_LOOP('',(#11021,#11023,#11025,#11026)); +#11028=FACE_OUTER_BOUND('',#11027,.F.); +#11029=ADVANCED_FACE('',(#11028),#11019,.T.); +#11030=CARTESIAN_POINT('',(6.E0,2.6E0,1.175E0)); +#11031=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#11032=DIRECTION('',(0.E0,9.701425001453E-1,-2.425356250363E-1)); +#11033=AXIS2_PLACEMENT_3D('',#11030,#11031,#11032); +#11034=PLANE('',#11033); +#11036=ORIENTED_EDGE('',*,*,#11035,.T.); +#11038=ORIENTED_EDGE('',*,*,#11037,.F.); +#11039=ORIENTED_EDGE('',*,*,#11020,.F.); +#11040=ORIENTED_EDGE('',*,*,#11010,.T.); +#11041=EDGE_LOOP('',(#11036,#11038,#11039,#11040)); +#11042=FACE_OUTER_BOUND('',#11041,.F.); +#11043=ADVANCED_FACE('',(#11042),#11034,.T.); +#11044=CARTESIAN_POINT('',(5.75E0,2.9E0,1.25E0)); +#11045=DIRECTION('',(0.E0,0.E0,1.E0)); +#11046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11047=AXIS2_PLACEMENT_3D('',#11044,#11045,#11046); +#11048=PLANE('',#11047); +#11049=ORIENTED_EDGE('',*,*,#10677,.F.); +#11050=ORIENTED_EDGE('',*,*,#6042,.T.); +#11051=ORIENTED_EDGE('',*,*,#10932,.T.); +#11053=ORIENTED_EDGE('',*,*,#11052,.F.); +#11054=EDGE_LOOP('',(#11049,#11050,#11051,#11053)); +#11055=FACE_OUTER_BOUND('',#11054,.F.); +#11056=ADVANCED_FACE('',(#11055),#11048,.T.); +#11057=CARTESIAN_POINT('',(5.75E0,2.9E0,1.25E0)); +#11058=DIRECTION('',(0.E0,0.E0,1.E0)); +#11059=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11060=AXIS2_PLACEMENT_3D('',#11057,#11058,#11059); +#11061=PLANE('',#11060); +#11062=ORIENTED_EDGE('',*,*,#11035,.F.); +#11063=ORIENTED_EDGE('',*,*,#10916,.T.); +#11064=ORIENTED_EDGE('',*,*,#5602,.F.); +#11065=ORIENTED_EDGE('',*,*,#10655,.F.); +#11066=EDGE_LOOP('',(#11062,#11063,#11064,#11065)); +#11067=FACE_OUTER_BOUND('',#11066,.F.); +#11068=ADVANCED_FACE('',(#11067),#11061,.T.); +#11069=CARTESIAN_POINT('',(5.75E0,-3.7E0,1.45E0)); +#11070=DIRECTION('',(1.E0,0.E0,0.E0)); +#11071=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11072=AXIS2_PLACEMENT_3D('',#11069,#11070,#11071); +#11073=CYLINDRICAL_SURFACE('',#11072,2.E-1); +#11074=ORIENTED_EDGE('',*,*,#10679,.F.); +#11075=ORIENTED_EDGE('',*,*,#11052,.T.); +#11076=ORIENTED_EDGE('',*,*,#10930,.T.); +#11078=ORIENTED_EDGE('',*,*,#11077,.F.); +#11079=EDGE_LOOP('',(#11074,#11075,#11076,#11078)); +#11080=FACE_OUTER_BOUND('',#11079,.F.); +#11081=ADVANCED_FACE('',(#11080),#11073,.F.); +#11082=CARTESIAN_POINT('',(5.75E0,-3.9E0,1.45E0)); +#11083=DIRECTION('',(0.E0,1.E0,0.E0)); +#11084=DIRECTION('',(0.E0,0.E0,1.E0)); +#11085=AXIS2_PLACEMENT_3D('',#11082,#11083,#11084); +#11086=PLANE('',#11085); +#11088=ORIENTED_EDGE('',*,*,#11087,.T.); +#11089=ORIENTED_EDGE('',*,*,#10681,.F.); +#11090=ORIENTED_EDGE('',*,*,#11077,.T.); +#11091=ORIENTED_EDGE('',*,*,#10928,.T.); +#11092=EDGE_LOOP('',(#11088,#11089,#11090,#11091)); +#11093=FACE_OUTER_BOUND('',#11092,.F.); +#11094=ADVANCED_FACE('',(#11093),#11086,.T.); +#11095=CARTESIAN_POINT('',(6.E0,-3.975E0,2.35E0)); +#11096=DIRECTION('',(0.E0,9.701425001453E-1,2.425356250363E-1)); +#11097=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#11098=AXIS2_PLACEMENT_3D('',#11095,#11096,#11097); +#11099=PLANE('',#11098); +#11100=ORIENTED_EDGE('',*,*,#11087,.F.); +#11102=ORIENTED_EDGE('',*,*,#11101,.F.); +#11104=ORIENTED_EDGE('',*,*,#11103,.T.); +#11106=ORIENTED_EDGE('',*,*,#11105,.T.); +#11107=EDGE_LOOP('',(#11100,#11102,#11104,#11106)); +#11108=FACE_OUTER_BOUND('',#11107,.F.); +#11109=ADVANCED_FACE('',(#11108),#11099,.T.); +#11110=CARTESIAN_POINT('',(6.175E0,-4.15E0,2.35E0)); +#11111=DIRECTION('',(9.701425001453E-1,0.E0,2.425356250363E-1)); +#11112=DIRECTION('',(-2.425356250363E-1,0.E0,9.701425001453E-1)); +#11113=AXIS2_PLACEMENT_3D('',#11110,#11111,#11112); +#11114=PLANE('',#11113); +#11116=ORIENTED_EDGE('',*,*,#11115,.F.); +#11117=ORIENTED_EDGE('',*,*,#11101,.T.); +#11118=ORIENTED_EDGE('',*,*,#10926,.T.); +#11120=ORIENTED_EDGE('',*,*,#11119,.T.); +#11121=EDGE_LOOP('',(#11116,#11117,#11118,#11120)); +#11122=FACE_OUTER_BOUND('',#11121,.F.); +#11123=ADVANCED_FACE('',(#11122),#11114,.T.); +#11124=CARTESIAN_POINT('',(5.75E0,-3.9E0,2.65E0)); +#11125=DIRECTION('',(0.E0,0.E0,1.E0)); +#11126=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11127=AXIS2_PLACEMENT_3D('',#11124,#11125,#11126); +#11128=PLANE('',#11127); +#11130=ORIENTED_EDGE('',*,*,#11129,.T.); +#11132=ORIENTED_EDGE('',*,*,#11131,.T.); +#11133=ORIENTED_EDGE('',*,*,#11103,.F.); +#11134=ORIENTED_EDGE('',*,*,#11115,.T.); +#11135=EDGE_LOOP('',(#11130,#11132,#11133,#11134)); +#11136=FACE_OUTER_BOUND('',#11135,.F.); +#11137=ADVANCED_FACE('',(#11136),#11128,.T.); +#11138=CARTESIAN_POINT('',(6.E0,-4.325E0,2.35E0)); +#11139=DIRECTION('',(0.E0,-9.701425001453E-1,2.425356250363E-1)); +#11140=DIRECTION('',(0.E0,2.425356250363E-1,9.701425001453E-1)); +#11141=AXIS2_PLACEMENT_3D('',#11138,#11139,#11140); +#11142=PLANE('',#11141); +#11144=ORIENTED_EDGE('',*,*,#11143,.T.); +#11146=ORIENTED_EDGE('',*,*,#11145,.F.); +#11147=ORIENTED_EDGE('',*,*,#11129,.F.); +#11148=ORIENTED_EDGE('',*,*,#11119,.F.); +#11149=EDGE_LOOP('',(#11144,#11146,#11147,#11148)); +#11150=FACE_OUTER_BOUND('',#11149,.F.); +#11151=ADVANCED_FACE('',(#11150),#11142,.T.); +#11152=CARTESIAN_POINT('',(5.75E0,-4.4E0,2.65E0)); +#11153=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11154=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11155=AXIS2_PLACEMENT_3D('',#11152,#11153,#11154); +#11156=PLANE('',#11155); +#11157=ORIENTED_EDGE('',*,*,#11143,.F.); +#11158=ORIENTED_EDGE('',*,*,#10939,.T.); +#11160=ORIENTED_EDGE('',*,*,#11159,.F.); +#11161=ORIENTED_EDGE('',*,*,#10670,.F.); +#11162=EDGE_LOOP('',(#11157,#11158,#11160,#11161)); +#11163=FACE_OUTER_BOUND('',#11162,.F.); +#11164=ADVANCED_FACE('',(#11163),#11156,.T.); +#11165=CARTESIAN_POINT('',(5.75E0,-3.7E0,1.45E0)); +#11166=DIRECTION('',(1.E0,0.E0,0.E0)); +#11167=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11168=AXIS2_PLACEMENT_3D('',#11165,#11166,#11167); +#11169=CYLINDRICAL_SURFACE('',#11168,7.E-1); +#11170=ORIENTED_EDGE('',*,*,#10672,.F.); +#11171=ORIENTED_EDGE('',*,*,#11159,.T.); +#11172=ORIENTED_EDGE('',*,*,#10937,.T.); +#11174=ORIENTED_EDGE('',*,*,#11173,.F.); +#11175=EDGE_LOOP('',(#11170,#11171,#11172,#11174)); +#11176=FACE_OUTER_BOUND('',#11175,.F.); +#11177=ADVANCED_FACE('',(#11176),#11169,.T.); +#11178=CARTESIAN_POINT('',(5.75E0,-3.7E0,7.5E-1)); +#11179=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11180=DIRECTION('',(0.E0,1.E0,0.E0)); +#11181=AXIS2_PLACEMENT_3D('',#11178,#11179,#11180); +#11182=PLANE('',#11181); +#11183=ORIENTED_EDGE('',*,*,#10674,.F.); +#11184=ORIENTED_EDGE('',*,*,#11173,.T.); +#11185=ORIENTED_EDGE('',*,*,#10935,.T.); +#11186=ORIENTED_EDGE('',*,*,#6038,.F.); +#11187=EDGE_LOOP('',(#11183,#11184,#11185,#11186)); +#11188=FACE_OUTER_BOUND('',#11187,.F.); +#11189=ADVANCED_FACE('',(#11188),#11182,.T.); +#11190=CARTESIAN_POINT('',(5.75E0,-3.7E0,7.5E-1)); +#11191=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11192=DIRECTION('',(0.E0,1.E0,0.E0)); +#11193=AXIS2_PLACEMENT_3D('',#11190,#11191,#11192); +#11194=PLANE('',#11193); +#11196=ORIENTED_EDGE('',*,*,#11195,.T.); +#11197=ORIENTED_EDGE('',*,*,#10658,.F.); +#11198=ORIENTED_EDGE('',*,*,#5606,.T.); +#11199=ORIENTED_EDGE('',*,*,#10913,.T.); +#11200=EDGE_LOOP('',(#11196,#11197,#11198,#11199)); +#11201=FACE_OUTER_BOUND('',#11200,.F.); +#11202=ADVANCED_FACE('',(#11201),#11194,.T.); +#11203=CARTESIAN_POINT('',(6.E0,2.6E0,8.25E-1)); +#11204=DIRECTION('',(0.E0,2.425356250363E-1,-9.701425001453E-1)); +#11205=DIRECTION('',(0.E0,-9.701425001453E-1,-2.425356250363E-1)); +#11206=AXIS2_PLACEMENT_3D('',#11203,#11204,#11205); +#11207=PLANE('',#11206); +#11208=ORIENTED_EDGE('',*,*,#11195,.F.); +#11209=ORIENTED_EDGE('',*,*,#11007,.F.); +#11210=ORIENTED_EDGE('',*,*,#11024,.T.); +#11212=ORIENTED_EDGE('',*,*,#11211,.T.); +#11213=EDGE_LOOP('',(#11208,#11209,#11210,#11212)); +#11214=FACE_OUTER_BOUND('',#11213,.F.); +#11215=ADVANCED_FACE('',(#11214),#11207,.T.); +#11216=CARTESIAN_POINT('',(5.825E0,2.6E0,1.E0)); +#11217=DIRECTION('',(-9.701425001453E-1,2.425356250363E-1,0.E0)); +#11218=DIRECTION('',(2.425356250363E-1,9.701425001453E-1,0.E0)); +#11219=AXIS2_PLACEMENT_3D('',#11216,#11217,#11218); +#11220=PLANE('',#11219); +#11221=ORIENTED_EDGE('',*,*,#10653,.T.); +#11222=ORIENTED_EDGE('',*,*,#11211,.F.); +#11223=ORIENTED_EDGE('',*,*,#11022,.F.); +#11224=ORIENTED_EDGE('',*,*,#11037,.T.); +#11225=EDGE_LOOP('',(#11221,#11222,#11223,#11224)); +#11226=FACE_OUTER_BOUND('',#11225,.F.); +#11227=ADVANCED_FACE('',(#11226),#11220,.T.); +#11228=CARTESIAN_POINT('',(5.825E0,-4.15E0,2.35E0)); +#11229=DIRECTION('',(-9.701425001453E-1,0.E0,2.425356250363E-1)); +#11230=DIRECTION('',(2.425356250363E-1,0.E0,9.701425001453E-1)); +#11231=AXIS2_PLACEMENT_3D('',#11228,#11229,#11230); +#11232=PLANE('',#11231); +#11233=ORIENTED_EDGE('',*,*,#10668,.T.); +#11234=ORIENTED_EDGE('',*,*,#11105,.F.); +#11235=ORIENTED_EDGE('',*,*,#11131,.F.); +#11236=ORIENTED_EDGE('',*,*,#11145,.T.); +#11237=EDGE_LOOP('',(#11233,#11234,#11235,#11236)); +#11238=FACE_OUTER_BOUND('',#11237,.F.); +#11239=ADVANCED_FACE('',(#11238),#11232,.T.); +#11240=CARTESIAN_POINT('',(6.175E0,-4.15E0,-2.35E0)); +#11241=DIRECTION('',(9.701425001453E-1,0.E0,-2.425356250363E-1)); +#11242=DIRECTION('',(-2.425356250363E-1,0.E0,-9.701425001453E-1)); +#11243=AXIS2_PLACEMENT_3D('',#11240,#11241,#11242); +#11244=PLANE('',#11243); +#11246=ORIENTED_EDGE('',*,*,#11245,.F.); +#11247=ORIENTED_EDGE('',*,*,#10830,.T.); +#11248=ORIENTED_EDGE('',*,*,#10949,.T.); +#11249=ORIENTED_EDGE('',*,*,#10804,.T.); +#11250=EDGE_LOOP('',(#11246,#11247,#11248,#11249)); +#11251=FACE_OUTER_BOUND('',#11250,.F.); +#11252=ADVANCED_FACE('',(#11251),#11244,.T.); +#11253=CARTESIAN_POINT('',(5.75E0,-4.4E0,-2.65E0)); +#11254=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11255=DIRECTION('',(0.E0,1.E0,0.E0)); +#11256=AXIS2_PLACEMENT_3D('',#11253,#11254,#11255); +#11257=PLANE('',#11256); +#11258=ORIENTED_EDGE('',*,*,#10802,.T.); +#11259=ORIENTED_EDGE('',*,*,#10817,.T.); +#11260=ORIENTED_EDGE('',*,*,#10832,.F.); +#11261=ORIENTED_EDGE('',*,*,#11245,.T.); +#11262=EDGE_LOOP('',(#11258,#11259,#11260,#11261)); +#11263=FACE_OUTER_BOUND('',#11262,.F.); +#11264=ADVANCED_FACE('',(#11263),#11257,.T.); +#11265=CARTESIAN_POINT('',(5.E0,-3.2E0,0.E0)); +#11266=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11267=DIRECTION('',(0.E0,0.E0,1.E0)); +#11268=AXIS2_PLACEMENT_3D('',#11265,#11266,#11267); +#11269=CYLINDRICAL_SURFACE('',#11268,4.25E-1); +#11271=ORIENTED_EDGE('',*,*,#11270,.T.); +#11273=ORIENTED_EDGE('',*,*,#11272,.T.); +#11275=ORIENTED_EDGE('',*,*,#11274,.F.); +#11276=ORIENTED_EDGE('',*,*,#6046,.F.); +#11277=EDGE_LOOP('',(#11271,#11273,#11275,#11276)); +#11278=FACE_OUTER_BOUND('',#11277,.F.); +#11279=ADVANCED_FACE('',(#11278),#11269,.T.); +#11280=CARTESIAN_POINT('',(5.E0,-3.2E0,0.E0)); +#11281=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11282=DIRECTION('',(0.E0,0.E0,1.E0)); +#11283=AXIS2_PLACEMENT_3D('',#11280,#11281,#11282); +#11284=CYLINDRICAL_SURFACE('',#11283,4.25E-1); +#11285=ORIENTED_EDGE('',*,*,#11270,.F.); +#11286=ORIENTED_EDGE('',*,*,#6048,.F.); +#11287=ORIENTED_EDGE('',*,*,#11274,.T.); +#11289=ORIENTED_EDGE('',*,*,#11288,.T.); +#11290=EDGE_LOOP('',(#11285,#11286,#11287,#11289)); +#11291=FACE_OUTER_BOUND('',#11290,.F.); +#11292=ADVANCED_FACE('',(#11291),#11284,.T.); +#11293=CARTESIAN_POINT('',(5.E0,-5.324990022355E0,0.E0)); +#11294=DIRECTION('',(0.E0,1.E0,0.E0)); +#11295=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11296=AXIS2_PLACEMENT_3D('',#11293,#11294,#11295); +#11297=CONICAL_SURFACE('',#11296,3.499935334451E-1,4.499865403068E1); +#11299=ORIENTED_EDGE('',*,*,#11298,.T.); +#11301=ORIENTED_EDGE('',*,*,#11300,.T.); +#11302=ORIENTED_EDGE('',*,*,#11288,.F.); +#11304=ORIENTED_EDGE('',*,*,#11303,.F.); +#11305=EDGE_LOOP('',(#11299,#11301,#11302,#11304)); +#11306=FACE_OUTER_BOUND('',#11305,.F.); +#11307=ADVANCED_FACE('',(#11306),#11297,.T.); +#11308=CARTESIAN_POINT('',(0.E0,-5.4E0,0.E0)); +#11309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11310=DIRECTION('',(0.E0,0.E0,1.E0)); +#11311=AXIS2_PLACEMENT_3D('',#11308,#11309,#11310); +#11312=PLANE('',#11311); +#11314=ORIENTED_EDGE('',*,*,#11313,.F.); +#11316=ORIENTED_EDGE('',*,*,#11315,.F.); +#11317=EDGE_LOOP('',(#11314,#11316)); +#11318=FACE_OUTER_BOUND('',#11317,.F.); +#11319=ADVANCED_FACE('',(#11318),#11312,.T.); +#11320=CARTESIAN_POINT('',(0.E0,-5.4E0,0.E0)); +#11321=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11322=DIRECTION('',(0.E0,0.E0,1.E0)); +#11323=AXIS2_PLACEMENT_3D('',#11320,#11321,#11322); +#11324=PLANE('',#11323); +#11326=ORIENTED_EDGE('',*,*,#11325,.F.); +#11327=ORIENTED_EDGE('',*,*,#11298,.F.); +#11328=EDGE_LOOP('',(#11326,#11327)); +#11329=FACE_OUTER_BOUND('',#11328,.F.); +#11330=ADVANCED_FACE('',(#11329),#11324,.T.); +#11331=CARTESIAN_POINT('',(-5.E0,-5.324990022355E0,0.E0)); +#11332=DIRECTION('',(0.E0,1.E0,0.E0)); +#11333=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11334=AXIS2_PLACEMENT_3D('',#11331,#11332,#11333); +#11335=CONICAL_SURFACE('',#11334,3.499935334451E-1,4.499865403068E1); +#11336=ORIENTED_EDGE('',*,*,#11313,.T.); +#11338=ORIENTED_EDGE('',*,*,#11337,.T.); +#11340=ORIENTED_EDGE('',*,*,#11339,.F.); +#11342=ORIENTED_EDGE('',*,*,#11341,.F.); +#11343=EDGE_LOOP('',(#11336,#11338,#11340,#11342)); +#11344=FACE_OUTER_BOUND('',#11343,.F.); +#11345=ADVANCED_FACE('',(#11344),#11335,.T.); +#11346=CARTESIAN_POINT('',(-5.E0,-5.324990022355E0,0.E0)); +#11347=DIRECTION('',(0.E0,1.E0,0.E0)); +#11348=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11349=AXIS2_PLACEMENT_3D('',#11346,#11347,#11348); +#11350=CONICAL_SURFACE('',#11349,3.499935334451E-1,4.499865403068E1); +#11351=ORIENTED_EDGE('',*,*,#11315,.T.); +#11352=ORIENTED_EDGE('',*,*,#11341,.T.); +#11354=ORIENTED_EDGE('',*,*,#11353,.F.); +#11355=ORIENTED_EDGE('',*,*,#11337,.F.); +#11356=EDGE_LOOP('',(#11351,#11352,#11354,#11355)); +#11357=FACE_OUTER_BOUND('',#11356,.F.); +#11358=ADVANCED_FACE('',(#11357),#11350,.T.); +#11359=CARTESIAN_POINT('',(-5.E0,-3.2E0,0.E0)); +#11360=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11361=DIRECTION('',(0.E0,0.E0,1.E0)); +#11362=AXIS2_PLACEMENT_3D('',#11359,#11360,#11361); +#11363=CYLINDRICAL_SURFACE('',#11362,4.25E-1); +#11365=ORIENTED_EDGE('',*,*,#11364,.F.); +#11366=ORIENTED_EDGE('',*,*,#6054,.F.); +#11368=ORIENTED_EDGE('',*,*,#11367,.T.); +#11369=ORIENTED_EDGE('',*,*,#11353,.T.); +#11370=EDGE_LOOP('',(#11365,#11366,#11368,#11369)); +#11371=FACE_OUTER_BOUND('',#11370,.F.); +#11372=ADVANCED_FACE('',(#11371),#11363,.T.); +#11373=CARTESIAN_POINT('',(-5.E0,-3.2E0,0.E0)); +#11374=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11375=DIRECTION('',(0.E0,0.E0,1.E0)); +#11376=AXIS2_PLACEMENT_3D('',#11373,#11374,#11375); +#11377=CYLINDRICAL_SURFACE('',#11376,4.25E-1); +#11378=ORIENTED_EDGE('',*,*,#11364,.T.); +#11379=ORIENTED_EDGE('',*,*,#11339,.T.); +#11380=ORIENTED_EDGE('',*,*,#11367,.F.); +#11381=ORIENTED_EDGE('',*,*,#6052,.F.); +#11382=EDGE_LOOP('',(#11378,#11379,#11380,#11381)); +#11383=FACE_OUTER_BOUND('',#11382,.F.); +#11384=ADVANCED_FACE('',(#11383),#11377,.T.); +#11385=CARTESIAN_POINT('',(5.E0,-5.324990022355E0,0.E0)); +#11386=DIRECTION('',(0.E0,1.E0,0.E0)); +#11387=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11388=AXIS2_PLACEMENT_3D('',#11385,#11386,#11387); +#11389=CONICAL_SURFACE('',#11388,3.499935334451E-1,4.499865403068E1); +#11390=ORIENTED_EDGE('',*,*,#11325,.T.); +#11391=ORIENTED_EDGE('',*,*,#11303,.T.); +#11392=ORIENTED_EDGE('',*,*,#11272,.F.); +#11393=ORIENTED_EDGE('',*,*,#11300,.F.); +#11394=EDGE_LOOP('',(#11390,#11391,#11392,#11393)); +#11395=FACE_OUTER_BOUND('',#11394,.F.); +#11396=ADVANCED_FACE('',(#11395),#11389,.T.); +#11397=CLOSED_SHELL('',(#5234,#5261,#5276,#5297,#5317,#5344,#5369,#5383,#5397, +#5630,#5645,#5660,#5684,#5708,#5723,#5738,#5753,#5766,#5780,#5794,#5809,#5823, +#5838,#5852,#5866,#5880,#5894,#6058,#6073,#6087,#6101,#6114,#6149,#6163,#6180, +#6212,#6243,#6259,#6276,#6294,#6308,#6323,#6337,#6350,#6396,#6410,#6424,#6438, +#6452,#6465,#6478,#6490,#6503,#6517,#6529,#6541,#6554,#6569,#6581,#6594,#6607, +#6619,#6632,#6645,#6657,#6669,#6682,#6695,#6707,#6720,#6733,#6745,#6757,#6770, +#6782,#6797,#6851,#6865,#6877,#6889,#6901,#6913,#6926,#6939,#6952,#6965,#6978, +#6992,#7008,#7021,#7036,#7049,#7062,#7075,#7088,#7100,#7113,#7128,#7151,#7168, +#7182,#7195,#7207,#7222,#7237,#7251,#7264,#7276,#7289,#7302,#7317,#7331,#7345, +#7359,#7372,#7385,#7397,#7410,#7423,#7435,#7447,#7460,#7472,#7487,#7502,#7525, +#7548,#7563,#7578,#7592,#7605,#7619,#7633,#7648,#7662,#7677,#7691,#7705,#7718, +#7732,#7745,#7760,#7783,#7800,#7814,#7827,#7839,#7854,#7869,#7883,#7896,#7908, +#7921,#7934,#7949,#7963,#7977,#7991,#8004,#8017,#8029,#8042,#8055,#8067,#8079, +#8092,#8104,#8119,#8134,#8157,#8180,#8195,#8210,#8224,#8237,#8251,#8265,#8280, +#8294,#8309,#8323,#8337,#8350,#8364,#8377,#8392,#8415,#8432,#8446,#8459,#8471, +#8486,#8501,#8515,#8528,#8540,#8553,#8566,#8581,#8595,#8609,#8623,#8636,#8649, +#8661,#8674,#8687,#8699,#8711,#8724,#8736,#8751,#8766,#8789,#8812,#8827,#8842, +#8856,#8869,#8883,#8897,#8912,#8926,#8941,#8955,#8969,#8982,#8996,#9009,#9024, +#9047,#9064,#9078,#9091,#9103,#9118,#9133,#9147,#9160,#9172,#9185,#9198,#9213, +#9227,#9241,#9255,#9268,#9281,#9293,#9306,#9319,#9331,#9343,#9356,#9368,#9383, +#9398,#9421,#9444,#9459,#9474,#9488,#9501,#9515,#9529,#9544,#9558,#9573,#9587, +#9601,#9614,#9628,#9641,#9656,#9679,#9696,#9710,#9723,#9735,#9750,#9765,#9779, +#9792,#9804,#9817,#9830,#9845,#9859,#9873,#9887,#9900,#9913,#9925,#9938,#9951, +#9963,#9975,#9988,#10000,#10015,#10030,#10053,#10076,#10091,#10106,#10120, +#10133,#10147,#10161,#10176,#10190,#10205,#10219,#10233,#10246,#10260,#10273, +#10288,#10311,#10328,#10342,#10355,#10367,#10382,#10397,#10411,#10424,#10436, +#10449,#10462,#10477,#10491,#10505,#10519,#10532,#10545,#10557,#10570,#10583, +#10595,#10607,#10620,#10632,#10647,#10662,#10685,#10708,#10723,#10738,#10752, +#10765,#10779,#10793,#10808,#10822,#10837,#10851,#10865,#10878,#10892,#10905, +#10920,#10943,#10960,#10974,#10987,#10999,#11014,#11029,#11043,#11056,#11068, +#11081,#11094,#11109,#11123,#11137,#11151,#11164,#11177,#11189,#11202,#11215, +#11227,#11239,#11252,#11264,#11279,#11292,#11307,#11319,#11330,#11345,#11358, +#11372,#11384,#11396)); +#11398=MANIFOLD_SOLID_BREP('',#11397); +#11399=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#11400=DIRECTION('',(0.E0,0.E0,1.E0)); +#11401=DIRECTION('',(1.E0,0.E0,0.E0)); +#11402=AXIS2_PLACEMENT_3D('CSYS',#11399,#11400,#11401); +#11403=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11404=VECTOR('',#11403,2.75E0); +#11405=CARTESIAN_POINT('',(-6.5E0,-4.4E0,3.5E0)); +#11406=LINE('',#11405,#11404); +#11409=DIRECTION('',(1.E0,0.E0,0.E0)); +#11410=VECTOR('',#11409,1.E0); +#11411=CARTESIAN_POINT('',(-6.5E0,-4.4E0,7.5E-1)); +#11412=LINE('',#11411,#11410); +#11415=DIRECTION('',(0.E0,0.E0,1.E0)); +#11416=VECTOR('',#11415,2.75E0); +#11417=CARTESIAN_POINT('',(-5.5E0,-4.4E0,7.5E-1)); +#11418=LINE('',#11417,#11416); +#11421=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11422=VECTOR('',#11421,1.E0); +#11423=CARTESIAN_POINT('',(-5.5E0,-4.4E0,3.5E0)); +#11424=LINE('',#11423,#11422); +#11429=DIRECTION('',(1.E0,0.E0,0.E0)); +#11430=VECTOR('',#11429,1.E0); +#11431=CARTESIAN_POINT('',(-6.5E0,-4.4E0,-3.5E0)); +#11432=LINE('',#11431,#11430); +#11435=DIRECTION('',(0.E0,0.E0,1.E0)); +#11436=VECTOR('',#11435,2.75E0); +#11437=CARTESIAN_POINT('',(-5.5E0,-4.4E0,-3.5E0)); +#11438=LINE('',#11437,#11436); +#11441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11442=VECTOR('',#11441,1.E0); +#11443=CARTESIAN_POINT('',(-5.5E0,-4.4E0,-7.5E-1)); +#11444=LINE('',#11443,#11442); +#11447=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11448=VECTOR('',#11447,2.75E0); +#11449=CARTESIAN_POINT('',(-6.5E0,-4.4E0,-7.5E-1)); +#11450=LINE('',#11449,#11448); +#11454=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11455=VECTOR('',#11454,2.75E0); +#11456=CARTESIAN_POINT('',(-4.5E0,-4.4E0,3.5E0)); +#11457=LINE('',#11456,#11455); +#11460=DIRECTION('',(1.E0,0.E0,0.E0)); +#11461=VECTOR('',#11460,1.E0); +#11462=CARTESIAN_POINT('',(-4.5E0,-4.4E0,7.5E-1)); +#11463=LINE('',#11462,#11461); +#11466=DIRECTION('',(0.E0,0.E0,1.E0)); +#11467=VECTOR('',#11466,2.75E0); +#11468=CARTESIAN_POINT('',(-3.5E0,-4.4E0,7.5E-1)); +#11469=LINE('',#11468,#11467); +#11472=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11473=VECTOR('',#11472,1.E0); +#11474=CARTESIAN_POINT('',(-3.5E0,-4.4E0,3.5E0)); +#11475=LINE('',#11474,#11473); +#11479=DIRECTION('',(1.E0,0.E0,0.E0)); +#11480=VECTOR('',#11479,1.E0); +#11481=CARTESIAN_POINT('',(-4.5E0,-4.4E0,-3.5E0)); +#11482=LINE('',#11481,#11480); +#11485=DIRECTION('',(0.E0,0.E0,1.E0)); +#11486=VECTOR('',#11485,2.75E0); +#11487=CARTESIAN_POINT('',(-3.5E0,-4.4E0,-3.5E0)); +#11488=LINE('',#11487,#11486); +#11491=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11492=VECTOR('',#11491,1.E0); +#11493=CARTESIAN_POINT('',(-3.5E0,-4.4E0,-7.5E-1)); +#11494=LINE('',#11493,#11492); +#11497=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11498=VECTOR('',#11497,2.75E0); +#11499=CARTESIAN_POINT('',(-4.5E0,-4.4E0,-7.5E-1)); +#11500=LINE('',#11499,#11498); +#11504=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11505=VECTOR('',#11504,2.75E0); +#11506=CARTESIAN_POINT('',(-2.5E0,-4.4E0,3.5E0)); +#11507=LINE('',#11506,#11505); +#11510=DIRECTION('',(1.E0,0.E0,0.E0)); +#11511=VECTOR('',#11510,1.E0); +#11512=CARTESIAN_POINT('',(-2.5E0,-4.4E0,7.5E-1)); +#11513=LINE('',#11512,#11511); +#11516=DIRECTION('',(0.E0,0.E0,1.E0)); +#11517=VECTOR('',#11516,2.75E0); +#11518=CARTESIAN_POINT('',(-1.5E0,-4.4E0,7.5E-1)); +#11519=LINE('',#11518,#11517); +#11522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11523=VECTOR('',#11522,1.E0); +#11524=CARTESIAN_POINT('',(-1.5E0,-4.4E0,3.5E0)); +#11525=LINE('',#11524,#11523); +#11529=DIRECTION('',(1.E0,0.E0,0.E0)); +#11530=VECTOR('',#11529,1.E0); +#11531=CARTESIAN_POINT('',(-2.5E0,-4.4E0,-3.5E0)); +#11532=LINE('',#11531,#11530); +#11535=DIRECTION('',(0.E0,0.E0,1.E0)); +#11536=VECTOR('',#11535,2.75E0); +#11537=CARTESIAN_POINT('',(-1.5E0,-4.4E0,-3.5E0)); +#11538=LINE('',#11537,#11536); +#11541=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11542=VECTOR('',#11541,1.E0); +#11543=CARTESIAN_POINT('',(-1.5E0,-4.4E0,-7.5E-1)); +#11544=LINE('',#11543,#11542); +#11547=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11548=VECTOR('',#11547,2.75E0); +#11549=CARTESIAN_POINT('',(-2.5E0,-4.4E0,-7.5E-1)); +#11550=LINE('',#11549,#11548); +#11554=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11555=VECTOR('',#11554,2.75E0); +#11556=CARTESIAN_POINT('',(-5.E-1,-4.4E0,3.5E0)); +#11557=LINE('',#11556,#11555); +#11560=DIRECTION('',(1.E0,0.E0,0.E0)); +#11561=VECTOR('',#11560,1.E0); +#11562=CARTESIAN_POINT('',(-5.E-1,-4.4E0,7.5E-1)); +#11563=LINE('',#11562,#11561); +#11566=DIRECTION('',(0.E0,0.E0,1.E0)); +#11567=VECTOR('',#11566,2.75E0); +#11568=CARTESIAN_POINT('',(5.E-1,-4.4E0,7.5E-1)); +#11569=LINE('',#11568,#11567); +#11572=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11573=VECTOR('',#11572,1.E0); +#11574=CARTESIAN_POINT('',(5.E-1,-4.4E0,3.5E0)); +#11575=LINE('',#11574,#11573); +#11579=DIRECTION('',(1.E0,0.E0,0.E0)); +#11580=VECTOR('',#11579,1.E0); +#11581=CARTESIAN_POINT('',(-5.E-1,-4.4E0,-3.5E0)); +#11582=LINE('',#11581,#11580); +#11585=DIRECTION('',(0.E0,0.E0,1.E0)); +#11586=VECTOR('',#11585,2.75E0); +#11587=CARTESIAN_POINT('',(5.E-1,-4.4E0,-3.5E0)); +#11588=LINE('',#11587,#11586); +#11591=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11592=VECTOR('',#11591,1.E0); +#11593=CARTESIAN_POINT('',(5.E-1,-4.4E0,-7.5E-1)); +#11594=LINE('',#11593,#11592); +#11597=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11598=VECTOR('',#11597,2.75E0); +#11599=CARTESIAN_POINT('',(-5.E-1,-4.4E0,-7.5E-1)); +#11600=LINE('',#11599,#11598); +#11604=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11605=VECTOR('',#11604,2.75E0); +#11606=CARTESIAN_POINT('',(1.5E0,-4.4E0,3.5E0)); +#11607=LINE('',#11606,#11605); +#11610=DIRECTION('',(1.E0,0.E0,0.E0)); +#11611=VECTOR('',#11610,1.E0); +#11612=CARTESIAN_POINT('',(1.5E0,-4.4E0,7.5E-1)); +#11613=LINE('',#11612,#11611); +#11616=DIRECTION('',(0.E0,0.E0,1.E0)); +#11617=VECTOR('',#11616,2.75E0); +#11618=CARTESIAN_POINT('',(2.5E0,-4.4E0,7.5E-1)); +#11619=LINE('',#11618,#11617); +#11622=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11623=VECTOR('',#11622,1.E0); +#11624=CARTESIAN_POINT('',(2.5E0,-4.4E0,3.5E0)); +#11625=LINE('',#11624,#11623); +#11629=DIRECTION('',(1.E0,0.E0,0.E0)); +#11630=VECTOR('',#11629,1.E0); +#11631=CARTESIAN_POINT('',(1.5E0,-4.4E0,-3.5E0)); +#11632=LINE('',#11631,#11630); +#11635=DIRECTION('',(0.E0,0.E0,1.E0)); +#11636=VECTOR('',#11635,2.75E0); +#11637=CARTESIAN_POINT('',(2.5E0,-4.4E0,-3.5E0)); +#11638=LINE('',#11637,#11636); +#11641=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11642=VECTOR('',#11641,1.E0); +#11643=CARTESIAN_POINT('',(2.5E0,-4.4E0,-7.5E-1)); +#11644=LINE('',#11643,#11642); +#11647=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11648=VECTOR('',#11647,2.75E0); +#11649=CARTESIAN_POINT('',(1.5E0,-4.4E0,-7.5E-1)); +#11650=LINE('',#11649,#11648); +#11654=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11655=VECTOR('',#11654,2.75E0); +#11656=CARTESIAN_POINT('',(3.5E0,-4.4E0,3.5E0)); +#11657=LINE('',#11656,#11655); +#11660=DIRECTION('',(1.E0,0.E0,0.E0)); +#11661=VECTOR('',#11660,1.E0); +#11662=CARTESIAN_POINT('',(3.5E0,-4.4E0,7.5E-1)); +#11663=LINE('',#11662,#11661); +#11666=DIRECTION('',(0.E0,0.E0,1.E0)); +#11667=VECTOR('',#11666,2.75E0); +#11668=CARTESIAN_POINT('',(4.5E0,-4.4E0,7.5E-1)); +#11669=LINE('',#11668,#11667); +#11672=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11673=VECTOR('',#11672,1.E0); +#11674=CARTESIAN_POINT('',(4.5E0,-4.4E0,3.5E0)); +#11675=LINE('',#11674,#11673); +#11679=DIRECTION('',(1.E0,0.E0,0.E0)); +#11680=VECTOR('',#11679,1.E0); +#11681=CARTESIAN_POINT('',(3.5E0,-4.4E0,-3.5E0)); +#11682=LINE('',#11681,#11680); +#11685=DIRECTION('',(0.E0,0.E0,1.E0)); +#11686=VECTOR('',#11685,2.75E0); +#11687=CARTESIAN_POINT('',(4.5E0,-4.4E0,-3.5E0)); +#11688=LINE('',#11687,#11686); +#11691=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11692=VECTOR('',#11691,1.E0); +#11693=CARTESIAN_POINT('',(4.5E0,-4.4E0,-7.5E-1)); +#11694=LINE('',#11693,#11692); +#11697=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11698=VECTOR('',#11697,2.75E0); +#11699=CARTESIAN_POINT('',(3.5E0,-4.4E0,-7.5E-1)); +#11700=LINE('',#11699,#11698); +#11704=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11705=VECTOR('',#11704,2.75E0); +#11706=CARTESIAN_POINT('',(5.5E0,-4.4E0,3.5E0)); +#11707=LINE('',#11706,#11705); +#11710=DIRECTION('',(1.E0,0.E0,0.E0)); +#11711=VECTOR('',#11710,1.E0); +#11712=CARTESIAN_POINT('',(5.5E0,-4.4E0,7.5E-1)); +#11713=LINE('',#11712,#11711); +#11716=DIRECTION('',(0.E0,0.E0,1.E0)); +#11717=VECTOR('',#11716,2.75E0); +#11718=CARTESIAN_POINT('',(6.5E0,-4.4E0,7.5E-1)); +#11719=LINE('',#11718,#11717); +#11722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11723=VECTOR('',#11722,1.E0); +#11724=CARTESIAN_POINT('',(6.5E0,-4.4E0,3.5E0)); +#11725=LINE('',#11724,#11723); +#11729=DIRECTION('',(1.E0,0.E0,0.E0)); +#11730=VECTOR('',#11729,1.E0); +#11731=CARTESIAN_POINT('',(5.5E0,-4.4E0,-3.5E0)); +#11732=LINE('',#11731,#11730); +#11735=DIRECTION('',(0.E0,0.E0,1.E0)); +#11736=VECTOR('',#11735,2.75E0); +#11737=CARTESIAN_POINT('',(6.5E0,-4.4E0,-3.5E0)); +#11738=LINE('',#11737,#11736); +#11741=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11742=VECTOR('',#11741,1.E0); +#11743=CARTESIAN_POINT('',(6.5E0,-4.4E0,-7.5E-1)); +#11744=LINE('',#11743,#11742); +#11747=DIRECTION('',(0.E0,0.E0,-1.E0)); +#11748=VECTOR('',#11747,2.75E0); +#11749=CARTESIAN_POINT('',(5.5E0,-4.4E0,-7.5E-1)); +#11750=LINE('',#11749,#11748); +#11754=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11755=VECTOR('',#11754,2.050000004429E0); +#11756=CARTESIAN_POINT('',(5.E0,-3.2E0,0.E0)); +#11757=LINE('',#11756,#11755); +#11759=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11760=VECTOR('',#11759,2.050000004429E0); +#11761=CARTESIAN_POINT('',(-5.E0,-3.2E0,0.E0)); +#11762=LINE('',#11761,#11760); +#11764=CARTESIAN_POINT('',(-5.E0,-4.4E0,0.E0)); +#11765=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11766=DIRECTION('',(1.E0,0.E0,0.E0)); +#11767=AXIS2_PLACEMENT_3D('',#11764,#11765,#11766); +#11771=CARTESIAN_POINT('',(-5.E0,-4.4E0,0.E0)); +#11772=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11773=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11774=AXIS2_PLACEMENT_3D('',#11771,#11772,#11773); +#11779=CARTESIAN_POINT('',(5.E0,-4.4E0,0.E0)); +#11780=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11781=DIRECTION('',(1.E0,0.E0,0.E0)); +#11782=AXIS2_PLACEMENT_3D('',#11779,#11780,#11781); +#11786=CARTESIAN_POINT('',(5.E0,-4.4E0,0.E0)); +#11787=DIRECTION('',(0.E0,-1.E0,0.E0)); +#11788=DIRECTION('',(-1.E0,0.E0,0.E0)); +#11789=AXIS2_PLACEMENT_3D('',#11786,#11787,#11788); +#11795=DIMENSIONAL_EXPONENTS(0.E0,0.E0,0.E0,0.E0,0.E0,0.E0,0.E0); +#11797=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#11796); +#11798=(CONVERSION_BASED_UNIT('DEGREE',#11797)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#11800=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(2.000376179723E-3),#11794, +'closure', +'Maximum model space distance between geometric entities at asserted connectivities'); +#11801=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#11800))GLOBAL_UNIT_ASSIGNED_CONTEXT((#11794,#11798,#11799))REPRESENTATION_CONTEXT('ID1','3')); +#11428=GEOMETRIC_SET('',(#11427,#11453,#11478,#11503,#11528,#11553,#11578, +#11603,#11628,#11653,#11678,#11703,#11728,#11753,#11758,#11763,#11778,#11793)); +#11805=SHAPE_REPRESENTATION_RELATIONSHIP('','',#11804,#11802); +#11806=SHAPE_REPRESENTATION_RELATIONSHIP('','',#11804,#11803); +#11807=APPLICATION_CONTEXT( +'CONFIGURATION CONTROLLED 3D DESIGNS OF MECHANICAL PARTS AND ASSEMBLIES'); +#11808=APPLICATION_PROTOCOL_DEFINITION('international standard', +'config_control_design',1994,#11807); +#11809=DESIGN_CONTEXT('',#11807,'design'); +#11810=MECHANICAL_CONTEXT('',#11807,'mechanical'); +#11811=PRODUCT('878321420','878321420','NOT SPECIFIED',(#11810)); +#11812=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('1','LAST_VERSION', +#11811,.MADE.); +#11816=PRODUCT_CATEGORY('part',''); +#11817=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#11811)); +#11818=PRODUCT_CATEGORY_RELATIONSHIP('','',#11816,#11817); +#11819=SECURITY_CLASSIFICATION_LEVEL('unclassified'); +#11820=SECURITY_CLASSIFICATION('','',#11819); +#11821=CC_DESIGN_SECURITY_CLASSIFICATION(#11820,(#11812)); +#11822=APPROVAL_STATUS('approved'); +#11823=APPROVAL(#11822,''); +#11824=CC_DESIGN_APPROVAL(#11823,(#11820,#11812,#11813)); +#11825=CALENDAR_DATE(119,10,4); +#11826=COORDINATED_UNIVERSAL_TIME_OFFSET(5,30,.AHEAD.); +#11827=LOCAL_TIME(11,37,4.8E1,#11826); +#11828=DATE_AND_TIME(#11825,#11827); +#11829=APPROVAL_DATE_TIME(#11828,#11823); +#11830=DATE_TIME_ROLE('creation_date'); +#11831=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#11828,#11830,(#11813)); +#11832=DATE_TIME_ROLE('classification_date'); +#11833=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#11828,#11832,(#11820)); +#11834=PERSON('UNSPECIFIED','UNSPECIFIED',$,$,$,$); +#11835=ORGANIZATION('UNSPECIFIED','UNSPECIFIED','UNSPECIFIED'); +#11836=PERSON_AND_ORGANIZATION(#11834,#11835); +#11837=APPROVAL_ROLE('approver'); +#11838=APPROVAL_PERSON_ORGANIZATION(#11836,#11823,#11837); +#11839=PERSON_AND_ORGANIZATION_ROLE('creator'); +#11840=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#11836,#11839,(#11812, +#11813)); +#11841=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); +#11842=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#11836,#11841,(#11812)); +#11843=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); +#11844=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#11836,#11843,(#11820)); +#11845=PERSON_AND_ORGANIZATION_ROLE('design_owner'); +#11846=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#11836,#11845,(#11811)); +#533=CIRCLE('',#532,7.E-1); +#546=CIRCLE('',#545,2.E-1); +#563=CIRCLE('',#562,2.E-1); +#576=CIRCLE('',#575,7.E-1); +#881=CIRCLE('',#880,4.25E-1); +#886=CIRCLE('',#885,4.25E-1); +#891=CIRCLE('',#890,4.25E-1); +#896=CIRCLE('',#895,4.25E-1); +#969=CIRCLE('',#968,8.E-1); +#1010=CIRCLE('',#1009,8.E-1); +#1049=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1043,#1044,#1045,#1046,#1047,#1048), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,2.5E-1,5.E-1,1.E0),.UNSPECIFIED.); +#1068=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1062,#1063,#1064,#1065,#1066,#1067), +.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,5.E-1,7.5E-1,1.E0),.UNSPECIFIED.); +#1369=CIRCLE('',#1368,8.E-1); +#1386=CIRCLE('',#1385,8.E-1); +#1583=CIRCLE('',#1582,2.E-1); +#1596=CIRCLE('',#1595,7.E-1); +#1613=CIRCLE('',#1612,7.E-1); +#1626=CIRCLE('',#1625,2.E-1); +#1803=CIRCLE('',#1802,7.E-1); +#1816=CIRCLE('',#1815,2.E-1); +#1833=CIRCLE('',#1832,2.E-1); +#1846=CIRCLE('',#1845,7.E-1); +#1943=CIRCLE('',#1942,2.E-1); +#1956=CIRCLE('',#1955,7.E-1); +#1973=CIRCLE('',#1972,7.E-1); +#1986=CIRCLE('',#1985,2.E-1); +#2163=CIRCLE('',#2162,7.E-1); +#2176=CIRCLE('',#2175,2.E-1); +#2193=CIRCLE('',#2192,2.E-1); +#2206=CIRCLE('',#2205,7.E-1); +#2303=CIRCLE('',#2302,2.E-1); +#2316=CIRCLE('',#2315,7.E-1); +#2333=CIRCLE('',#2332,7.E-1); +#2346=CIRCLE('',#2345,2.E-1); +#2523=CIRCLE('',#2522,7.E-1); +#2536=CIRCLE('',#2535,2.E-1); +#2553=CIRCLE('',#2552,2.E-1); +#2566=CIRCLE('',#2565,7.E-1); +#2663=CIRCLE('',#2662,2.E-1); +#2676=CIRCLE('',#2675,7.E-1); +#2693=CIRCLE('',#2692,7.E-1); +#2706=CIRCLE('',#2705,2.E-1); +#2883=CIRCLE('',#2882,7.E-1); +#2896=CIRCLE('',#2895,2.E-1); +#2913=CIRCLE('',#2912,2.E-1); +#2926=CIRCLE('',#2925,7.E-1); +#3023=CIRCLE('',#3022,2.E-1); +#3036=CIRCLE('',#3035,7.E-1); +#3053=CIRCLE('',#3052,7.E-1); +#3066=CIRCLE('',#3065,2.E-1); +#3243=CIRCLE('',#3242,7.E-1); +#3256=CIRCLE('',#3255,2.E-1); +#3273=CIRCLE('',#3272,2.E-1); +#3286=CIRCLE('',#3285,7.E-1); +#3383=CIRCLE('',#3382,2.E-1); +#3396=CIRCLE('',#3395,7.E-1); +#3413=CIRCLE('',#3412,7.E-1); +#3426=CIRCLE('',#3425,2.E-1); +#3603=CIRCLE('',#3602,7.E-1); +#3616=CIRCLE('',#3615,2.E-1); +#3633=CIRCLE('',#3632,2.E-1); +#3646=CIRCLE('',#3645,7.E-1); +#3743=CIRCLE('',#3742,2.E-1); +#3756=CIRCLE('',#3755,7.E-1); +#3773=CIRCLE('',#3772,7.E-1); +#3786=CIRCLE('',#3785,2.E-1); +#3939=CIRCLE('',#3938,2.749921575205E-1); +#3944=CIRCLE('',#3943,4.249921619202E-1); +#3953=CIRCLE('',#3952,2.749921575205E-1); +#3958=CIRCLE('',#3957,4.249921619202E-1); +#3967=CIRCLE('',#3966,2.749921575205E-1); +#3972=CIRCLE('',#3971,4.249921619202E-1); +#3989=CIRCLE('',#3988,2.749921575205E-1); +#3994=CIRCLE('',#3993,4.249921619202E-1); +#5224=EDGE_CURVE('',#4002,#4020,#4,.T.); +#5226=EDGE_CURVE('',#4020,#4022,#8,.T.); +#5228=EDGE_CURVE('',#4022,#4001,#12,.T.); +#5230=EDGE_CURVE('',#4001,#4002,#16,.T.); +#5240=EDGE_CURVE('',#4277,#4278,#20,.T.); +#5242=EDGE_CURVE('',#4277,#4070,#24,.T.); +#5244=EDGE_CURVE('',#4069,#4070,#28,.T.); +#5246=EDGE_CURVE('',#4322,#4069,#32,.T.); +#5248=EDGE_CURVE('',#4321,#4322,#36,.T.); +#5250=EDGE_CURVE('',#4321,#4025,#40,.T.); +#5252=EDGE_CURVE('',#4025,#4026,#1466,.T.); +#5254=EDGE_CURVE('',#4020,#4026,#44,.T.); +#5257=EDGE_CURVE('',#4002,#4278,#48,.T.); +#5268=EDGE_CURVE('',#4278,#4280,#56,.T.); +#5270=EDGE_CURVE('',#4282,#4280,#52,.T.); +#5272=EDGE_CURVE('',#4277,#4282,#1348,.T.); +#5285=EDGE_CURVE('',#4001,#4297,#60,.T.); +#5287=EDGE_CURVE('',#4294,#4297,#64,.T.); +#5289=EDGE_CURVE('',#4288,#4294,#68,.T.); +#5291=EDGE_CURVE('',#4286,#4288,#72,.T.); +#5293=EDGE_CURVE('',#4280,#4286,#76,.T.); +#5303=EDGE_CURVE('',#5166,#5161,#80,.T.); +#5305=EDGE_CURVE('',#4310,#5166,#84,.T.); +#5307=EDGE_CURVE('',#4304,#4310,#88,.T.); +#5309=EDGE_CURVE('',#4302,#4304,#92,.T.); +#5311=EDGE_CURVE('',#4302,#4030,#96,.T.); +#5313=EDGE_CURVE('',#4030,#5161,#1390,.T.); +#5323=EDGE_CURVE('',#4121,#4126,#100,.T.); +#5325=EDGE_CURVE('',#4121,#4025,#1470,.T.); +#5328=EDGE_CURVE('',#4318,#4321,#104,.T.); +#5330=EDGE_CURVE('',#4317,#4318,#108,.T.); +#5332=EDGE_CURVE('',#5151,#4317,#112,.T.); +#5334=EDGE_CURVE('',#5158,#5151,#116,.T.); +#5336=EDGE_CURVE('',#5158,#4124,#1364,.T.); +#5338=EDGE_CURVE('',#4124,#4128,#120,.T.); +#5340=EDGE_CURVE('',#4126,#4128,#124,.T.); +#5350=EDGE_CURVE('',#4297,#4298,#128,.T.); +#5354=EDGE_CURVE('',#4022,#4029,#132,.T.); +#5356=EDGE_CURVE('',#4029,#4030,#1394,.T.); +#5359=EDGE_CURVE('',#4301,#4302,#136,.T.); +#5361=EDGE_CURVE('',#4301,#4073,#140,.T.); +#5363=EDGE_CURVE('',#4073,#4074,#144,.T.); +#5365=EDGE_CURVE('',#4298,#4074,#148,.T.); +#5376=EDGE_CURVE('',#4292,#4298,#152,.T.); +#5378=EDGE_CURVE('',#4292,#4294,#1296,.T.); +#5391=EDGE_CURVE('',#4074,#4078,#468,.T.); +#5393=EDGE_CURVE('',#4078,#4292,#1268,.T.); +#5403=EDGE_CURVE('',#5150,#5142,#380,.T.); +#5405=EDGE_CURVE('',#5146,#5150,#1494,.T.); +#5407=EDGE_CURVE('',#5145,#5146,#1377,.T.); +#5409=EDGE_CURVE('',#5145,#5148,#384,.T.); +#5411=EDGE_CURVE('',#4210,#5148,#388,.T.); +#5413=EDGE_CURVE('',#4210,#4182,#392,.T.); +#5415=EDGE_CURVE('',#4177,#4182,#396,.T.); +#5417=EDGE_CURVE('',#4208,#4177,#400,.T.); +#5419=EDGE_CURVE('',#4194,#4208,#404,.T.); +#5421=EDGE_CURVE('',#4190,#4194,#408,.T.); +#5423=EDGE_CURVE('',#4190,#4100,#412,.T.); +#5425=EDGE_CURVE('',#4080,#4100,#1108,.T.); +#5427=EDGE_CURVE('',#4069,#4080,#416,.T.); +#5430=EDGE_CURVE('',#4082,#4070,#420,.T.); +#5432=EDGE_CURVE('',#4090,#4082,#1092,.T.); +#5434=EDGE_CURVE('',#4085,#4090,#1256,.T.); +#5436=EDGE_CURVE('',#4092,#4085,#424,.T.); +#5438=EDGE_CURVE('',#5181,#4092,#1172,.T.); +#5440=EDGE_CURVE('',#5181,#5182,#428,.T.); +#5442=EDGE_CURVE('',#5182,#5184,#432,.T.); +#5444=EDGE_CURVE('',#5186,#5184,#436,.T.); +#5446=EDGE_CURVE('',#5209,#5186,#1200,.T.); +#5448=EDGE_CURVE('',#5209,#5210,#440,.T.); +#5450=EDGE_CURVE('',#5210,#5212,#444,.T.); +#5452=EDGE_CURVE('',#5214,#5212,#448,.T.); +#5454=EDGE_CURVE('',#5189,#5214,#1224,.T.); +#5456=EDGE_CURVE('',#5189,#5190,#452,.T.); +#5458=EDGE_CURVE('',#5190,#5192,#456,.T.); +#5460=EDGE_CURVE('',#5194,#5192,#460,.T.); +#5462=EDGE_CURVE('',#4094,#5194,#1192,.T.); +#5464=EDGE_CURVE('',#4066,#4094,#464,.T.); +#5466=EDGE_CURVE('',#4065,#4066,#1240,.T.); +#5468=EDGE_CURVE('',#4065,#4078,#1272,.T.); +#5472=EDGE_CURVE('',#4076,#4073,#472,.T.); +#5474=EDGE_CURVE('',#4076,#4102,#1288,.T.); +#5476=EDGE_CURVE('',#4102,#4192,#476,.T.); +#5478=EDGE_CURVE('',#5170,#4192,#480,.T.); +#5480=EDGE_CURVE('',#5140,#5170,#484,.T.); +#5482=EDGE_CURVE('',#5140,#5105,#488,.T.); +#5484=EDGE_CURVE('',#5105,#5110,#492,.T.); +#5486=EDGE_CURVE('',#5142,#5110,#496,.T.); +#5490=EDGE_CURVE('',#4109,#4110,#172,.T.); +#5492=EDGE_CURVE('',#4109,#4117,#176,.T.); +#5494=EDGE_CURVE('',#4117,#4118,#180,.T.); +#5496=EDGE_CURVE('',#4110,#4118,#184,.T.); +#5500=EDGE_CURVE('',#4369,#4370,#188,.T.); +#5502=EDGE_CURVE('',#4369,#4377,#192,.T.); +#5504=EDGE_CURVE('',#4377,#4378,#196,.T.); +#5506=EDGE_CURVE('',#4370,#4378,#200,.T.); +#5510=EDGE_CURVE('',#4373,#4374,#204,.T.); +#5512=EDGE_CURVE('',#4373,#4381,#208,.T.); +#5514=EDGE_CURVE('',#4381,#4382,#212,.T.); +#5516=EDGE_CURVE('',#4374,#4382,#216,.T.); +#5520=EDGE_CURVE('',#4433,#4434,#220,.T.); +#5522=EDGE_CURVE('',#4433,#4441,#224,.T.); +#5524=EDGE_CURVE('',#4441,#4442,#228,.T.); +#5526=EDGE_CURVE('',#4434,#4442,#232,.T.); +#5530=EDGE_CURVE('',#4437,#4438,#236,.T.); +#5532=EDGE_CURVE('',#4437,#4445,#240,.T.); +#5534=EDGE_CURVE('',#4445,#4446,#244,.T.); +#5536=EDGE_CURVE('',#4438,#4446,#248,.T.); +#5540=EDGE_CURVE('',#4497,#4498,#252,.T.); +#5542=EDGE_CURVE('',#4497,#4505,#256,.T.); +#5544=EDGE_CURVE('',#4505,#4506,#260,.T.); +#5546=EDGE_CURVE('',#4498,#4506,#264,.T.); +#5550=EDGE_CURVE('',#4501,#4502,#268,.T.); +#5552=EDGE_CURVE('',#4501,#4509,#272,.T.); +#5554=EDGE_CURVE('',#4509,#4510,#276,.T.); +#5556=EDGE_CURVE('',#4502,#4510,#280,.T.); +#5560=EDGE_CURVE('',#4561,#4562,#284,.T.); +#5562=EDGE_CURVE('',#4561,#4569,#288,.T.); +#5564=EDGE_CURVE('',#4569,#4570,#292,.T.); +#5566=EDGE_CURVE('',#4562,#4570,#296,.T.); +#5570=EDGE_CURVE('',#4565,#4566,#300,.T.); +#5572=EDGE_CURVE('',#4565,#4573,#304,.T.); +#5574=EDGE_CURVE('',#4573,#4574,#308,.T.); +#5576=EDGE_CURVE('',#4566,#4574,#312,.T.); +#5580=EDGE_CURVE('',#4881,#4882,#316,.T.); +#5582=EDGE_CURVE('',#4881,#4889,#320,.T.); +#5584=EDGE_CURVE('',#4889,#4890,#324,.T.); +#5586=EDGE_CURVE('',#4882,#4890,#328,.T.); +#5590=EDGE_CURVE('',#4885,#4886,#332,.T.); +#5592=EDGE_CURVE('',#4885,#4893,#336,.T.); +#5594=EDGE_CURVE('',#4893,#4894,#340,.T.); +#5596=EDGE_CURVE('',#4886,#4894,#344,.T.); +#5600=EDGE_CURVE('',#5009,#5010,#348,.T.); +#5602=EDGE_CURVE('',#5009,#5017,#352,.T.); +#5604=EDGE_CURVE('',#5017,#5018,#356,.T.); +#5606=EDGE_CURVE('',#5010,#5018,#360,.T.); +#5610=EDGE_CURVE('',#5013,#5014,#364,.T.); +#5612=EDGE_CURVE('',#5013,#5021,#368,.T.); +#5614=EDGE_CURVE('',#5021,#5022,#372,.T.); +#5616=EDGE_CURVE('',#5014,#5022,#376,.T.); +#5620=EDGE_CURVE('',#4105,#4106,#156,.T.); +#5622=EDGE_CURVE('',#4105,#4113,#160,.T.); +#5624=EDGE_CURVE('',#4113,#4114,#164,.T.); +#5626=EDGE_CURVE('',#4106,#4114,#168,.T.); +#5636=EDGE_CURVE('',#4214,#4224,#500,.T.); +#5638=EDGE_CURVE('',#4214,#4109,#504,.T.); +#5641=EDGE_CURVE('',#4110,#4224,#508,.T.); +#5651=EDGE_CURVE('',#4230,#4240,#512,.T.); +#5653=EDGE_CURVE('',#4230,#4105,#516,.T.); +#5656=EDGE_CURVE('',#4106,#4240,#520,.T.); +#5666=EDGE_CURVE('',#4246,#4256,#524,.T.); +#5668=EDGE_CURVE('',#4246,#4154,#528,.T.); +#5670=EDGE_CURVE('',#4154,#4045,#533,.T.); +#5672=EDGE_CURVE('',#4045,#4046,#537,.T.); +#5674=EDGE_CURVE('',#4046,#4041,#672,.T.); +#5676=EDGE_CURVE('',#4041,#4042,#541,.T.); +#5678=EDGE_CURVE('',#4042,#4152,#546,.T.); +#5680=EDGE_CURVE('',#4152,#4256,#550,.T.); +#5690=EDGE_CURVE('',#4262,#4272,#554,.T.); +#5692=EDGE_CURVE('',#4262,#4150,#558,.T.); +#5694=EDGE_CURVE('',#4150,#4033,#563,.T.); +#5696=EDGE_CURVE('',#4033,#4034,#567,.T.); +#5698=EDGE_CURVE('',#4034,#4037,#656,.T.); +#5700=EDGE_CURVE('',#4037,#4038,#571,.T.); +#5702=EDGE_CURVE('',#4038,#4148,#576,.T.); +#5704=EDGE_CURVE('',#4148,#4272,#580,.T.); +#5715=EDGE_CURVE('',#4226,#4224,#584,.T.); +#5717=EDGE_CURVE('',#4218,#4226,#1638,.T.); +#5719=EDGE_CURVE('',#4218,#4214,#1650,.T.); +#5729=EDGE_CURVE('',#4222,#4224,#596,.T.); +#5731=EDGE_CURVE('',#4220,#4222,#588,.T.); +#5733=EDGE_CURVE('',#4220,#4226,#1642,.T.); +#5745=EDGE_CURVE('',#4033,#4049,#592,.T.); +#5747=EDGE_CURVE('',#4049,#4050,#1621,.T.); +#5749=EDGE_CURVE('',#4034,#4050,#660,.T.); +#5762=EDGE_CURVE('',#4118,#4222,#1554,.T.); +#5773=EDGE_CURVE('',#4150,#4158,#600,.T.); +#5775=EDGE_CURVE('',#4158,#4049,#1626,.T.); +#5786=EDGE_CURVE('',#4261,#4262,#604,.T.); +#5788=EDGE_CURVE('',#4261,#4158,#1630,.T.); +#5801=EDGE_CURVE('',#4266,#4262,#608,.T.); +#5803=EDGE_CURVE('',#4265,#4266,#1754,.T.); +#5805=EDGE_CURVE('',#4261,#4265,#612,.T.); +#5816=EDGE_CURVE('',#4274,#4272,#616,.T.); +#5818=EDGE_CURVE('',#4266,#4274,#1758,.T.); +#5829=EDGE_CURVE('',#4270,#4272,#624,.T.); +#5831=EDGE_CURVE('',#4268,#4270,#620,.T.); +#5833=EDGE_CURVE('',#4268,#4274,#1762,.T.); +#5846=EDGE_CURVE('',#4148,#4156,#628,.T.); +#5848=EDGE_CURVE('',#4156,#4270,#1608,.T.); +#5859=EDGE_CURVE('',#4038,#4054,#632,.T.); +#5861=EDGE_CURVE('',#4054,#4156,#1613,.T.); +#5873=EDGE_CURVE('',#4037,#4053,#668,.T.); +#5875=EDGE_CURVE('',#4053,#4054,#1617,.T.); +#5886=EDGE_CURVE('',#4213,#4214,#636,.T.); +#5888=EDGE_CURVE('',#4213,#4117,#1558,.T.); +#5900=EDGE_CURVE('',#4013,#4014,#640,.T.); +#5902=EDGE_CURVE('',#4014,#4016,#644,.T.); +#5904=EDGE_CURVE('',#4016,#4018,#648,.T.); +#5906=EDGE_CURVE('',#4018,#4013,#652,.T.); +#5912=EDGE_CURVE('',#4050,#4053,#664,.T.); +#5918=EDGE_CURVE('',#4046,#4062,#676,.T.); +#5920=EDGE_CURVE('',#4062,#4057,#680,.T.); +#5922=EDGE_CURVE('',#4041,#4057,#684,.T.); +#5926=EDGE_CURVE('',#4384,#4386,#688,.T.); +#5928=EDGE_CURVE('',#4384,#4392,#692,.T.); +#5930=EDGE_CURVE('',#4392,#4394,#696,.T.); +#5932=EDGE_CURVE('',#4386,#4394,#700,.T.); +#5936=EDGE_CURVE('',#4390,#4388,#704,.T.); +#5938=EDGE_CURVE('',#4390,#4398,#708,.T.); +#5940=EDGE_CURVE('',#4398,#4396,#712,.T.); +#5942=EDGE_CURVE('',#4388,#4396,#716,.T.); +#5946=EDGE_CURVE('',#4448,#4450,#720,.T.); +#5948=EDGE_CURVE('',#4448,#4456,#724,.T.); +#5950=EDGE_CURVE('',#4456,#4458,#728,.T.); +#5952=EDGE_CURVE('',#4450,#4458,#732,.T.); +#5956=EDGE_CURVE('',#4454,#4452,#736,.T.); +#5958=EDGE_CURVE('',#4454,#4462,#740,.T.); +#5960=EDGE_CURVE('',#4462,#4460,#744,.T.); +#5962=EDGE_CURVE('',#4452,#4460,#748,.T.); +#5966=EDGE_CURVE('',#4512,#4514,#752,.T.); +#5968=EDGE_CURVE('',#4512,#4520,#756,.T.); +#5970=EDGE_CURVE('',#4520,#4522,#760,.T.); +#5972=EDGE_CURVE('',#4514,#4522,#764,.T.); +#5976=EDGE_CURVE('',#4518,#4516,#768,.T.); +#5978=EDGE_CURVE('',#4518,#4526,#772,.T.); +#5980=EDGE_CURVE('',#4526,#4524,#776,.T.); +#5982=EDGE_CURVE('',#4516,#4524,#780,.T.); +#5986=EDGE_CURVE('',#4576,#4578,#784,.T.); +#5988=EDGE_CURVE('',#4576,#4584,#788,.T.); +#5990=EDGE_CURVE('',#4584,#4586,#792,.T.); +#5992=EDGE_CURVE('',#4578,#4586,#796,.T.); +#5996=EDGE_CURVE('',#4582,#4580,#800,.T.); +#5998=EDGE_CURVE('',#4582,#4590,#804,.T.); +#6000=EDGE_CURVE('',#4590,#4588,#808,.T.); +#6002=EDGE_CURVE('',#4580,#4588,#812,.T.); +#6006=EDGE_CURVE('',#4896,#4898,#816,.T.); +#6008=EDGE_CURVE('',#4896,#4904,#820,.T.); +#6010=EDGE_CURVE('',#4904,#4906,#824,.T.); +#6012=EDGE_CURVE('',#4898,#4906,#828,.T.); +#6016=EDGE_CURVE('',#4902,#4900,#832,.T.); +#6018=EDGE_CURVE('',#4902,#4910,#836,.T.); +#6020=EDGE_CURVE('',#4910,#4908,#840,.T.); +#6022=EDGE_CURVE('',#4900,#4908,#844,.T.); +#6026=EDGE_CURVE('',#5024,#5026,#848,.T.); +#6028=EDGE_CURVE('',#5024,#5032,#852,.T.); +#6030=EDGE_CURVE('',#5032,#5034,#856,.T.); +#6032=EDGE_CURVE('',#5026,#5034,#860,.T.); +#6036=EDGE_CURVE('',#5030,#5028,#864,.T.); +#6038=EDGE_CURVE('',#5030,#5038,#868,.T.); +#6040=EDGE_CURVE('',#5038,#5036,#872,.T.); +#6042=EDGE_CURVE('',#5028,#5036,#876,.T.); +#6046=EDGE_CURVE('',#4133,#4134,#881,.T.); +#6048=EDGE_CURVE('',#4134,#4133,#886,.T.); +#6052=EDGE_CURVE('',#4137,#4138,#891,.T.); +#6054=EDGE_CURVE('',#4138,#4137,#896,.T.); +#6065=EDGE_CURVE('',#4013,#4005,#900,.T.); +#6067=EDGE_CURVE('',#4005,#4006,#916,.T.); +#6069=EDGE_CURVE('',#4014,#4006,#912,.T.); +#6080=EDGE_CURVE('',#4018,#4010,#904,.T.); +#6082=EDGE_CURVE('',#4010,#4005,#920,.T.); +#6094=EDGE_CURVE('',#4016,#4008,#908,.T.); +#6096=EDGE_CURVE('',#4008,#4010,#924,.T.); +#6109=EDGE_CURVE('',#4006,#4008,#928,.T.); +#6120=EDGE_CURVE('',#5106,#5109,#932,.T.); +#6122=EDGE_CURVE('',#5136,#5106,#936,.T.); +#6124=EDGE_CURVE('',#5136,#4029,#1398,.T.); +#6129=EDGE_CURVE('',#4026,#4168,#1462,.T.); +#6131=EDGE_CURVE('',#4168,#4178,#940,.T.); +#6133=EDGE_CURVE('',#4178,#4181,#944,.T.); +#6135=EDGE_CURVE('',#4171,#4181,#948,.T.); +#6137=EDGE_CURVE('',#4171,#5138,#1430,.T.); +#6139=EDGE_CURVE('',#5138,#5109,#952,.T.); +#6155=EDGE_CURVE('',#5105,#5106,#956,.T.); +#6158=EDGE_CURVE('',#5109,#5110,#960,.T.); +#6171=EDGE_CURVE('',#5140,#5114,#1042,.T.); +#6173=EDGE_CURVE('',#5113,#5114,#964,.T.); +#6175=EDGE_CURVE('',#5113,#5136,#1402,.T.); +#6186=EDGE_CURVE('',#5152,#5156,#969,.T.); +#6188=EDGE_CURVE('',#4332,#5152,#1061,.T.); +#6190=EDGE_CURVE('',#4332,#4194,#973,.T.); +#6193=EDGE_CURVE('',#4208,#4184,#977,.T.); +#6195=EDGE_CURVE('',#4206,#4184,#981,.T.); +#6197=EDGE_CURVE('',#4204,#4206,#985,.T.); +#6199=EDGE_CURVE('',#4202,#4204,#989,.T.); +#6201=EDGE_CURVE('',#4200,#4202,#993,.T.); +#6203=EDGE_CURVE('',#4186,#4200,#997,.T.); +#6205=EDGE_CURVE('',#4210,#4186,#1001,.T.); +#6208=EDGE_CURVE('',#5148,#5156,#1005,.T.); +#6218=EDGE_CURVE('',#5164,#5165,#1010,.T.); +#6220=EDGE_CURVE('',#5150,#5164,#1014,.T.); +#6223=EDGE_CURVE('',#5142,#5118,#1018,.T.); +#6225=EDGE_CURVE('',#5118,#5122,#1022,.T.); +#6227=EDGE_CURVE('',#5122,#5126,#1026,.T.); +#6229=EDGE_CURVE('',#5126,#5130,#1030,.T.); +#6231=EDGE_CURVE('',#5130,#5134,#1034,.T.); +#6233=EDGE_CURVE('',#5134,#5114,#1038,.T.); +#6237=EDGE_CURVE('',#5168,#5170,#1328,.T.); +#6239=EDGE_CURVE('',#5165,#5168,#1072,.T.); +#6249=EDGE_CURVE('',#5151,#5152,#1049,.T.); +#6252=EDGE_CURVE('',#5155,#5156,#1486,.T.); +#6254=EDGE_CURVE('',#5155,#5158,#1369,.T.); +#6267=EDGE_CURVE('',#4313,#4317,#1053,.T.); +#6269=EDGE_CURVE('',#4189,#4313,#1316,.T.); +#6271=EDGE_CURVE('',#4189,#4332,#1057,.T.); +#6282=EDGE_CURVE('',#5165,#5166,#1068,.T.); +#6285=EDGE_CURVE('',#4334,#5168,#1076,.T.); +#6287=EDGE_CURVE('',#4308,#4334,#1320,.T.); +#6289=EDGE_CURVE('',#4308,#4310,#1300,.T.); +#6300=EDGE_CURVE('',#4313,#4314,#1100,.T.); +#6304=EDGE_CURVE('',#4314,#4318,#1080,.T.); +#6314=EDGE_CURVE('',#4282,#4284,#1084,.T.); +#6316=EDGE_CURVE('',#4090,#4284,#1088,.T.); +#6319=EDGE_CURVE('',#4082,#4282,#1096,.T.); +#6330=EDGE_CURVE('',#4080,#4314,#1104,.T.); +#6333=EDGE_CURVE('',#4313,#4100,#1112,.T.); +#6346=EDGE_CURVE('',#4284,#4286,#1116,.T.); +#6356=EDGE_CURVE('',#5218,#5200,#1216,.T.); +#6358=EDGE_CURVE('',#5206,#5218,#1120,.T.); +#6360=EDGE_CURVE('',#5205,#5206,#1124,.T.); +#6362=EDGE_CURVE('',#5216,#5205,#1128,.T.); +#6364=EDGE_CURVE('',#5198,#5216,#1208,.T.); +#6366=EDGE_CURVE('',#5174,#5198,#1132,.T.); +#6368=EDGE_CURVE('',#5173,#5174,#1136,.T.); +#6370=EDGE_CURVE('',#5196,#5173,#1140,.T.); +#6372=EDGE_CURVE('',#4096,#5196,#1176,.T.); +#6374=EDGE_CURVE('',#4086,#4096,#1144,.T.); +#6376=EDGE_CURVE('',#4284,#4086,#1260,.T.); +#6380=EDGE_CURVE('',#4291,#4288,#1148,.T.); +#6382=EDGE_CURVE('',#4088,#4291,#1248,.T.); +#6384=EDGE_CURVE('',#4088,#4098,#1152,.T.); +#6386=EDGE_CURVE('',#5202,#4098,#1184,.T.); +#6388=EDGE_CURVE('',#5178,#5202,#1156,.T.); +#6390=EDGE_CURVE('',#5177,#5178,#1160,.T.); +#6392=EDGE_CURVE('',#5200,#5177,#1164,.T.); +#6402=EDGE_CURVE('',#5181,#5196,#1168,.T.); +#6405=EDGE_CURVE('',#4096,#4092,#1236,.T.); +#6416=EDGE_CURVE('',#5194,#5202,#1180,.T.); +#6419=EDGE_CURVE('',#4098,#4094,#1188,.T.); +#6430=EDGE_CURVE('',#5209,#5216,#1196,.T.); +#6433=EDGE_CURVE('',#5186,#5198,#1204,.T.); +#6444=EDGE_CURVE('',#5214,#5218,#1212,.T.); +#6447=EDGE_CURVE('',#5189,#5200,#1220,.T.); +#6461=EDGE_CURVE('',#5182,#5173,#1228,.T.); +#6474=EDGE_CURVE('',#5184,#5174,#1232,.T.); +#6496=EDGE_CURVE('',#4085,#4086,#1252,.T.); +#6510=EDGE_CURVE('',#4066,#4088,#1244,.T.); +#6513=EDGE_CURVE('',#4065,#4291,#1276,.T.); +#6547=EDGE_CURVE('',#4291,#4292,#1264,.T.); +#6560=EDGE_CURVE('',#4306,#4308,#1280,.T.); +#6562=EDGE_CURVE('',#4308,#4102,#1284,.T.); +#6565=EDGE_CURVE('',#4076,#4306,#1292,.T.); +#6588=EDGE_CURVE('',#4306,#4304,#1304,.T.); +#6603=EDGE_CURVE('',#4301,#4306,#1308,.T.); +#6625=EDGE_CURVE('',#4189,#4190,#1312,.T.); +#6641=EDGE_CURVE('',#4334,#4192,#1324,.T.); +#6676=EDGE_CURVE('',#5192,#5178,#1332,.T.); +#6689=EDGE_CURVE('',#5190,#5177,#1336,.T.); +#6716=EDGE_CURVE('',#5210,#5205,#1340,.T.); +#6729=EDGE_CURVE('',#5212,#5206,#1344,.T.); +#6763=EDGE_CURVE('',#4314,#4322,#1352,.T.); +#6788=EDGE_CURVE('',#5161,#5162,#1386,.T.); +#6790=EDGE_CURVE('',#5164,#5162,#1490,.T.); +#6803=EDGE_CURVE('',#4121,#4122,#1356,.T.); +#6805=EDGE_CURVE('',#4122,#4124,#1360,.T.); +#6809=EDGE_CURVE('',#5155,#5145,#1373,.T.); +#6812=EDGE_CURVE('',#5162,#5146,#1381,.T.); +#6819=EDGE_CURVE('',#5133,#5113,#1406,.T.); +#6821=EDGE_CURVE('',#5129,#5133,#1410,.T.); +#6823=EDGE_CURVE('',#5125,#5129,#1414,.T.); +#6825=EDGE_CURVE('',#5121,#5125,#1418,.T.); +#6827=EDGE_CURVE('',#5117,#5121,#1422,.T.); +#6829=EDGE_CURVE('',#5138,#5117,#1426,.T.); +#6832=EDGE_CURVE('',#4171,#4172,#1434,.T.); +#6834=EDGE_CURVE('',#4172,#4174,#1438,.T.); +#6836=EDGE_CURVE('',#4174,#4196,#1442,.T.); +#6838=EDGE_CURVE('',#4196,#4198,#1446,.T.); +#6840=EDGE_CURVE('',#4198,#4165,#1450,.T.); +#6842=EDGE_CURVE('',#4165,#4166,#1454,.T.); +#6844=EDGE_CURVE('',#4166,#4168,#1458,.T.); +#6859=EDGE_CURVE('',#4126,#4130,#1478,.T.); +#6861=EDGE_CURVE('',#4122,#4130,#1482,.T.); +#6872=EDGE_CURVE('',#4130,#4128,#1474,.T.); +#6921=EDGE_CURVE('',#5133,#5134,#1498,.T.); +#6932=EDGE_CURVE('',#5129,#5130,#1502,.T.); +#6945=EDGE_CURVE('',#5125,#5126,#1506,.T.); +#6958=EDGE_CURVE('',#5121,#5122,#1510,.T.); +#6971=EDGE_CURVE('',#5117,#5118,#1514,.T.); +#7000=EDGE_CURVE('',#4181,#4182,#1522,.T.); +#7004=EDGE_CURVE('',#4172,#4186,#1546,.T.); +#7014=EDGE_CURVE('',#4177,#4178,#1518,.T.); +#7028=EDGE_CURVE('',#4166,#4184,#1526,.T.); +#7043=EDGE_CURVE('',#4165,#4206,#1530,.T.); +#7056=EDGE_CURVE('',#4198,#4204,#1534,.T.); +#7069=EDGE_CURVE('',#4196,#4202,#1538,.T.); +#7082=EDGE_CURVE('',#4174,#4200,#1542,.T.); +#7106=EDGE_CURVE('',#4222,#4213,#1550,.T.); +#7119=EDGE_CURVE('',#4238,#4229,#1562,.T.); +#7121=EDGE_CURVE('',#4114,#4238,#1566,.T.); +#7124=EDGE_CURVE('',#4229,#4113,#1570,.T.); +#7134=EDGE_CURVE('',#4254,#4245,#1574,.T.); +#7136=EDGE_CURVE('',#4160,#4254,#1578,.T.); +#7138=EDGE_CURVE('',#4058,#4160,#1583,.T.); +#7140=EDGE_CURVE('',#4057,#4058,#1587,.T.); +#7143=EDGE_CURVE('',#4061,#4062,#1591,.T.); +#7145=EDGE_CURVE('',#4162,#4061,#1596,.T.); +#7147=EDGE_CURVE('',#4245,#4162,#1600,.T.); +#7157=EDGE_CURVE('',#4270,#4261,#1604,.T.); +#7174=EDGE_CURVE('',#4220,#4217,#1646,.T.); +#7178=EDGE_CURVE('',#4213,#4217,#1654,.T.); +#7188=EDGE_CURVE('',#4217,#4218,#1634,.T.); +#7213=EDGE_CURVE('',#4236,#4233,#1674,.T.); +#7215=EDGE_CURVE('',#4236,#4238,#1742,.T.); +#7218=EDGE_CURVE('',#4233,#4229,#1658,.T.); +#7228=EDGE_CURVE('',#4233,#4234,#1662,.T.); +#7230=EDGE_CURVE('',#4234,#4242,#1666,.T.); +#7232=EDGE_CURVE('',#4236,#4242,#1670,.T.); +#7243=EDGE_CURVE('',#4229,#4230,#1682,.T.); +#7245=EDGE_CURVE('',#4234,#4230,#1678,.T.); +#7260=EDGE_CURVE('',#4042,#4058,#1686,.T.); +#7285=EDGE_CURVE('',#4152,#4160,#1694,.T.); +#7295=EDGE_CURVE('',#4254,#4256,#1690,.T.); +#7309=EDGE_CURVE('',#4252,#4254,#1698,.T.); +#7311=EDGE_CURVE('',#4252,#4258,#1710,.T.); +#7313=EDGE_CURVE('',#4258,#4256,#1750,.T.); +#7323=EDGE_CURVE('',#4252,#4249,#1714,.T.); +#7327=EDGE_CURVE('',#4245,#4249,#1722,.T.); +#7337=EDGE_CURVE('',#4249,#4250,#1702,.T.); +#7339=EDGE_CURVE('',#4250,#4258,#1706,.T.); +#7351=EDGE_CURVE('',#4245,#4246,#1726,.T.); +#7353=EDGE_CURVE('',#4250,#4246,#1718,.T.); +#7367=EDGE_CURVE('',#4154,#4162,#1730,.T.); +#7381=EDGE_CURVE('',#4045,#4061,#1734,.T.); +#7403=EDGE_CURVE('',#4238,#4240,#1738,.T.); +#7419=EDGE_CURVE('',#4242,#4240,#1746,.T.); +#7453=EDGE_CURVE('',#4268,#4265,#1766,.T.); +#7478=EDGE_CURVE('',#4594,#4604,#1770,.T.); +#7480=EDGE_CURVE('',#4594,#4373,#1774,.T.); +#7483=EDGE_CURVE('',#4374,#4604,#1778,.T.); +#7493=EDGE_CURVE('',#4610,#4620,#1782,.T.); +#7495=EDGE_CURVE('',#4610,#4369,#1786,.T.); +#7498=EDGE_CURVE('',#4370,#4620,#1790,.T.); +#7508=EDGE_CURVE('',#4626,#4636,#1794,.T.); +#7510=EDGE_CURVE('',#4626,#4349,#1798,.T.); +#7512=EDGE_CURVE('',#4349,#4350,#1803,.T.); +#7514=EDGE_CURVE('',#4350,#4390,#1807,.T.); +#7517=EDGE_CURVE('',#4388,#4345,#1811,.T.); +#7519=EDGE_CURVE('',#4345,#4346,#1816,.T.); +#7521=EDGE_CURVE('',#4346,#4636,#1820,.T.); +#7531=EDGE_CURVE('',#4642,#4652,#1824,.T.); +#7533=EDGE_CURVE('',#4642,#4341,#1828,.T.); +#7535=EDGE_CURVE('',#4341,#4342,#1833,.T.); +#7537=EDGE_CURVE('',#4342,#4384,#1837,.T.); +#7540=EDGE_CURVE('',#4386,#4337,#1841,.T.); +#7542=EDGE_CURVE('',#4337,#4338,#1846,.T.); +#7544=EDGE_CURVE('',#4338,#4652,#1850,.T.); +#7555=EDGE_CURVE('',#4606,#4604,#1854,.T.); +#7557=EDGE_CURVE('',#4598,#4606,#1998,.T.); +#7559=EDGE_CURVE('',#4598,#4594,#2010,.T.); +#7569=EDGE_CURVE('',#4602,#4604,#1866,.T.); +#7571=EDGE_CURVE('',#4600,#4602,#1858,.T.); +#7573=EDGE_CURVE('',#4600,#4606,#2002,.T.); +#7585=EDGE_CURVE('',#4342,#4358,#1862,.T.); +#7587=EDGE_CURVE('',#4358,#4392,#1981,.T.); +#7601=EDGE_CURVE('',#4382,#4602,#1914,.T.); +#7612=EDGE_CURVE('',#4341,#4357,#1870,.T.); +#7614=EDGE_CURVE('',#4357,#4358,#1986,.T.); +#7625=EDGE_CURVE('',#4641,#4642,#1874,.T.); +#7627=EDGE_CURVE('',#4641,#4357,#1990,.T.); +#7640=EDGE_CURVE('',#4646,#4642,#1878,.T.); +#7642=EDGE_CURVE('',#4645,#4646,#2114,.T.); +#7644=EDGE_CURVE('',#4641,#4645,#1882,.T.); +#7655=EDGE_CURVE('',#4654,#4652,#1886,.T.); +#7657=EDGE_CURVE('',#4646,#4654,#2118,.T.); +#7668=EDGE_CURVE('',#4650,#4652,#1894,.T.); +#7670=EDGE_CURVE('',#4648,#4650,#1890,.T.); +#7672=EDGE_CURVE('',#4648,#4654,#2122,.T.); +#7685=EDGE_CURVE('',#4338,#4354,#1898,.T.); +#7687=EDGE_CURVE('',#4354,#4650,#1968,.T.); +#7698=EDGE_CURVE('',#4337,#4353,#1902,.T.); +#7700=EDGE_CURVE('',#4353,#4354,#1973,.T.); +#7713=EDGE_CURVE('',#4394,#4353,#1977,.T.); +#7724=EDGE_CURVE('',#4593,#4594,#1906,.T.); +#7726=EDGE_CURVE('',#4593,#4381,#1918,.T.); +#7738=EDGE_CURVE('',#4602,#4593,#1910,.T.); +#7751=EDGE_CURVE('',#4618,#4609,#1922,.T.); +#7753=EDGE_CURVE('',#4378,#4618,#1926,.T.); +#7756=EDGE_CURVE('',#4609,#4377,#1930,.T.); +#7766=EDGE_CURVE('',#4634,#4625,#1934,.T.); +#7768=EDGE_CURVE('',#4362,#4634,#1938,.T.); +#7770=EDGE_CURVE('',#4361,#4362,#1943,.T.); +#7772=EDGE_CURVE('',#4396,#4361,#1947,.T.); +#7775=EDGE_CURVE('',#4366,#4398,#1951,.T.); +#7777=EDGE_CURVE('',#4365,#4366,#1956,.T.); +#7779=EDGE_CURVE('',#4625,#4365,#1960,.T.); +#7789=EDGE_CURVE('',#4650,#4641,#1964,.T.); +#7806=EDGE_CURVE('',#4600,#4597,#2006,.T.); +#7810=EDGE_CURVE('',#4593,#4597,#2014,.T.); +#7820=EDGE_CURVE('',#4597,#4598,#1994,.T.); +#7845=EDGE_CURVE('',#4616,#4613,#2034,.T.); +#7847=EDGE_CURVE('',#4616,#4618,#2102,.T.); +#7850=EDGE_CURVE('',#4613,#4609,#2018,.T.); +#7860=EDGE_CURVE('',#4613,#4614,#2022,.T.); +#7862=EDGE_CURVE('',#4614,#4622,#2026,.T.); +#7864=EDGE_CURVE('',#4616,#4622,#2030,.T.); +#7875=EDGE_CURVE('',#4609,#4610,#2042,.T.); +#7877=EDGE_CURVE('',#4614,#4610,#2038,.T.); +#7892=EDGE_CURVE('',#4345,#4361,#2046,.T.); +#7917=EDGE_CURVE('',#4346,#4362,#2054,.T.); +#7927=EDGE_CURVE('',#4634,#4636,#2050,.T.); +#7941=EDGE_CURVE('',#4632,#4634,#2058,.T.); +#7943=EDGE_CURVE('',#4632,#4638,#2070,.T.); +#7945=EDGE_CURVE('',#4638,#4636,#2110,.T.); +#7955=EDGE_CURVE('',#4632,#4629,#2074,.T.); +#7959=EDGE_CURVE('',#4625,#4629,#2082,.T.); +#7969=EDGE_CURVE('',#4629,#4630,#2062,.T.); +#7971=EDGE_CURVE('',#4630,#4638,#2066,.T.); +#7983=EDGE_CURVE('',#4625,#4626,#2086,.T.); +#7985=EDGE_CURVE('',#4630,#4626,#2078,.T.); +#7999=EDGE_CURVE('',#4349,#4365,#2090,.T.); +#8013=EDGE_CURVE('',#4350,#4366,#2094,.T.); +#8035=EDGE_CURVE('',#4618,#4620,#2098,.T.); +#8051=EDGE_CURVE('',#4622,#4620,#2106,.T.); +#8085=EDGE_CURVE('',#4648,#4645,#2126,.T.); +#8110=EDGE_CURVE('',#4658,#4668,#2130,.T.); +#8112=EDGE_CURVE('',#4658,#4437,#2134,.T.); +#8115=EDGE_CURVE('',#4438,#4668,#2138,.T.); +#8125=EDGE_CURVE('',#4674,#4684,#2142,.T.); +#8127=EDGE_CURVE('',#4674,#4433,#2146,.T.); +#8130=EDGE_CURVE('',#4434,#4684,#2150,.T.); +#8140=EDGE_CURVE('',#4690,#4700,#2154,.T.); +#8142=EDGE_CURVE('',#4690,#4413,#2158,.T.); +#8144=EDGE_CURVE('',#4413,#4414,#2163,.T.); +#8146=EDGE_CURVE('',#4414,#4454,#2167,.T.); +#8149=EDGE_CURVE('',#4452,#4409,#2171,.T.); +#8151=EDGE_CURVE('',#4409,#4410,#2176,.T.); +#8153=EDGE_CURVE('',#4410,#4700,#2180,.T.); +#8163=EDGE_CURVE('',#4706,#4716,#2184,.T.); +#8165=EDGE_CURVE('',#4706,#4405,#2188,.T.); +#8167=EDGE_CURVE('',#4405,#4406,#2193,.T.); +#8169=EDGE_CURVE('',#4406,#4448,#2197,.T.); +#8172=EDGE_CURVE('',#4450,#4401,#2201,.T.); +#8174=EDGE_CURVE('',#4401,#4402,#2206,.T.); +#8176=EDGE_CURVE('',#4402,#4716,#2210,.T.); +#8187=EDGE_CURVE('',#4670,#4668,#2214,.T.); +#8189=EDGE_CURVE('',#4662,#4670,#2358,.T.); +#8191=EDGE_CURVE('',#4662,#4658,#2370,.T.); +#8201=EDGE_CURVE('',#4666,#4668,#2226,.T.); +#8203=EDGE_CURVE('',#4664,#4666,#2218,.T.); +#8205=EDGE_CURVE('',#4664,#4670,#2362,.T.); +#8217=EDGE_CURVE('',#4406,#4422,#2222,.T.); +#8219=EDGE_CURVE('',#4422,#4456,#2341,.T.); +#8233=EDGE_CURVE('',#4446,#4666,#2274,.T.); +#8244=EDGE_CURVE('',#4405,#4421,#2230,.T.); +#8246=EDGE_CURVE('',#4421,#4422,#2346,.T.); +#8257=EDGE_CURVE('',#4705,#4706,#2234,.T.); +#8259=EDGE_CURVE('',#4705,#4421,#2350,.T.); +#8272=EDGE_CURVE('',#4710,#4706,#2238,.T.); +#8274=EDGE_CURVE('',#4709,#4710,#2474,.T.); +#8276=EDGE_CURVE('',#4705,#4709,#2242,.T.); +#8287=EDGE_CURVE('',#4718,#4716,#2246,.T.); +#8289=EDGE_CURVE('',#4710,#4718,#2478,.T.); +#8300=EDGE_CURVE('',#4714,#4716,#2254,.T.); +#8302=EDGE_CURVE('',#4712,#4714,#2250,.T.); +#8304=EDGE_CURVE('',#4712,#4718,#2482,.T.); +#8317=EDGE_CURVE('',#4402,#4418,#2258,.T.); +#8319=EDGE_CURVE('',#4418,#4714,#2328,.T.); +#8330=EDGE_CURVE('',#4401,#4417,#2262,.T.); +#8332=EDGE_CURVE('',#4417,#4418,#2333,.T.); +#8345=EDGE_CURVE('',#4458,#4417,#2337,.T.); +#8356=EDGE_CURVE('',#4657,#4658,#2266,.T.); +#8358=EDGE_CURVE('',#4657,#4445,#2278,.T.); +#8370=EDGE_CURVE('',#4666,#4657,#2270,.T.); +#8383=EDGE_CURVE('',#4682,#4673,#2282,.T.); +#8385=EDGE_CURVE('',#4442,#4682,#2286,.T.); +#8388=EDGE_CURVE('',#4673,#4441,#2290,.T.); +#8398=EDGE_CURVE('',#4698,#4689,#2294,.T.); +#8400=EDGE_CURVE('',#4426,#4698,#2298,.T.); +#8402=EDGE_CURVE('',#4425,#4426,#2303,.T.); +#8404=EDGE_CURVE('',#4460,#4425,#2307,.T.); +#8407=EDGE_CURVE('',#4430,#4462,#2311,.T.); +#8409=EDGE_CURVE('',#4429,#4430,#2316,.T.); +#8411=EDGE_CURVE('',#4689,#4429,#2320,.T.); +#8421=EDGE_CURVE('',#4714,#4705,#2324,.T.); +#8438=EDGE_CURVE('',#4664,#4661,#2366,.T.); +#8442=EDGE_CURVE('',#4657,#4661,#2374,.T.); +#8452=EDGE_CURVE('',#4661,#4662,#2354,.T.); +#8477=EDGE_CURVE('',#4680,#4677,#2394,.T.); +#8479=EDGE_CURVE('',#4680,#4682,#2462,.T.); +#8482=EDGE_CURVE('',#4677,#4673,#2378,.T.); +#8492=EDGE_CURVE('',#4677,#4678,#2382,.T.); +#8494=EDGE_CURVE('',#4678,#4686,#2386,.T.); +#8496=EDGE_CURVE('',#4680,#4686,#2390,.T.); +#8507=EDGE_CURVE('',#4673,#4674,#2402,.T.); +#8509=EDGE_CURVE('',#4678,#4674,#2398,.T.); +#8524=EDGE_CURVE('',#4409,#4425,#2406,.T.); +#8549=EDGE_CURVE('',#4410,#4426,#2414,.T.); +#8559=EDGE_CURVE('',#4698,#4700,#2410,.T.); +#8573=EDGE_CURVE('',#4696,#4698,#2418,.T.); +#8575=EDGE_CURVE('',#4696,#4702,#2430,.T.); +#8577=EDGE_CURVE('',#4702,#4700,#2470,.T.); +#8587=EDGE_CURVE('',#4696,#4693,#2434,.T.); +#8591=EDGE_CURVE('',#4689,#4693,#2442,.T.); +#8601=EDGE_CURVE('',#4693,#4694,#2422,.T.); +#8603=EDGE_CURVE('',#4694,#4702,#2426,.T.); +#8615=EDGE_CURVE('',#4689,#4690,#2446,.T.); +#8617=EDGE_CURVE('',#4694,#4690,#2438,.T.); +#8631=EDGE_CURVE('',#4413,#4429,#2450,.T.); +#8645=EDGE_CURVE('',#4414,#4430,#2454,.T.); +#8667=EDGE_CURVE('',#4682,#4684,#2458,.T.); +#8683=EDGE_CURVE('',#4686,#4684,#2466,.T.); +#8717=EDGE_CURVE('',#4712,#4709,#2486,.T.); +#8742=EDGE_CURVE('',#4722,#4732,#2490,.T.); +#8744=EDGE_CURVE('',#4722,#4501,#2494,.T.); +#8747=EDGE_CURVE('',#4502,#4732,#2498,.T.); +#8757=EDGE_CURVE('',#4738,#4748,#2502,.T.); +#8759=EDGE_CURVE('',#4738,#4497,#2506,.T.); +#8762=EDGE_CURVE('',#4498,#4748,#2510,.T.); +#8772=EDGE_CURVE('',#4754,#4764,#2514,.T.); +#8774=EDGE_CURVE('',#4754,#4477,#2518,.T.); +#8776=EDGE_CURVE('',#4477,#4478,#2523,.T.); +#8778=EDGE_CURVE('',#4478,#4518,#2527,.T.); +#8781=EDGE_CURVE('',#4516,#4473,#2531,.T.); +#8783=EDGE_CURVE('',#4473,#4474,#2536,.T.); +#8785=EDGE_CURVE('',#4474,#4764,#2540,.T.); +#8795=EDGE_CURVE('',#4770,#4780,#2544,.T.); +#8797=EDGE_CURVE('',#4770,#4469,#2548,.T.); +#8799=EDGE_CURVE('',#4469,#4470,#2553,.T.); +#8801=EDGE_CURVE('',#4470,#4512,#2557,.T.); +#8804=EDGE_CURVE('',#4514,#4465,#2561,.T.); +#8806=EDGE_CURVE('',#4465,#4466,#2566,.T.); +#8808=EDGE_CURVE('',#4466,#4780,#2570,.T.); +#8819=EDGE_CURVE('',#4734,#4732,#2574,.T.); +#8821=EDGE_CURVE('',#4726,#4734,#2718,.T.); +#8823=EDGE_CURVE('',#4726,#4722,#2730,.T.); +#8833=EDGE_CURVE('',#4730,#4732,#2586,.T.); +#8835=EDGE_CURVE('',#4728,#4730,#2578,.T.); +#8837=EDGE_CURVE('',#4728,#4734,#2722,.T.); +#8849=EDGE_CURVE('',#4470,#4486,#2582,.T.); +#8851=EDGE_CURVE('',#4486,#4520,#2701,.T.); +#8865=EDGE_CURVE('',#4510,#4730,#2634,.T.); +#8876=EDGE_CURVE('',#4469,#4485,#2590,.T.); +#8878=EDGE_CURVE('',#4485,#4486,#2706,.T.); +#8889=EDGE_CURVE('',#4769,#4770,#2594,.T.); +#8891=EDGE_CURVE('',#4769,#4485,#2710,.T.); +#8904=EDGE_CURVE('',#4774,#4770,#2598,.T.); +#8906=EDGE_CURVE('',#4773,#4774,#2834,.T.); +#8908=EDGE_CURVE('',#4769,#4773,#2602,.T.); +#8919=EDGE_CURVE('',#4782,#4780,#2606,.T.); +#8921=EDGE_CURVE('',#4774,#4782,#2838,.T.); +#8932=EDGE_CURVE('',#4778,#4780,#2614,.T.); +#8934=EDGE_CURVE('',#4776,#4778,#2610,.T.); +#8936=EDGE_CURVE('',#4776,#4782,#2842,.T.); +#8949=EDGE_CURVE('',#4466,#4482,#2618,.T.); +#8951=EDGE_CURVE('',#4482,#4778,#2688,.T.); +#8962=EDGE_CURVE('',#4465,#4481,#2622,.T.); +#8964=EDGE_CURVE('',#4481,#4482,#2693,.T.); +#8977=EDGE_CURVE('',#4522,#4481,#2697,.T.); +#8988=EDGE_CURVE('',#4721,#4722,#2626,.T.); +#8990=EDGE_CURVE('',#4721,#4509,#2638,.T.); +#9002=EDGE_CURVE('',#4730,#4721,#2630,.T.); +#9015=EDGE_CURVE('',#4746,#4737,#2642,.T.); +#9017=EDGE_CURVE('',#4506,#4746,#2646,.T.); +#9020=EDGE_CURVE('',#4737,#4505,#2650,.T.); +#9030=EDGE_CURVE('',#4762,#4753,#2654,.T.); +#9032=EDGE_CURVE('',#4490,#4762,#2658,.T.); +#9034=EDGE_CURVE('',#4489,#4490,#2663,.T.); +#9036=EDGE_CURVE('',#4524,#4489,#2667,.T.); +#9039=EDGE_CURVE('',#4494,#4526,#2671,.T.); +#9041=EDGE_CURVE('',#4493,#4494,#2676,.T.); +#9043=EDGE_CURVE('',#4753,#4493,#2680,.T.); +#9053=EDGE_CURVE('',#4778,#4769,#2684,.T.); +#9070=EDGE_CURVE('',#4728,#4725,#2726,.T.); +#9074=EDGE_CURVE('',#4721,#4725,#2734,.T.); +#9084=EDGE_CURVE('',#4725,#4726,#2714,.T.); +#9109=EDGE_CURVE('',#4744,#4741,#2754,.T.); +#9111=EDGE_CURVE('',#4744,#4746,#2822,.T.); +#9114=EDGE_CURVE('',#4741,#4737,#2738,.T.); +#9124=EDGE_CURVE('',#4741,#4742,#2742,.T.); +#9126=EDGE_CURVE('',#4742,#4750,#2746,.T.); +#9128=EDGE_CURVE('',#4744,#4750,#2750,.T.); +#9139=EDGE_CURVE('',#4737,#4738,#2762,.T.); +#9141=EDGE_CURVE('',#4742,#4738,#2758,.T.); +#9156=EDGE_CURVE('',#4473,#4489,#2766,.T.); +#9181=EDGE_CURVE('',#4474,#4490,#2774,.T.); +#9191=EDGE_CURVE('',#4762,#4764,#2770,.T.); +#9205=EDGE_CURVE('',#4760,#4762,#2778,.T.); +#9207=EDGE_CURVE('',#4760,#4766,#2790,.T.); +#9209=EDGE_CURVE('',#4766,#4764,#2830,.T.); +#9219=EDGE_CURVE('',#4760,#4757,#2794,.T.); +#9223=EDGE_CURVE('',#4753,#4757,#2802,.T.); +#9233=EDGE_CURVE('',#4757,#4758,#2782,.T.); +#9235=EDGE_CURVE('',#4758,#4766,#2786,.T.); +#9247=EDGE_CURVE('',#4753,#4754,#2806,.T.); +#9249=EDGE_CURVE('',#4758,#4754,#2798,.T.); +#9263=EDGE_CURVE('',#4477,#4493,#2810,.T.); +#9277=EDGE_CURVE('',#4478,#4494,#2814,.T.); +#9299=EDGE_CURVE('',#4746,#4748,#2818,.T.); +#9315=EDGE_CURVE('',#4750,#4748,#2826,.T.); +#9349=EDGE_CURVE('',#4776,#4773,#2846,.T.); +#9374=EDGE_CURVE('',#4786,#4796,#2850,.T.); +#9376=EDGE_CURVE('',#4786,#4565,#2854,.T.); +#9379=EDGE_CURVE('',#4566,#4796,#2858,.T.); +#9389=EDGE_CURVE('',#4802,#4812,#2862,.T.); +#9391=EDGE_CURVE('',#4802,#4561,#2866,.T.); +#9394=EDGE_CURVE('',#4562,#4812,#2870,.T.); +#9404=EDGE_CURVE('',#4818,#4828,#2874,.T.); +#9406=EDGE_CURVE('',#4818,#4541,#2878,.T.); +#9408=EDGE_CURVE('',#4541,#4542,#2883,.T.); +#9410=EDGE_CURVE('',#4542,#4582,#2887,.T.); +#9413=EDGE_CURVE('',#4580,#4537,#2891,.T.); +#9415=EDGE_CURVE('',#4537,#4538,#2896,.T.); +#9417=EDGE_CURVE('',#4538,#4828,#2900,.T.); +#9427=EDGE_CURVE('',#4834,#4844,#2904,.T.); +#9429=EDGE_CURVE('',#4834,#4533,#2908,.T.); +#9431=EDGE_CURVE('',#4533,#4534,#2913,.T.); +#9433=EDGE_CURVE('',#4534,#4576,#2917,.T.); +#9436=EDGE_CURVE('',#4578,#4529,#2921,.T.); +#9438=EDGE_CURVE('',#4529,#4530,#2926,.T.); +#9440=EDGE_CURVE('',#4530,#4844,#2930,.T.); +#9451=EDGE_CURVE('',#4798,#4796,#2934,.T.); +#9453=EDGE_CURVE('',#4790,#4798,#3078,.T.); +#9455=EDGE_CURVE('',#4790,#4786,#3090,.T.); +#9465=EDGE_CURVE('',#4794,#4796,#2946,.T.); +#9467=EDGE_CURVE('',#4792,#4794,#2938,.T.); +#9469=EDGE_CURVE('',#4792,#4798,#3082,.T.); +#9481=EDGE_CURVE('',#4534,#4550,#2942,.T.); +#9483=EDGE_CURVE('',#4550,#4584,#3061,.T.); +#9497=EDGE_CURVE('',#4574,#4794,#2994,.T.); +#9508=EDGE_CURVE('',#4533,#4549,#2950,.T.); +#9510=EDGE_CURVE('',#4549,#4550,#3066,.T.); +#9521=EDGE_CURVE('',#4833,#4834,#2954,.T.); +#9523=EDGE_CURVE('',#4833,#4549,#3070,.T.); +#9536=EDGE_CURVE('',#4838,#4834,#2958,.T.); +#9538=EDGE_CURVE('',#4837,#4838,#3194,.T.); +#9540=EDGE_CURVE('',#4833,#4837,#2962,.T.); +#9551=EDGE_CURVE('',#4846,#4844,#2966,.T.); +#9553=EDGE_CURVE('',#4838,#4846,#3198,.T.); +#9564=EDGE_CURVE('',#4842,#4844,#2974,.T.); +#9566=EDGE_CURVE('',#4840,#4842,#2970,.T.); +#9568=EDGE_CURVE('',#4840,#4846,#3202,.T.); +#9581=EDGE_CURVE('',#4530,#4546,#2978,.T.); +#9583=EDGE_CURVE('',#4546,#4842,#3048,.T.); +#9594=EDGE_CURVE('',#4529,#4545,#2982,.T.); +#9596=EDGE_CURVE('',#4545,#4546,#3053,.T.); +#9609=EDGE_CURVE('',#4586,#4545,#3057,.T.); +#9620=EDGE_CURVE('',#4785,#4786,#2986,.T.); +#9622=EDGE_CURVE('',#4785,#4573,#2998,.T.); +#9634=EDGE_CURVE('',#4794,#4785,#2990,.T.); +#9647=EDGE_CURVE('',#4810,#4801,#3002,.T.); +#9649=EDGE_CURVE('',#4570,#4810,#3006,.T.); +#9652=EDGE_CURVE('',#4801,#4569,#3010,.T.); +#9662=EDGE_CURVE('',#4826,#4817,#3014,.T.); +#9664=EDGE_CURVE('',#4554,#4826,#3018,.T.); +#9666=EDGE_CURVE('',#4553,#4554,#3023,.T.); +#9668=EDGE_CURVE('',#4588,#4553,#3027,.T.); +#9671=EDGE_CURVE('',#4558,#4590,#3031,.T.); +#9673=EDGE_CURVE('',#4557,#4558,#3036,.T.); +#9675=EDGE_CURVE('',#4817,#4557,#3040,.T.); +#9685=EDGE_CURVE('',#4842,#4833,#3044,.T.); +#9702=EDGE_CURVE('',#4792,#4789,#3086,.T.); +#9706=EDGE_CURVE('',#4785,#4789,#3094,.T.); +#9716=EDGE_CURVE('',#4789,#4790,#3074,.T.); +#9741=EDGE_CURVE('',#4808,#4805,#3114,.T.); +#9743=EDGE_CURVE('',#4808,#4810,#3182,.T.); +#9746=EDGE_CURVE('',#4805,#4801,#3098,.T.); +#9756=EDGE_CURVE('',#4805,#4806,#3102,.T.); +#9758=EDGE_CURVE('',#4806,#4814,#3106,.T.); +#9760=EDGE_CURVE('',#4808,#4814,#3110,.T.); +#9771=EDGE_CURVE('',#4801,#4802,#3122,.T.); +#9773=EDGE_CURVE('',#4806,#4802,#3118,.T.); +#9788=EDGE_CURVE('',#4537,#4553,#3126,.T.); +#9813=EDGE_CURVE('',#4538,#4554,#3134,.T.); +#9823=EDGE_CURVE('',#4826,#4828,#3130,.T.); +#9837=EDGE_CURVE('',#4824,#4826,#3138,.T.); +#9839=EDGE_CURVE('',#4824,#4830,#3150,.T.); +#9841=EDGE_CURVE('',#4830,#4828,#3190,.T.); +#9851=EDGE_CURVE('',#4824,#4821,#3154,.T.); +#9855=EDGE_CURVE('',#4817,#4821,#3162,.T.); +#9865=EDGE_CURVE('',#4821,#4822,#3142,.T.); +#9867=EDGE_CURVE('',#4822,#4830,#3146,.T.); +#9879=EDGE_CURVE('',#4817,#4818,#3166,.T.); +#9881=EDGE_CURVE('',#4822,#4818,#3158,.T.); +#9895=EDGE_CURVE('',#4541,#4557,#3170,.T.); +#9909=EDGE_CURVE('',#4542,#4558,#3174,.T.); +#9931=EDGE_CURVE('',#4810,#4812,#3178,.T.); +#9947=EDGE_CURVE('',#4814,#4812,#3186,.T.); +#9981=EDGE_CURVE('',#4840,#4837,#3206,.T.); +#10006=EDGE_CURVE('',#4914,#4924,#3210,.T.); +#10008=EDGE_CURVE('',#4914,#4885,#3214,.T.); +#10011=EDGE_CURVE('',#4886,#4924,#3218,.T.); +#10021=EDGE_CURVE('',#4930,#4940,#3222,.T.); +#10023=EDGE_CURVE('',#4930,#4881,#3226,.T.); +#10026=EDGE_CURVE('',#4882,#4940,#3230,.T.); +#10036=EDGE_CURVE('',#4946,#4956,#3234,.T.); +#10038=EDGE_CURVE('',#4946,#4861,#3238,.T.); +#10040=EDGE_CURVE('',#4861,#4862,#3243,.T.); +#10042=EDGE_CURVE('',#4862,#4902,#3247,.T.); +#10045=EDGE_CURVE('',#4900,#4857,#3251,.T.); +#10047=EDGE_CURVE('',#4857,#4858,#3256,.T.); +#10049=EDGE_CURVE('',#4858,#4956,#3260,.T.); +#10059=EDGE_CURVE('',#4962,#4972,#3264,.T.); +#10061=EDGE_CURVE('',#4962,#4853,#3268,.T.); +#10063=EDGE_CURVE('',#4853,#4854,#3273,.T.); +#10065=EDGE_CURVE('',#4854,#4896,#3277,.T.); +#10068=EDGE_CURVE('',#4898,#4849,#3281,.T.); +#10070=EDGE_CURVE('',#4849,#4850,#3286,.T.); +#10072=EDGE_CURVE('',#4850,#4972,#3290,.T.); +#10083=EDGE_CURVE('',#4926,#4924,#3294,.T.); +#10085=EDGE_CURVE('',#4918,#4926,#3438,.T.); +#10087=EDGE_CURVE('',#4918,#4914,#3450,.T.); +#10097=EDGE_CURVE('',#4922,#4924,#3306,.T.); +#10099=EDGE_CURVE('',#4920,#4922,#3298,.T.); +#10101=EDGE_CURVE('',#4920,#4926,#3442,.T.); +#10113=EDGE_CURVE('',#4854,#4870,#3302,.T.); +#10115=EDGE_CURVE('',#4870,#4904,#3421,.T.); +#10129=EDGE_CURVE('',#4894,#4922,#3354,.T.); +#10140=EDGE_CURVE('',#4853,#4869,#3310,.T.); +#10142=EDGE_CURVE('',#4869,#4870,#3426,.T.); +#10153=EDGE_CURVE('',#4961,#4962,#3314,.T.); +#10155=EDGE_CURVE('',#4961,#4869,#3430,.T.); +#10168=EDGE_CURVE('',#4966,#4962,#3318,.T.); +#10170=EDGE_CURVE('',#4965,#4966,#3554,.T.); +#10172=EDGE_CURVE('',#4961,#4965,#3322,.T.); +#10183=EDGE_CURVE('',#4974,#4972,#3326,.T.); +#10185=EDGE_CURVE('',#4966,#4974,#3558,.T.); +#10196=EDGE_CURVE('',#4970,#4972,#3334,.T.); +#10198=EDGE_CURVE('',#4968,#4970,#3330,.T.); +#10200=EDGE_CURVE('',#4968,#4974,#3562,.T.); +#10213=EDGE_CURVE('',#4850,#4866,#3338,.T.); +#10215=EDGE_CURVE('',#4866,#4970,#3408,.T.); +#10226=EDGE_CURVE('',#4849,#4865,#3342,.T.); +#10228=EDGE_CURVE('',#4865,#4866,#3413,.T.); +#10241=EDGE_CURVE('',#4906,#4865,#3417,.T.); +#10252=EDGE_CURVE('',#4913,#4914,#3346,.T.); +#10254=EDGE_CURVE('',#4913,#4893,#3358,.T.); +#10266=EDGE_CURVE('',#4922,#4913,#3350,.T.); +#10279=EDGE_CURVE('',#4938,#4929,#3362,.T.); +#10281=EDGE_CURVE('',#4890,#4938,#3366,.T.); +#10284=EDGE_CURVE('',#4929,#4889,#3370,.T.); +#10294=EDGE_CURVE('',#4954,#4945,#3374,.T.); +#10296=EDGE_CURVE('',#4874,#4954,#3378,.T.); +#10298=EDGE_CURVE('',#4873,#4874,#3383,.T.); +#10300=EDGE_CURVE('',#4908,#4873,#3387,.T.); +#10303=EDGE_CURVE('',#4878,#4910,#3391,.T.); +#10305=EDGE_CURVE('',#4877,#4878,#3396,.T.); +#10307=EDGE_CURVE('',#4945,#4877,#3400,.T.); +#10317=EDGE_CURVE('',#4970,#4961,#3404,.T.); +#10334=EDGE_CURVE('',#4920,#4917,#3446,.T.); +#10338=EDGE_CURVE('',#4913,#4917,#3454,.T.); +#10348=EDGE_CURVE('',#4917,#4918,#3434,.T.); +#10373=EDGE_CURVE('',#4936,#4933,#3474,.T.); +#10375=EDGE_CURVE('',#4936,#4938,#3542,.T.); +#10378=EDGE_CURVE('',#4933,#4929,#3458,.T.); +#10388=EDGE_CURVE('',#4933,#4934,#3462,.T.); +#10390=EDGE_CURVE('',#4934,#4942,#3466,.T.); +#10392=EDGE_CURVE('',#4936,#4942,#3470,.T.); +#10403=EDGE_CURVE('',#4929,#4930,#3482,.T.); +#10405=EDGE_CURVE('',#4934,#4930,#3478,.T.); +#10420=EDGE_CURVE('',#4857,#4873,#3486,.T.); +#10445=EDGE_CURVE('',#4858,#4874,#3494,.T.); +#10455=EDGE_CURVE('',#4954,#4956,#3490,.T.); +#10469=EDGE_CURVE('',#4952,#4954,#3498,.T.); +#10471=EDGE_CURVE('',#4952,#4958,#3510,.T.); +#10473=EDGE_CURVE('',#4958,#4956,#3550,.T.); +#10483=EDGE_CURVE('',#4952,#4949,#3514,.T.); +#10487=EDGE_CURVE('',#4945,#4949,#3522,.T.); +#10497=EDGE_CURVE('',#4949,#4950,#3502,.T.); +#10499=EDGE_CURVE('',#4950,#4958,#3506,.T.); +#10511=EDGE_CURVE('',#4945,#4946,#3526,.T.); +#10513=EDGE_CURVE('',#4950,#4946,#3518,.T.); +#10527=EDGE_CURVE('',#4861,#4877,#3530,.T.); +#10541=EDGE_CURVE('',#4862,#4878,#3534,.T.); +#10563=EDGE_CURVE('',#4938,#4940,#3538,.T.); +#10579=EDGE_CURVE('',#4942,#4940,#3546,.T.); +#10613=EDGE_CURVE('',#4968,#4965,#3566,.T.); +#10638=EDGE_CURVE('',#5042,#5052,#3570,.T.); +#10640=EDGE_CURVE('',#5042,#5013,#3574,.T.); +#10643=EDGE_CURVE('',#5014,#5052,#3578,.T.); +#10653=EDGE_CURVE('',#5058,#5068,#3582,.T.); +#10655=EDGE_CURVE('',#5058,#5009,#3586,.T.); +#10658=EDGE_CURVE('',#5010,#5068,#3590,.T.); +#10668=EDGE_CURVE('',#5074,#5084,#3594,.T.); +#10670=EDGE_CURVE('',#5074,#4989,#3598,.T.); +#10672=EDGE_CURVE('',#4989,#4990,#3603,.T.); +#10674=EDGE_CURVE('',#4990,#5030,#3607,.T.); +#10677=EDGE_CURVE('',#5028,#4985,#3611,.T.); +#10679=EDGE_CURVE('',#4985,#4986,#3616,.T.); +#10681=EDGE_CURVE('',#4986,#5084,#3620,.T.); +#10691=EDGE_CURVE('',#5090,#5100,#3624,.T.); +#10693=EDGE_CURVE('',#5090,#4981,#3628,.T.); +#10695=EDGE_CURVE('',#4981,#4982,#3633,.T.); +#10697=EDGE_CURVE('',#4982,#5024,#3637,.T.); +#10700=EDGE_CURVE('',#5026,#4977,#3641,.T.); +#10702=EDGE_CURVE('',#4977,#4978,#3646,.T.); +#10704=EDGE_CURVE('',#4978,#5100,#3650,.T.); +#10715=EDGE_CURVE('',#5054,#5052,#3654,.T.); +#10717=EDGE_CURVE('',#5046,#5054,#3798,.T.); +#10719=EDGE_CURVE('',#5046,#5042,#3810,.T.); +#10729=EDGE_CURVE('',#5050,#5052,#3666,.T.); +#10731=EDGE_CURVE('',#5048,#5050,#3658,.T.); +#10733=EDGE_CURVE('',#5048,#5054,#3802,.T.); +#10745=EDGE_CURVE('',#4982,#4998,#3662,.T.); +#10747=EDGE_CURVE('',#4998,#5032,#3781,.T.); +#10761=EDGE_CURVE('',#5022,#5050,#3714,.T.); +#10772=EDGE_CURVE('',#4981,#4997,#3670,.T.); +#10774=EDGE_CURVE('',#4997,#4998,#3786,.T.); +#10785=EDGE_CURVE('',#5089,#5090,#3674,.T.); +#10787=EDGE_CURVE('',#5089,#4997,#3790,.T.); +#10800=EDGE_CURVE('',#5094,#5090,#3678,.T.); +#10802=EDGE_CURVE('',#5093,#5094,#3914,.T.); +#10804=EDGE_CURVE('',#5089,#5093,#3682,.T.); +#10815=EDGE_CURVE('',#5102,#5100,#3686,.T.); +#10817=EDGE_CURVE('',#5094,#5102,#3918,.T.); +#10828=EDGE_CURVE('',#5098,#5100,#3694,.T.); +#10830=EDGE_CURVE('',#5096,#5098,#3690,.T.); +#10832=EDGE_CURVE('',#5096,#5102,#3922,.T.); +#10845=EDGE_CURVE('',#4978,#4994,#3698,.T.); +#10847=EDGE_CURVE('',#4994,#5098,#3768,.T.); +#10858=EDGE_CURVE('',#4977,#4993,#3702,.T.); +#10860=EDGE_CURVE('',#4993,#4994,#3773,.T.); +#10873=EDGE_CURVE('',#5034,#4993,#3777,.T.); +#10884=EDGE_CURVE('',#5041,#5042,#3706,.T.); +#10886=EDGE_CURVE('',#5041,#5021,#3718,.T.); +#10898=EDGE_CURVE('',#5050,#5041,#3710,.T.); +#10911=EDGE_CURVE('',#5066,#5057,#3722,.T.); +#10913=EDGE_CURVE('',#5018,#5066,#3726,.T.); +#10916=EDGE_CURVE('',#5057,#5017,#3730,.T.); +#10926=EDGE_CURVE('',#5082,#5073,#3734,.T.); +#10928=EDGE_CURVE('',#5002,#5082,#3738,.T.); +#10930=EDGE_CURVE('',#5001,#5002,#3743,.T.); +#10932=EDGE_CURVE('',#5036,#5001,#3747,.T.); +#10935=EDGE_CURVE('',#5006,#5038,#3751,.T.); +#10937=EDGE_CURVE('',#5005,#5006,#3756,.T.); +#10939=EDGE_CURVE('',#5073,#5005,#3760,.T.); +#10949=EDGE_CURVE('',#5098,#5089,#3764,.T.); +#10966=EDGE_CURVE('',#5048,#5045,#3806,.T.); +#10970=EDGE_CURVE('',#5041,#5045,#3814,.T.); +#10980=EDGE_CURVE('',#5045,#5046,#3794,.T.); +#11005=EDGE_CURVE('',#5064,#5061,#3834,.T.); +#11007=EDGE_CURVE('',#5064,#5066,#3902,.T.); +#11010=EDGE_CURVE('',#5061,#5057,#3818,.T.); +#11020=EDGE_CURVE('',#5061,#5062,#3822,.T.); +#11022=EDGE_CURVE('',#5062,#5070,#3826,.T.); +#11024=EDGE_CURVE('',#5064,#5070,#3830,.T.); +#11035=EDGE_CURVE('',#5057,#5058,#3842,.T.); +#11037=EDGE_CURVE('',#5062,#5058,#3838,.T.); +#11052=EDGE_CURVE('',#4985,#5001,#3846,.T.); +#11077=EDGE_CURVE('',#4986,#5002,#3854,.T.); +#11087=EDGE_CURVE('',#5082,#5084,#3850,.T.); +#11101=EDGE_CURVE('',#5080,#5082,#3858,.T.); +#11103=EDGE_CURVE('',#5080,#5086,#3870,.T.); +#11105=EDGE_CURVE('',#5086,#5084,#3910,.T.); +#11115=EDGE_CURVE('',#5080,#5077,#3874,.T.); +#11119=EDGE_CURVE('',#5073,#5077,#3882,.T.); +#11129=EDGE_CURVE('',#5077,#5078,#3862,.T.); +#11131=EDGE_CURVE('',#5078,#5086,#3866,.T.); +#11143=EDGE_CURVE('',#5073,#5074,#3886,.T.); +#11145=EDGE_CURVE('',#5078,#5074,#3878,.T.); +#11159=EDGE_CURVE('',#4989,#5005,#3890,.T.); +#11173=EDGE_CURVE('',#4990,#5006,#3894,.T.); +#11195=EDGE_CURVE('',#5066,#5068,#3898,.T.); +#11211=EDGE_CURVE('',#5070,#5068,#3906,.T.); +#11245=EDGE_CURVE('',#5096,#5093,#3926,.T.); +#11270=EDGE_CURVE('',#4133,#4140,#3930,.T.); +#11272=EDGE_CURVE('',#4140,#4142,#3994,.T.); +#11274=EDGE_CURVE('',#4134,#4142,#3934,.T.); +#11288=EDGE_CURVE('',#4142,#4140,#3944,.T.); +#11298=EDGE_CURVE('',#4330,#4329,#3939,.T.); +#11300=EDGE_CURVE('',#4329,#4140,#3998,.T.); +#11303=EDGE_CURVE('',#4330,#4142,#3948,.T.); +#11313=EDGE_CURVE('',#4325,#4326,#3953,.T.); +#11315=EDGE_CURVE('',#4326,#4325,#3967,.T.); +#11325=EDGE_CURVE('',#4329,#4330,#3989,.T.); +#11337=EDGE_CURVE('',#4326,#4146,#3976,.T.); +#11339=EDGE_CURVE('',#4144,#4146,#3958,.T.); +#11341=EDGE_CURVE('',#4325,#4144,#3962,.T.); +#11353=EDGE_CURVE('',#4146,#4144,#3972,.T.); +#11364=EDGE_CURVE('',#4137,#4144,#3984,.T.); +#11367=EDGE_CURVE('',#4138,#4146,#3980,.T.); +#11407=TRIMMED_CURVE('',#11406,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11408=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11407); +#11413=TRIMMED_CURVE('',#11412,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11414=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11413); +#11419=TRIMMED_CURVE('',#11418,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11420=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11419); +#11425=TRIMMED_CURVE('',#11424,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11426=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11425); +#11427=COMPOSITE_CURVE('',(#11408,#11414,#11420,#11426),.F.); +#11433=TRIMMED_CURVE('',#11432,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11434=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11433); +#11439=TRIMMED_CURVE('',#11438,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11440=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11439); +#11445=TRIMMED_CURVE('',#11444,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11446=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11445); +#11451=TRIMMED_CURVE('',#11450,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11452=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11451); +#11453=COMPOSITE_CURVE('',(#11434,#11440,#11446,#11452),.F.); +#11458=TRIMMED_CURVE('',#11457,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11459=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11458); +#11464=TRIMMED_CURVE('',#11463,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11465=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11464); +#11470=TRIMMED_CURVE('',#11469,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11471=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11470); +#11476=TRIMMED_CURVE('',#11475,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11477=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11476); +#11478=COMPOSITE_CURVE('',(#11459,#11465,#11471,#11477),.F.); +#11483=TRIMMED_CURVE('',#11482,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11484=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11483); +#11489=TRIMMED_CURVE('',#11488,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11490=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11489); +#11495=TRIMMED_CURVE('',#11494,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11496=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11495); +#11501=TRIMMED_CURVE('',#11500,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11502=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11501); +#11503=COMPOSITE_CURVE('',(#11484,#11490,#11496,#11502),.F.); +#11508=TRIMMED_CURVE('',#11507,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11509=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11508); +#11514=TRIMMED_CURVE('',#11513,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11515=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11514); +#11520=TRIMMED_CURVE('',#11519,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11521=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11520); +#11526=TRIMMED_CURVE('',#11525,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11527=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11526); +#11528=COMPOSITE_CURVE('',(#11509,#11515,#11521,#11527),.F.); +#11533=TRIMMED_CURVE('',#11532,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11534=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11533); +#11539=TRIMMED_CURVE('',#11538,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11540=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11539); +#11545=TRIMMED_CURVE('',#11544,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11546=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11545); +#11551=TRIMMED_CURVE('',#11550,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11552=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11551); +#11553=COMPOSITE_CURVE('',(#11534,#11540,#11546,#11552),.F.); +#11558=TRIMMED_CURVE('',#11557,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11559=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11558); +#11564=TRIMMED_CURVE('',#11563,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11565=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11564); +#11570=TRIMMED_CURVE('',#11569,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11571=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11570); +#11576=TRIMMED_CURVE('',#11575,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11577=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11576); +#11578=COMPOSITE_CURVE('',(#11559,#11565,#11571,#11577),.F.); +#11583=TRIMMED_CURVE('',#11582,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11584=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11583); +#11589=TRIMMED_CURVE('',#11588,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11590=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11589); +#11595=TRIMMED_CURVE('',#11594,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11596=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11595); +#11601=TRIMMED_CURVE('',#11600,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11602=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11601); +#11603=COMPOSITE_CURVE('',(#11584,#11590,#11596,#11602),.F.); +#11608=TRIMMED_CURVE('',#11607,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11609=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11608); +#11614=TRIMMED_CURVE('',#11613,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11615=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11614); +#11620=TRIMMED_CURVE('',#11619,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11621=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11620); +#11626=TRIMMED_CURVE('',#11625,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11627=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11626); +#11628=COMPOSITE_CURVE('',(#11609,#11615,#11621,#11627),.F.); +#11633=TRIMMED_CURVE('',#11632,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11634=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11633); +#11639=TRIMMED_CURVE('',#11638,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11640=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11639); +#11645=TRIMMED_CURVE('',#11644,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11646=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11645); +#11651=TRIMMED_CURVE('',#11650,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11652=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11651); +#11653=COMPOSITE_CURVE('',(#11634,#11640,#11646,#11652),.F.); +#11658=TRIMMED_CURVE('',#11657,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11659=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11658); +#11664=TRIMMED_CURVE('',#11663,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11665=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11664); +#11670=TRIMMED_CURVE('',#11669,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11671=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11670); +#11676=TRIMMED_CURVE('',#11675,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11677=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11676); +#11678=COMPOSITE_CURVE('',(#11659,#11665,#11671,#11677),.F.); +#11683=TRIMMED_CURVE('',#11682,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11684=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11683); +#11689=TRIMMED_CURVE('',#11688,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11690=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11689); +#11695=TRIMMED_CURVE('',#11694,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11696=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11695); +#11701=TRIMMED_CURVE('',#11700,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11702=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11701); +#11703=COMPOSITE_CURVE('',(#11684,#11690,#11696,#11702),.F.); +#11708=TRIMMED_CURVE('',#11707,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11709=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11708); +#11714=TRIMMED_CURVE('',#11713,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11715=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11714); +#11720=TRIMMED_CURVE('',#11719,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11721=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11720); +#11726=TRIMMED_CURVE('',#11725,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11727=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11726); +#11728=COMPOSITE_CURVE('',(#11709,#11715,#11721,#11727),.F.); +#11733=TRIMMED_CURVE('',#11732,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11734=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11733); +#11739=TRIMMED_CURVE('',#11738,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11740=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11739); +#11745=TRIMMED_CURVE('',#11744,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11746=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11745); +#11751=TRIMMED_CURVE('',#11750,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.E0)), +.T.,.UNSPECIFIED.); +#11752=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11751); +#11753=COMPOSITE_CURVE('',(#11734,#11740,#11746,#11752),.F.); +#11758=TRIMMED_CURVE('A_3',#11757,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE +(1.E0)),.T.,.UNSPECIFIED.); +#11763=TRIMMED_CURVE('A_4',#11762,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE +(1.E0)),.T.,.UNSPECIFIED.); +#11768=CIRCLE('',#11767,5.E-1); +#11769=TRIMMED_CURVE('',#11768,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.8E2)), +.T.,.UNSPECIFIED.); +#11770=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11769); +#11775=CIRCLE('',#11774,5.E-1); +#11776=TRIMMED_CURVE('',#11775,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.8E2)), +.T.,.UNSPECIFIED.); +#11777=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11776); +#11778=COMPOSITE_CURVE('',(#11770,#11777),.F.); +#11783=CIRCLE('',#11782,5.E-1); +#11784=TRIMMED_CURVE('',#11783,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.8E2)), +.T.,.UNSPECIFIED.); +#11785=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11784); +#11790=CIRCLE('',#11789,5.E-1); +#11791=TRIMMED_CURVE('',#11790,(PARAMETER_VALUE(0.E0)),(PARAMETER_VALUE(1.8E2)), +.T.,.UNSPECIFIED.); +#11792=COMPOSITE_CURVE_SEGMENT(.CONTINUOUS.,.T.,#11791); +#11793=COMPOSITE_CURVE('',(#11785,#11792),.F.); +#11794=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#11796=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#11799=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#11802=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#11398),#11801); +#11803=GEOMETRICALLY_BOUNDED_SURFACE_SHAPE_REPRESENTATION('',(#11428),#11801); +#11804=SHAPE_REPRESENTATION('',(#11402),#11801); +#11813=PRODUCT_DEFINITION('design','',#11812,#11809); +#11814=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR 878321420.',#11813); +#11815=SHAPE_DEFINITION_REPRESENTATION(#11814,#11804); +ENDSEC; +END-ISO-10303-21; diff --git a/ceres.pretty/step/MMT-107-01-F-DH-A.stp b/ceres.pretty/step/MMT-107-01-F-DH-A.stp new file mode 100644 index 0000000..279d20b --- /dev/null +++ b/ceres.pretty/step/MMT-107-01-F-DH-A.stp @@ -0,0 +1,11288 @@ +ISO-10303-21; +HEADER; +/* Generated by software containing ST-Developer + * from STEP Tools, Inc. (www.steptools.com) + */ +/* OPTION: using custom renumber hook */ + +FILE_DESCRIPTION( +/* description */ ('STEP AP214'), +/* implementation_level */ '2;1'); + +FILE_NAME( +/* name */ 'MMT-107-01-F-DH-A', +/* time_stamp */ '2022-10-23T02:28:11+02:00', +/* author */ ('License CC BY-ND 4.0'), +/* organization */ ('CADENAS'), +/* preprocessor_version */ 'ST-DEVELOPER v18.102', +/* originating_system */ 'PARTsolutions', +/* authorisation */ ' '); + +FILE_SCHEMA (('AUTOMOTIVE_DESIGN {1 0 10303 214 3 1 1}')); +ENDSEC; + +DATA; +#10=PROPERTY_DEFINITION_REPRESENTATION(#14,#12); +#11=PROPERTY_DEFINITION_REPRESENTATION(#15,#13); +#12=REPRESENTATION('',(#16),#11105); +#13=REPRESENTATION('',(#17),#11105); +#14=PROPERTY_DEFINITION('pmi validation property','',#11117); +#15=PROPERTY_DEFINITION('pmi validation property','',#11117); +#16=VALUE_REPRESENTATION_ITEM('number of annotations',COUNT_MEASURE(0.)); +#17=VALUE_REPRESENTATION_ITEM('number of views',COUNT_MEASURE(0.)); +#18=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); +#19=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#23,#11119); +#20=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#24,#11121); +#21=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO1','MMT-107-01-F-DH-A_body', +'MMT-107-01-F-DH-A_body',#11122,#11123,''); +#22=NEXT_ASSEMBLY_USAGE_OCCURRENCE('NAUO2','MMT-107-01-F-DH-A_pins', +'MMT-107-01-F-DH-A_pins',#11122,#11124,''); +#23=( +REPRESENTATION_RELATIONSHIP(' ',' ',#6884,#6883) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#25) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#24=( +REPRESENTATION_RELATIONSHIP(' ',' ',#6885,#6883) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#26) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#25=ITEM_DEFINED_TRANSFORMATION(' ',' ',#6886,#6961); +#26=ITEM_DEFINED_TRANSFORMATION(' ',' ',#6886,#7356); +#27=SHAPE_REPRESENTATION_RELATIONSHIP('','',#6884,#29); +#28=SHAPE_REPRESENTATION_RELATIONSHIP('','',#6885,#30); +#29=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#6878),#11106); +#30=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#6879),#11107); +#31=CONICAL_SURFACE('',#6953,0.0215,0.35877067027057); +#32=CONICAL_SURFACE('',#6958,0.0215,0.35877067027057); +#33=CYLINDRICAL_SURFACE('',#6951,0.0215); +#34=CYLINDRICAL_SURFACE('',#6956,0.0215); +#35=CYLINDRICAL_SURFACE('',#6980,0.0300000000000232); +#36=CYLINDRICAL_SURFACE('',#6983,0.0300000000000232); +#37=CYLINDRICAL_SURFACE('',#6986,0.0300000000000232); +#38=CYLINDRICAL_SURFACE('',#6989,0.0300000000000232); +#39=CYLINDRICAL_SURFACE('',#6992,0.0300000000000232); +#40=CYLINDRICAL_SURFACE('',#6995,0.0300000000000232); +#41=CYLINDRICAL_SURFACE('',#7004,0.0500000000000286); +#42=CYLINDRICAL_SURFACE('',#7007,0.0500000000000286); +#43=CYLINDRICAL_SURFACE('',#7010,0.0500000000000286); +#44=CYLINDRICAL_SURFACE('',#7013,0.0500000000000286); +#45=CYLINDRICAL_SURFACE('',#7016,0.0500000000000286); +#46=CYLINDRICAL_SURFACE('',#7019,0.0500000000000286); +#47=CYLINDRICAL_SURFACE('',#7070,0.00500000000000185); +#48=CYLINDRICAL_SURFACE('',#7073,0.00500000000000185); +#49=CYLINDRICAL_SURFACE('',#7076,0.00500000000000185); +#50=CYLINDRICAL_SURFACE('',#7079,0.00500000000000185); +#51=CYLINDRICAL_SURFACE('',#7082,0.00500000000000185); +#52=CYLINDRICAL_SURFACE('',#7085,0.00500000000000185); +#53=CYLINDRICAL_SURFACE('',#7094,0.0249999999999967); +#54=CYLINDRICAL_SURFACE('',#7097,0.0249999999999967); +#55=CYLINDRICAL_SURFACE('',#7100,0.0249999999999967); +#56=CYLINDRICAL_SURFACE('',#7103,0.0249999999999967); +#57=CYLINDRICAL_SURFACE('',#7106,0.0249999999999967); +#58=CYLINDRICAL_SURFACE('',#7109,0.0249999999999967); +#59=CYLINDRICAL_SURFACE('',#7130,0.00499999999999402); +#60=CYLINDRICAL_SURFACE('',#7133,0.00499999999999402); +#61=CYLINDRICAL_SURFACE('',#7136,0.00499999999999402); +#62=CYLINDRICAL_SURFACE('',#7139,0.00499999999999402); +#63=CYLINDRICAL_SURFACE('',#7142,0.00499999999999402); +#64=CYLINDRICAL_SURFACE('',#7145,0.00499999999999402); +#65=CYLINDRICAL_SURFACE('',#7154,0.0250000000000035); +#66=CYLINDRICAL_SURFACE('',#7157,0.0250000000000035); +#67=CYLINDRICAL_SURFACE('',#7160,0.0250000000000035); +#68=CYLINDRICAL_SURFACE('',#7163,0.0250000000000035); +#69=CYLINDRICAL_SURFACE('',#7166,0.0250000000000035); +#70=CYLINDRICAL_SURFACE('',#7169,0.0250000000000035); +#71=CYLINDRICAL_SURFACE('',#7184,0.0300000000000274); +#72=CYLINDRICAL_SURFACE('',#7187,0.0300000000000274); +#73=CYLINDRICAL_SURFACE('',#7190,0.0300000000000274); +#74=CYLINDRICAL_SURFACE('',#7193,0.0300000000000274); +#75=CYLINDRICAL_SURFACE('',#7196,0.0300000000000274); +#76=CYLINDRICAL_SURFACE('',#7199,0.0300000000000274); +#77=CYLINDRICAL_SURFACE('',#7208,0.0499999999999885); +#78=CYLINDRICAL_SURFACE('',#7211,0.0499999999999885); +#79=CYLINDRICAL_SURFACE('',#7214,0.0499999999999885); +#80=CYLINDRICAL_SURFACE('',#7217,0.0499999999999885); +#81=CYLINDRICAL_SURFACE('',#7220,0.0499999999999885); +#82=CYLINDRICAL_SURFACE('',#7223,0.0499999999999885); +#83=CYLINDRICAL_SURFACE('',#7229,0.0300000000000232); +#84=CYLINDRICAL_SURFACE('',#7233,0.0500000000000286); +#85=CYLINDRICAL_SURFACE('',#7247,0.00500000000000185); +#86=CYLINDRICAL_SURFACE('',#7251,0.0249999999999967); +#87=CYLINDRICAL_SURFACE('',#7257,0.00499999999999402); +#88=CYLINDRICAL_SURFACE('',#7261,0.0250000000000035); +#89=CYLINDRICAL_SURFACE('',#7267,0.0300000000000274); +#90=CYLINDRICAL_SURFACE('',#7271,0.0499999999999885); +#91=CIRCLE('',#6889,0.0215); +#92=CIRCLE('',#6890,0.0215); +#93=CIRCLE('',#6952,0.0215); +#94=CIRCLE('',#6954,0.014); +#95=CIRCLE('',#6957,0.0215); +#96=CIRCLE('',#6959,0.014); +#97=CIRCLE('',#6981,0.0300000000000232); +#98=CIRCLE('',#6982,0.0300000000000232); +#99=CIRCLE('',#6984,0.0300000000000232); +#100=CIRCLE('',#6985,0.0300000000000232); +#101=CIRCLE('',#6987,0.0300000000000232); +#102=CIRCLE('',#6988,0.0300000000000232); +#103=CIRCLE('',#6990,0.0300000000000232); +#104=CIRCLE('',#6991,0.0300000000000232); +#105=CIRCLE('',#6993,0.0300000000000232); +#106=CIRCLE('',#6994,0.0300000000000232); +#107=CIRCLE('',#6996,0.0300000000000232); +#108=CIRCLE('',#6997,0.0300000000000232); +#109=CIRCLE('',#7005,0.0500000000000286); +#110=CIRCLE('',#7006,0.0500000000000286); +#111=CIRCLE('',#7008,0.0500000000000286); +#112=CIRCLE('',#7009,0.0500000000000286); +#113=CIRCLE('',#7011,0.0500000000000286); +#114=CIRCLE('',#7012,0.0500000000000286); +#115=CIRCLE('',#7014,0.0500000000000286); +#116=CIRCLE('',#7015,0.0500000000000286); +#117=CIRCLE('',#7017,0.0500000000000286); +#118=CIRCLE('',#7018,0.0500000000000286); +#119=CIRCLE('',#7020,0.0500000000000286); +#120=CIRCLE('',#7021,0.0500000000000286); +#121=CIRCLE('',#7071,0.00500000000000185); +#122=CIRCLE('',#7072,0.00500000000000185); +#123=CIRCLE('',#7074,0.00500000000000185); +#124=CIRCLE('',#7075,0.00500000000000185); +#125=CIRCLE('',#7077,0.00500000000000185); +#126=CIRCLE('',#7078,0.00500000000000185); +#127=CIRCLE('',#7080,0.00500000000000185); +#128=CIRCLE('',#7081,0.00500000000000185); +#129=CIRCLE('',#7083,0.00500000000000185); +#130=CIRCLE('',#7084,0.00500000000000185); +#131=CIRCLE('',#7086,0.00500000000000185); +#132=CIRCLE('',#7087,0.00500000000000185); +#133=CIRCLE('',#7095,0.0249999999999967); +#134=CIRCLE('',#7096,0.0249999999999967); +#135=CIRCLE('',#7098,0.0249999999999967); +#136=CIRCLE('',#7099,0.0249999999999967); +#137=CIRCLE('',#7101,0.0249999999999967); +#138=CIRCLE('',#7102,0.0249999999999967); +#139=CIRCLE('',#7104,0.0249999999999967); +#140=CIRCLE('',#7105,0.0249999999999967); +#141=CIRCLE('',#7107,0.0249999999999967); +#142=CIRCLE('',#7108,0.0249999999999967); +#143=CIRCLE('',#7110,0.0249999999999967); +#144=CIRCLE('',#7111,0.0249999999999967); +#145=CIRCLE('',#7131,0.00499999999999402); +#146=CIRCLE('',#7132,0.00499999999999402); +#147=CIRCLE('',#7134,0.00499999999999402); +#148=CIRCLE('',#7135,0.00499999999999402); +#149=CIRCLE('',#7137,0.00499999999999402); +#150=CIRCLE('',#7138,0.00499999999999402); +#151=CIRCLE('',#7140,0.00499999999999402); +#152=CIRCLE('',#7141,0.00499999999999402); +#153=CIRCLE('',#7143,0.00499999999999402); +#154=CIRCLE('',#7144,0.00499999999999402); +#155=CIRCLE('',#7146,0.00499999999999402); +#156=CIRCLE('',#7147,0.00499999999999402); +#157=CIRCLE('',#7155,0.0250000000000035); +#158=CIRCLE('',#7156,0.0250000000000035); +#159=CIRCLE('',#7158,0.0250000000000035); +#160=CIRCLE('',#7159,0.0250000000000035); +#161=CIRCLE('',#7161,0.0250000000000035); +#162=CIRCLE('',#7162,0.0250000000000035); +#163=CIRCLE('',#7164,0.0250000000000035); +#164=CIRCLE('',#7165,0.0250000000000035); +#165=CIRCLE('',#7167,0.0250000000000035); +#166=CIRCLE('',#7168,0.0250000000000035); +#167=CIRCLE('',#7170,0.0250000000000035); +#168=CIRCLE('',#7171,0.0250000000000035); +#169=CIRCLE('',#7185,0.0300000000000274); +#170=CIRCLE('',#7186,0.0300000000000274); +#171=CIRCLE('',#7188,0.0300000000000274); +#172=CIRCLE('',#7189,0.0300000000000274); +#173=CIRCLE('',#7191,0.0300000000000274); +#174=CIRCLE('',#7192,0.0300000000000274); +#175=CIRCLE('',#7194,0.0300000000000274); +#176=CIRCLE('',#7195,0.0300000000000274); +#177=CIRCLE('',#7197,0.0300000000000274); +#178=CIRCLE('',#7198,0.0300000000000274); +#179=CIRCLE('',#7200,0.0300000000000274); +#180=CIRCLE('',#7201,0.0300000000000274); +#181=CIRCLE('',#7209,0.0499999999999885); +#182=CIRCLE('',#7210,0.0499999999999885); +#183=CIRCLE('',#7212,0.0499999999999885); +#184=CIRCLE('',#7213,0.0499999999999885); +#185=CIRCLE('',#7215,0.0499999999999885); +#186=CIRCLE('',#7216,0.0499999999999885); +#187=CIRCLE('',#7218,0.0499999999999885); +#188=CIRCLE('',#7219,0.0499999999999885); +#189=CIRCLE('',#7221,0.0499999999999885); +#190=CIRCLE('',#7222,0.0499999999999885); +#191=CIRCLE('',#7224,0.0499999999999885); +#192=CIRCLE('',#7225,0.0499999999999885); +#193=CIRCLE('',#7230,0.0300000000000232); +#194=CIRCLE('',#7231,0.0300000000000232); +#195=CIRCLE('',#7234,0.0500000000000286); +#196=CIRCLE('',#7235,0.0500000000000286); +#197=CIRCLE('',#7248,0.00500000000000185); +#198=CIRCLE('',#7249,0.00500000000000185); +#199=CIRCLE('',#7252,0.0249999999999967); +#200=CIRCLE('',#7253,0.0249999999999967); +#201=CIRCLE('',#7258,0.00499999999999402); +#202=CIRCLE('',#7259,0.00499999999999402); +#203=CIRCLE('',#7262,0.0250000000000035); +#204=CIRCLE('',#7263,0.0250000000000035); +#205=CIRCLE('',#7268,0.0300000000000274); +#206=CIRCLE('',#7269,0.0300000000000274); +#207=CIRCLE('',#7272,0.0499999999999885); +#208=CIRCLE('',#7273,0.0499999999999885); +#209=ORIENTED_EDGE('',*,*,#2149,.T.); +#210=ORIENTED_EDGE('',*,*,#2150,.F.); +#211=ORIENTED_EDGE('',*,*,#2151,.F.); +#212=ORIENTED_EDGE('',*,*,#2152,.T.); +#213=ORIENTED_EDGE('',*,*,#2153,.F.); +#214=ORIENTED_EDGE('',*,*,#2154,.F.); +#215=ORIENTED_EDGE('',*,*,#2155,.T.); +#216=ORIENTED_EDGE('',*,*,#2156,.F.); +#217=ORIENTED_EDGE('',*,*,#2157,.F.); +#218=ORIENTED_EDGE('',*,*,#2150,.T.); +#219=ORIENTED_EDGE('',*,*,#2158,.T.); +#220=ORIENTED_EDGE('',*,*,#2159,.F.); +#221=ORIENTED_EDGE('',*,*,#2160,.F.); +#222=ORIENTED_EDGE('',*,*,#2156,.T.); +#223=ORIENTED_EDGE('',*,*,#2161,.F.); +#224=ORIENTED_EDGE('',*,*,#2162,.F.); +#225=ORIENTED_EDGE('',*,*,#2163,.T.); +#226=ORIENTED_EDGE('',*,*,#2164,.T.); +#227=ORIENTED_EDGE('',*,*,#2165,.F.); +#228=ORIENTED_EDGE('',*,*,#2166,.F.); +#229=ORIENTED_EDGE('',*,*,#2167,.T.); +#230=ORIENTED_EDGE('',*,*,#2162,.T.); +#231=ORIENTED_EDGE('',*,*,#2168,.F.); +#232=ORIENTED_EDGE('',*,*,#2169,.F.); +#233=ORIENTED_EDGE('',*,*,#2170,.T.); +#234=ORIENTED_EDGE('',*,*,#2166,.T.); +#235=ORIENTED_EDGE('',*,*,#2171,.F.); +#236=ORIENTED_EDGE('',*,*,#2172,.F.); +#237=ORIENTED_EDGE('',*,*,#2173,.T.); +#238=ORIENTED_EDGE('',*,*,#2174,.T.); +#239=ORIENTED_EDGE('',*,*,#2175,.F.); +#240=ORIENTED_EDGE('',*,*,#2176,.F.); +#241=ORIENTED_EDGE('',*,*,#2177,.T.); +#242=ORIENTED_EDGE('',*,*,#2172,.T.); +#243=ORIENTED_EDGE('',*,*,#2178,.F.); +#244=ORIENTED_EDGE('',*,*,#2179,.F.); +#245=ORIENTED_EDGE('',*,*,#2180,.T.); +#246=ORIENTED_EDGE('',*,*,#2176,.T.); +#247=ORIENTED_EDGE('',*,*,#2181,.F.); +#248=ORIENTED_EDGE('',*,*,#2182,.F.); +#249=ORIENTED_EDGE('',*,*,#2183,.T.); +#250=ORIENTED_EDGE('',*,*,#2184,.T.); +#251=ORIENTED_EDGE('',*,*,#2185,.F.); +#252=ORIENTED_EDGE('',*,*,#2186,.F.); +#253=ORIENTED_EDGE('',*,*,#2187,.T.); +#254=ORIENTED_EDGE('',*,*,#2182,.T.); +#255=ORIENTED_EDGE('',*,*,#2188,.F.); +#256=ORIENTED_EDGE('',*,*,#2189,.F.); +#257=ORIENTED_EDGE('',*,*,#2190,.T.); +#258=ORIENTED_EDGE('',*,*,#2186,.T.); +#259=ORIENTED_EDGE('',*,*,#2191,.F.); +#260=ORIENTED_EDGE('',*,*,#2192,.F.); +#261=ORIENTED_EDGE('',*,*,#2193,.T.); +#262=ORIENTED_EDGE('',*,*,#2194,.T.); +#263=ORIENTED_EDGE('',*,*,#2195,.F.); +#264=ORIENTED_EDGE('',*,*,#2196,.F.); +#265=ORIENTED_EDGE('',*,*,#2197,.T.); +#266=ORIENTED_EDGE('',*,*,#2192,.T.); +#267=ORIENTED_EDGE('',*,*,#2198,.F.); +#268=ORIENTED_EDGE('',*,*,#2199,.F.); +#269=ORIENTED_EDGE('',*,*,#2200,.T.); +#270=ORIENTED_EDGE('',*,*,#2196,.T.); +#271=ORIENTED_EDGE('',*,*,#2201,.F.); +#272=ORIENTED_EDGE('',*,*,#2202,.F.); +#273=ORIENTED_EDGE('',*,*,#2203,.T.); +#274=ORIENTED_EDGE('',*,*,#2204,.T.); +#275=ORIENTED_EDGE('',*,*,#2205,.F.); +#276=ORIENTED_EDGE('',*,*,#2206,.F.); +#277=ORIENTED_EDGE('',*,*,#2207,.T.); +#278=ORIENTED_EDGE('',*,*,#2202,.T.); +#279=ORIENTED_EDGE('',*,*,#2208,.F.); +#280=ORIENTED_EDGE('',*,*,#2209,.F.); +#281=ORIENTED_EDGE('',*,*,#2210,.T.); +#282=ORIENTED_EDGE('',*,*,#2206,.T.); +#283=ORIENTED_EDGE('',*,*,#2211,.F.); +#284=ORIENTED_EDGE('',*,*,#2212,.F.); +#285=ORIENTED_EDGE('',*,*,#2213,.T.); +#286=ORIENTED_EDGE('',*,*,#2214,.T.); +#287=ORIENTED_EDGE('',*,*,#2215,.F.); +#288=ORIENTED_EDGE('',*,*,#2216,.F.); +#289=ORIENTED_EDGE('',*,*,#2217,.T.); +#290=ORIENTED_EDGE('',*,*,#2212,.T.); +#291=ORIENTED_EDGE('',*,*,#2218,.F.); +#292=ORIENTED_EDGE('',*,*,#2219,.F.); +#293=ORIENTED_EDGE('',*,*,#2220,.T.); +#294=ORIENTED_EDGE('',*,*,#2216,.T.); +#295=ORIENTED_EDGE('',*,*,#2221,.F.); +#296=ORIENTED_EDGE('',*,*,#2222,.F.); +#297=ORIENTED_EDGE('',*,*,#2223,.T.); +#298=ORIENTED_EDGE('',*,*,#2224,.T.); +#299=ORIENTED_EDGE('',*,*,#2225,.F.); +#300=ORIENTED_EDGE('',*,*,#2226,.F.); +#301=ORIENTED_EDGE('',*,*,#2227,.T.); +#302=ORIENTED_EDGE('',*,*,#2222,.T.); +#303=ORIENTED_EDGE('',*,*,#2228,.F.); +#304=ORIENTED_EDGE('',*,*,#2229,.F.); +#305=ORIENTED_EDGE('',*,*,#2230,.T.); +#306=ORIENTED_EDGE('',*,*,#2226,.T.); +#307=ORIENTED_EDGE('',*,*,#2231,.F.); +#308=ORIENTED_EDGE('',*,*,#2232,.F.); +#309=ORIENTED_EDGE('',*,*,#2233,.T.); +#310=ORIENTED_EDGE('',*,*,#2234,.T.); +#311=ORIENTED_EDGE('',*,*,#2235,.F.); +#312=ORIENTED_EDGE('',*,*,#2236,.F.); +#313=ORIENTED_EDGE('',*,*,#2237,.T.); +#314=ORIENTED_EDGE('',*,*,#2232,.T.); +#315=ORIENTED_EDGE('',*,*,#2238,.F.); +#316=ORIENTED_EDGE('',*,*,#2239,.F.); +#317=ORIENTED_EDGE('',*,*,#2240,.T.); +#318=ORIENTED_EDGE('',*,*,#2236,.T.); +#319=ORIENTED_EDGE('',*,*,#2241,.F.); +#320=ORIENTED_EDGE('',*,*,#2242,.F.); +#321=ORIENTED_EDGE('',*,*,#2243,.T.); +#322=ORIENTED_EDGE('',*,*,#2244,.T.); +#323=ORIENTED_EDGE('',*,*,#2245,.F.); +#324=ORIENTED_EDGE('',*,*,#2246,.F.); +#325=ORIENTED_EDGE('',*,*,#2247,.T.); +#326=ORIENTED_EDGE('',*,*,#2242,.T.); +#327=ORIENTED_EDGE('',*,*,#2248,.F.); +#328=ORIENTED_EDGE('',*,*,#2249,.F.); +#329=ORIENTED_EDGE('',*,*,#2250,.T.); +#330=ORIENTED_EDGE('',*,*,#2246,.T.); +#331=ORIENTED_EDGE('',*,*,#2251,.F.); +#332=ORIENTED_EDGE('',*,*,#2252,.F.); +#333=ORIENTED_EDGE('',*,*,#2253,.T.); +#334=ORIENTED_EDGE('',*,*,#2254,.T.); +#335=ORIENTED_EDGE('',*,*,#2255,.F.); +#336=ORIENTED_EDGE('',*,*,#2256,.F.); +#337=ORIENTED_EDGE('',*,*,#2257,.T.); +#338=ORIENTED_EDGE('',*,*,#2252,.T.); +#339=ORIENTED_EDGE('',*,*,#2258,.F.); +#340=ORIENTED_EDGE('',*,*,#2259,.F.); +#341=ORIENTED_EDGE('',*,*,#2260,.T.); +#342=ORIENTED_EDGE('',*,*,#2256,.T.); +#343=ORIENTED_EDGE('',*,*,#2261,.F.); +#344=ORIENTED_EDGE('',*,*,#2262,.F.); +#345=ORIENTED_EDGE('',*,*,#2263,.T.); +#346=ORIENTED_EDGE('',*,*,#2264,.T.); +#347=ORIENTED_EDGE('',*,*,#2265,.F.); +#348=ORIENTED_EDGE('',*,*,#2266,.F.); +#349=ORIENTED_EDGE('',*,*,#2267,.T.); +#350=ORIENTED_EDGE('',*,*,#2262,.T.); +#351=ORIENTED_EDGE('',*,*,#2268,.F.); +#352=ORIENTED_EDGE('',*,*,#2269,.F.); +#353=ORIENTED_EDGE('',*,*,#2270,.T.); +#354=ORIENTED_EDGE('',*,*,#2266,.T.); +#355=ORIENTED_EDGE('',*,*,#2271,.F.); +#356=ORIENTED_EDGE('',*,*,#2272,.F.); +#357=ORIENTED_EDGE('',*,*,#2273,.T.); +#358=ORIENTED_EDGE('',*,*,#2274,.T.); +#359=ORIENTED_EDGE('',*,*,#2275,.F.); +#360=ORIENTED_EDGE('',*,*,#2276,.F.); +#361=ORIENTED_EDGE('',*,*,#2277,.T.); +#362=ORIENTED_EDGE('',*,*,#2272,.T.); +#363=ORIENTED_EDGE('',*,*,#2278,.F.); +#364=ORIENTED_EDGE('',*,*,#2279,.F.); +#365=ORIENTED_EDGE('',*,*,#2280,.T.); +#366=ORIENTED_EDGE('',*,*,#2276,.T.); +#367=ORIENTED_EDGE('',*,*,#2281,.F.); +#368=ORIENTED_EDGE('',*,*,#2282,.F.); +#369=ORIENTED_EDGE('',*,*,#2283,.T.); +#370=ORIENTED_EDGE('',*,*,#2284,.T.); +#371=ORIENTED_EDGE('',*,*,#2285,.F.); +#372=ORIENTED_EDGE('',*,*,#2286,.F.); +#373=ORIENTED_EDGE('',*,*,#2287,.T.); +#374=ORIENTED_EDGE('',*,*,#2282,.T.); +#375=ORIENTED_EDGE('',*,*,#2288,.F.); +#376=ORIENTED_EDGE('',*,*,#2289,.F.); +#377=ORIENTED_EDGE('',*,*,#2290,.T.); +#378=ORIENTED_EDGE('',*,*,#2286,.T.); +#379=ORIENTED_EDGE('',*,*,#2291,.F.); +#380=ORIENTED_EDGE('',*,*,#2292,.F.); +#381=ORIENTED_EDGE('',*,*,#2293,.T.); +#382=ORIENTED_EDGE('',*,*,#2294,.T.); +#383=ORIENTED_EDGE('',*,*,#2295,.F.); +#384=ORIENTED_EDGE('',*,*,#2296,.F.); +#385=ORIENTED_EDGE('',*,*,#2297,.T.); +#386=ORIENTED_EDGE('',*,*,#2292,.T.); +#387=ORIENTED_EDGE('',*,*,#2298,.F.); +#388=ORIENTED_EDGE('',*,*,#2299,.F.); +#389=ORIENTED_EDGE('',*,*,#2300,.T.); +#390=ORIENTED_EDGE('',*,*,#2296,.T.); +#391=ORIENTED_EDGE('',*,*,#2301,.F.); +#392=ORIENTED_EDGE('',*,*,#2164,.F.); +#393=ORIENTED_EDGE('',*,*,#2302,.T.); +#394=ORIENTED_EDGE('',*,*,#2169,.T.); +#395=ORIENTED_EDGE('',*,*,#2303,.F.); +#396=ORIENTED_EDGE('',*,*,#2174,.F.); +#397=ORIENTED_EDGE('',*,*,#2304,.T.); +#398=ORIENTED_EDGE('',*,*,#2179,.T.); +#399=ORIENTED_EDGE('',*,*,#2305,.F.); +#400=ORIENTED_EDGE('',*,*,#2184,.F.); +#401=ORIENTED_EDGE('',*,*,#2306,.T.); +#402=ORIENTED_EDGE('',*,*,#2189,.T.); +#403=ORIENTED_EDGE('',*,*,#2307,.F.); +#404=ORIENTED_EDGE('',*,*,#2194,.F.); +#405=ORIENTED_EDGE('',*,*,#2308,.T.); +#406=ORIENTED_EDGE('',*,*,#2199,.T.); +#407=ORIENTED_EDGE('',*,*,#2309,.F.); +#408=ORIENTED_EDGE('',*,*,#2204,.F.); +#409=ORIENTED_EDGE('',*,*,#2310,.T.); +#410=ORIENTED_EDGE('',*,*,#2209,.T.); +#411=ORIENTED_EDGE('',*,*,#2311,.F.); +#412=ORIENTED_EDGE('',*,*,#2214,.F.); +#413=ORIENTED_EDGE('',*,*,#2312,.T.); +#414=ORIENTED_EDGE('',*,*,#2219,.T.); +#415=ORIENTED_EDGE('',*,*,#2313,.F.); +#416=ORIENTED_EDGE('',*,*,#2224,.F.); +#417=ORIENTED_EDGE('',*,*,#2314,.T.); +#418=ORIENTED_EDGE('',*,*,#2229,.T.); +#419=ORIENTED_EDGE('',*,*,#2315,.F.); +#420=ORIENTED_EDGE('',*,*,#2234,.F.); +#421=ORIENTED_EDGE('',*,*,#2316,.T.); +#422=ORIENTED_EDGE('',*,*,#2239,.T.); +#423=ORIENTED_EDGE('',*,*,#2317,.F.); +#424=ORIENTED_EDGE('',*,*,#2244,.F.); +#425=ORIENTED_EDGE('',*,*,#2318,.T.); +#426=ORIENTED_EDGE('',*,*,#2249,.T.); +#427=ORIENTED_EDGE('',*,*,#2319,.F.); +#428=ORIENTED_EDGE('',*,*,#2254,.F.); +#429=ORIENTED_EDGE('',*,*,#2320,.T.); +#430=ORIENTED_EDGE('',*,*,#2259,.T.); +#431=ORIENTED_EDGE('',*,*,#2321,.F.); +#432=ORIENTED_EDGE('',*,*,#2264,.F.); +#433=ORIENTED_EDGE('',*,*,#2322,.T.); +#434=ORIENTED_EDGE('',*,*,#2269,.T.); +#435=ORIENTED_EDGE('',*,*,#2323,.F.); +#436=ORIENTED_EDGE('',*,*,#2274,.F.); +#437=ORIENTED_EDGE('',*,*,#2324,.T.); +#438=ORIENTED_EDGE('',*,*,#2279,.T.); +#439=ORIENTED_EDGE('',*,*,#2325,.F.); +#440=ORIENTED_EDGE('',*,*,#2284,.F.); +#441=ORIENTED_EDGE('',*,*,#2326,.T.); +#442=ORIENTED_EDGE('',*,*,#2289,.T.); +#443=ORIENTED_EDGE('',*,*,#2327,.F.); +#444=ORIENTED_EDGE('',*,*,#2294,.F.); +#445=ORIENTED_EDGE('',*,*,#2328,.T.); +#446=ORIENTED_EDGE('',*,*,#2299,.T.); +#447=ORIENTED_EDGE('',*,*,#2329,.T.); +#448=ORIENTED_EDGE('',*,*,#2152,.F.); +#449=ORIENTED_EDGE('',*,*,#2330,.F.); +#450=ORIENTED_EDGE('',*,*,#2159,.T.); +#451=ORIENTED_EDGE('',*,*,#2293,.F.); +#452=ORIENTED_EDGE('',*,*,#2297,.F.); +#453=ORIENTED_EDGE('',*,*,#2300,.F.); +#454=ORIENTED_EDGE('',*,*,#2328,.F.); +#455=ORIENTED_EDGE('',*,*,#2283,.F.); +#456=ORIENTED_EDGE('',*,*,#2287,.F.); +#457=ORIENTED_EDGE('',*,*,#2290,.F.); +#458=ORIENTED_EDGE('',*,*,#2326,.F.); +#459=ORIENTED_EDGE('',*,*,#2273,.F.); +#460=ORIENTED_EDGE('',*,*,#2277,.F.); +#461=ORIENTED_EDGE('',*,*,#2280,.F.); +#462=ORIENTED_EDGE('',*,*,#2324,.F.); +#463=ORIENTED_EDGE('',*,*,#2263,.F.); +#464=ORIENTED_EDGE('',*,*,#2267,.F.); +#465=ORIENTED_EDGE('',*,*,#2270,.F.); +#466=ORIENTED_EDGE('',*,*,#2322,.F.); +#467=ORIENTED_EDGE('',*,*,#2253,.F.); +#468=ORIENTED_EDGE('',*,*,#2257,.F.); +#469=ORIENTED_EDGE('',*,*,#2260,.F.); +#470=ORIENTED_EDGE('',*,*,#2320,.F.); +#471=ORIENTED_EDGE('',*,*,#2243,.F.); +#472=ORIENTED_EDGE('',*,*,#2247,.F.); +#473=ORIENTED_EDGE('',*,*,#2250,.F.); +#474=ORIENTED_EDGE('',*,*,#2318,.F.); +#475=ORIENTED_EDGE('',*,*,#2233,.F.); +#476=ORIENTED_EDGE('',*,*,#2237,.F.); +#477=ORIENTED_EDGE('',*,*,#2240,.F.); +#478=ORIENTED_EDGE('',*,*,#2316,.F.); +#479=ORIENTED_EDGE('',*,*,#2223,.F.); +#480=ORIENTED_EDGE('',*,*,#2227,.F.); +#481=ORIENTED_EDGE('',*,*,#2230,.F.); +#482=ORIENTED_EDGE('',*,*,#2314,.F.); +#483=ORIENTED_EDGE('',*,*,#2213,.F.); +#484=ORIENTED_EDGE('',*,*,#2217,.F.); +#485=ORIENTED_EDGE('',*,*,#2220,.F.); +#486=ORIENTED_EDGE('',*,*,#2312,.F.); +#487=ORIENTED_EDGE('',*,*,#2203,.F.); +#488=ORIENTED_EDGE('',*,*,#2207,.F.); +#489=ORIENTED_EDGE('',*,*,#2210,.F.); +#490=ORIENTED_EDGE('',*,*,#2310,.F.); +#491=ORIENTED_EDGE('',*,*,#2193,.F.); +#492=ORIENTED_EDGE('',*,*,#2197,.F.); +#493=ORIENTED_EDGE('',*,*,#2200,.F.); +#494=ORIENTED_EDGE('',*,*,#2308,.F.); +#495=ORIENTED_EDGE('',*,*,#2183,.F.); +#496=ORIENTED_EDGE('',*,*,#2187,.F.); +#497=ORIENTED_EDGE('',*,*,#2190,.F.); +#498=ORIENTED_EDGE('',*,*,#2306,.F.); +#499=ORIENTED_EDGE('',*,*,#2173,.F.); +#500=ORIENTED_EDGE('',*,*,#2177,.F.); +#501=ORIENTED_EDGE('',*,*,#2180,.F.); +#502=ORIENTED_EDGE('',*,*,#2304,.F.); +#503=ORIENTED_EDGE('',*,*,#2163,.F.); +#504=ORIENTED_EDGE('',*,*,#2167,.F.); +#505=ORIENTED_EDGE('',*,*,#2170,.F.); +#506=ORIENTED_EDGE('',*,*,#2302,.F.); +#507=ORIENTED_EDGE('',*,*,#2151,.T.); +#508=ORIENTED_EDGE('',*,*,#2157,.T.); +#509=ORIENTED_EDGE('',*,*,#2160,.T.); +#510=ORIENTED_EDGE('',*,*,#2330,.T.); +#511=ORIENTED_EDGE('',*,*,#2291,.T.); +#512=ORIENTED_EDGE('',*,*,#2327,.T.); +#513=ORIENTED_EDGE('',*,*,#2298,.T.); +#514=ORIENTED_EDGE('',*,*,#2295,.T.); +#515=ORIENTED_EDGE('',*,*,#2281,.T.); +#516=ORIENTED_EDGE('',*,*,#2325,.T.); +#517=ORIENTED_EDGE('',*,*,#2288,.T.); +#518=ORIENTED_EDGE('',*,*,#2285,.T.); +#519=ORIENTED_EDGE('',*,*,#2271,.T.); +#520=ORIENTED_EDGE('',*,*,#2323,.T.); +#521=ORIENTED_EDGE('',*,*,#2278,.T.); +#522=ORIENTED_EDGE('',*,*,#2275,.T.); +#523=ORIENTED_EDGE('',*,*,#2261,.T.); +#524=ORIENTED_EDGE('',*,*,#2321,.T.); +#525=ORIENTED_EDGE('',*,*,#2268,.T.); +#526=ORIENTED_EDGE('',*,*,#2265,.T.); +#527=ORIENTED_EDGE('',*,*,#2251,.T.); +#528=ORIENTED_EDGE('',*,*,#2319,.T.); +#529=ORIENTED_EDGE('',*,*,#2258,.T.); +#530=ORIENTED_EDGE('',*,*,#2255,.T.); +#531=ORIENTED_EDGE('',*,*,#2241,.T.); +#532=ORIENTED_EDGE('',*,*,#2317,.T.); +#533=ORIENTED_EDGE('',*,*,#2248,.T.); +#534=ORIENTED_EDGE('',*,*,#2245,.T.); +#535=ORIENTED_EDGE('',*,*,#2231,.T.); +#536=ORIENTED_EDGE('',*,*,#2315,.T.); +#537=ORIENTED_EDGE('',*,*,#2238,.T.); +#538=ORIENTED_EDGE('',*,*,#2235,.T.); +#539=ORIENTED_EDGE('',*,*,#2221,.T.); +#540=ORIENTED_EDGE('',*,*,#2313,.T.); +#541=ORIENTED_EDGE('',*,*,#2228,.T.); +#542=ORIENTED_EDGE('',*,*,#2225,.T.); +#543=ORIENTED_EDGE('',*,*,#2211,.T.); +#544=ORIENTED_EDGE('',*,*,#2311,.T.); +#545=ORIENTED_EDGE('',*,*,#2218,.T.); +#546=ORIENTED_EDGE('',*,*,#2215,.T.); +#547=ORIENTED_EDGE('',*,*,#2201,.T.); +#548=ORIENTED_EDGE('',*,*,#2309,.T.); +#549=ORIENTED_EDGE('',*,*,#2208,.T.); +#550=ORIENTED_EDGE('',*,*,#2205,.T.); +#551=ORIENTED_EDGE('',*,*,#2191,.T.); +#552=ORIENTED_EDGE('',*,*,#2307,.T.); +#553=ORIENTED_EDGE('',*,*,#2198,.T.); +#554=ORIENTED_EDGE('',*,*,#2195,.T.); +#555=ORIENTED_EDGE('',*,*,#2181,.T.); +#556=ORIENTED_EDGE('',*,*,#2305,.T.); +#557=ORIENTED_EDGE('',*,*,#2188,.T.); +#558=ORIENTED_EDGE('',*,*,#2185,.T.); +#559=ORIENTED_EDGE('',*,*,#2171,.T.); +#560=ORIENTED_EDGE('',*,*,#2303,.T.); +#561=ORIENTED_EDGE('',*,*,#2178,.T.); +#562=ORIENTED_EDGE('',*,*,#2175,.T.); +#563=ORIENTED_EDGE('',*,*,#2161,.T.); +#564=ORIENTED_EDGE('',*,*,#2301,.T.); +#565=ORIENTED_EDGE('',*,*,#2168,.T.); +#566=ORIENTED_EDGE('',*,*,#2165,.T.); +#567=ORIENTED_EDGE('',*,*,#2149,.F.); +#568=ORIENTED_EDGE('',*,*,#2329,.F.); +#569=ORIENTED_EDGE('',*,*,#2158,.F.); +#570=ORIENTED_EDGE('',*,*,#2155,.F.); +#571=ORIENTED_EDGE('',*,*,#2331,.F.); +#572=ORIENTED_EDGE('',*,*,#2154,.T.); +#573=ORIENTED_EDGE('',*,*,#2332,.F.); +#574=ORIENTED_EDGE('',*,*,#2331,.T.); +#575=ORIENTED_EDGE('',*,*,#2332,.T.); +#576=ORIENTED_EDGE('',*,*,#2333,.F.); +#577=ORIENTED_EDGE('',*,*,#2153,.T.); +#578=ORIENTED_EDGE('',*,*,#2334,.F.); +#579=ORIENTED_EDGE('',*,*,#2333,.T.); +#580=ORIENTED_EDGE('',*,*,#2334,.T.); +#581=ORIENTED_EDGE('',*,*,#2335,.F.); +#582=ORIENTED_EDGE('',*,*,#2336,.T.); +#583=ORIENTED_EDGE('',*,*,#2337,.T.); +#584=ORIENTED_EDGE('',*,*,#2338,.T.); +#585=ORIENTED_EDGE('',*,*,#2339,.F.); +#586=ORIENTED_EDGE('',*,*,#2340,.T.); +#587=ORIENTED_EDGE('',*,*,#2341,.T.); +#588=ORIENTED_EDGE('',*,*,#2342,.T.); +#589=ORIENTED_EDGE('',*,*,#2343,.F.); +#590=ORIENTED_EDGE('',*,*,#2344,.T.); +#591=ORIENTED_EDGE('',*,*,#2345,.T.); +#592=ORIENTED_EDGE('',*,*,#2346,.T.); +#593=ORIENTED_EDGE('',*,*,#2347,.F.); +#594=ORIENTED_EDGE('',*,*,#2348,.T.); +#595=ORIENTED_EDGE('',*,*,#2349,.T.); +#596=ORIENTED_EDGE('',*,*,#2350,.T.); +#597=ORIENTED_EDGE('',*,*,#2351,.F.); +#598=ORIENTED_EDGE('',*,*,#2352,.T.); +#599=ORIENTED_EDGE('',*,*,#2353,.T.); +#600=ORIENTED_EDGE('',*,*,#2354,.T.); +#601=ORIENTED_EDGE('',*,*,#2355,.F.); +#602=ORIENTED_EDGE('',*,*,#2356,.T.); +#603=ORIENTED_EDGE('',*,*,#2357,.T.); +#604=ORIENTED_EDGE('',*,*,#2358,.T.); +#605=ORIENTED_EDGE('',*,*,#2359,.F.); +#606=ORIENTED_EDGE('',*,*,#2360,.T.); +#607=ORIENTED_EDGE('',*,*,#2335,.T.); +#608=ORIENTED_EDGE('',*,*,#2361,.T.); +#609=ORIENTED_EDGE('',*,*,#2362,.F.); +#610=ORIENTED_EDGE('',*,*,#2363,.T.); +#611=ORIENTED_EDGE('',*,*,#2339,.T.); +#612=ORIENTED_EDGE('',*,*,#2364,.T.); +#613=ORIENTED_EDGE('',*,*,#2365,.F.); +#614=ORIENTED_EDGE('',*,*,#2366,.T.); +#615=ORIENTED_EDGE('',*,*,#2343,.T.); +#616=ORIENTED_EDGE('',*,*,#2367,.T.); +#617=ORIENTED_EDGE('',*,*,#2368,.F.); +#618=ORIENTED_EDGE('',*,*,#2369,.T.); +#619=ORIENTED_EDGE('',*,*,#2347,.T.); +#620=ORIENTED_EDGE('',*,*,#2370,.T.); +#621=ORIENTED_EDGE('',*,*,#2371,.F.); +#622=ORIENTED_EDGE('',*,*,#2372,.T.); +#623=ORIENTED_EDGE('',*,*,#2351,.T.); +#624=ORIENTED_EDGE('',*,*,#2373,.T.); +#625=ORIENTED_EDGE('',*,*,#2374,.F.); +#626=ORIENTED_EDGE('',*,*,#2375,.T.); +#627=ORIENTED_EDGE('',*,*,#2355,.T.); +#628=ORIENTED_EDGE('',*,*,#2376,.T.); +#629=ORIENTED_EDGE('',*,*,#2377,.F.); +#630=ORIENTED_EDGE('',*,*,#2378,.T.); +#631=ORIENTED_EDGE('',*,*,#2359,.T.); +#632=ORIENTED_EDGE('',*,*,#2379,.T.); +#633=ORIENTED_EDGE('',*,*,#2380,.F.); +#634=ORIENTED_EDGE('',*,*,#2381,.T.); +#635=ORIENTED_EDGE('',*,*,#2362,.T.); +#636=ORIENTED_EDGE('',*,*,#2382,.T.); +#637=ORIENTED_EDGE('',*,*,#2383,.F.); +#638=ORIENTED_EDGE('',*,*,#2384,.T.); +#639=ORIENTED_EDGE('',*,*,#2365,.T.); +#640=ORIENTED_EDGE('',*,*,#2385,.T.); +#641=ORIENTED_EDGE('',*,*,#2386,.F.); +#642=ORIENTED_EDGE('',*,*,#2387,.T.); +#643=ORIENTED_EDGE('',*,*,#2368,.T.); +#644=ORIENTED_EDGE('',*,*,#2388,.T.); +#645=ORIENTED_EDGE('',*,*,#2389,.F.); +#646=ORIENTED_EDGE('',*,*,#2390,.T.); +#647=ORIENTED_EDGE('',*,*,#2371,.T.); +#648=ORIENTED_EDGE('',*,*,#2391,.T.); +#649=ORIENTED_EDGE('',*,*,#2392,.F.); +#650=ORIENTED_EDGE('',*,*,#2393,.T.); +#651=ORIENTED_EDGE('',*,*,#2374,.T.); +#652=ORIENTED_EDGE('',*,*,#2394,.T.); +#653=ORIENTED_EDGE('',*,*,#2395,.F.); +#654=ORIENTED_EDGE('',*,*,#2377,.T.); +#655=ORIENTED_EDGE('',*,*,#2396,.F.); +#656=ORIENTED_EDGE('',*,*,#2397,.F.); +#657=ORIENTED_EDGE('',*,*,#2398,.F.); +#658=ORIENTED_EDGE('',*,*,#2380,.T.); +#659=ORIENTED_EDGE('',*,*,#2399,.F.); +#660=ORIENTED_EDGE('',*,*,#2400,.F.); +#661=ORIENTED_EDGE('',*,*,#2401,.F.); +#662=ORIENTED_EDGE('',*,*,#2383,.T.); +#663=ORIENTED_EDGE('',*,*,#2402,.F.); +#664=ORIENTED_EDGE('',*,*,#2403,.F.); +#665=ORIENTED_EDGE('',*,*,#2404,.F.); +#666=ORIENTED_EDGE('',*,*,#2386,.T.); +#667=ORIENTED_EDGE('',*,*,#2405,.F.); +#668=ORIENTED_EDGE('',*,*,#2406,.F.); +#669=ORIENTED_EDGE('',*,*,#2407,.F.); +#670=ORIENTED_EDGE('',*,*,#2389,.T.); +#671=ORIENTED_EDGE('',*,*,#2408,.F.); +#672=ORIENTED_EDGE('',*,*,#2409,.F.); +#673=ORIENTED_EDGE('',*,*,#2410,.F.); +#674=ORIENTED_EDGE('',*,*,#2392,.T.); +#675=ORIENTED_EDGE('',*,*,#2411,.F.); +#676=ORIENTED_EDGE('',*,*,#2412,.F.); +#677=ORIENTED_EDGE('',*,*,#2413,.F.); +#678=ORIENTED_EDGE('',*,*,#2414,.T.); +#679=ORIENTED_EDGE('',*,*,#2397,.T.); +#680=ORIENTED_EDGE('',*,*,#2415,.T.); +#681=ORIENTED_EDGE('',*,*,#2416,.F.); +#682=ORIENTED_EDGE('',*,*,#2417,.T.); +#683=ORIENTED_EDGE('',*,*,#2400,.T.); +#684=ORIENTED_EDGE('',*,*,#2418,.T.); +#685=ORIENTED_EDGE('',*,*,#2419,.F.); +#686=ORIENTED_EDGE('',*,*,#2420,.T.); +#687=ORIENTED_EDGE('',*,*,#2403,.T.); +#688=ORIENTED_EDGE('',*,*,#2421,.T.); +#689=ORIENTED_EDGE('',*,*,#2422,.F.); +#690=ORIENTED_EDGE('',*,*,#2423,.T.); +#691=ORIENTED_EDGE('',*,*,#2406,.T.); +#692=ORIENTED_EDGE('',*,*,#2424,.T.); +#693=ORIENTED_EDGE('',*,*,#2425,.F.); +#694=ORIENTED_EDGE('',*,*,#2426,.T.); +#695=ORIENTED_EDGE('',*,*,#2409,.T.); +#696=ORIENTED_EDGE('',*,*,#2427,.T.); +#697=ORIENTED_EDGE('',*,*,#2428,.F.); +#698=ORIENTED_EDGE('',*,*,#2429,.T.); +#699=ORIENTED_EDGE('',*,*,#2412,.T.); +#700=ORIENTED_EDGE('',*,*,#2430,.T.); +#701=ORIENTED_EDGE('',*,*,#2431,.F.); +#702=ORIENTED_EDGE('',*,*,#2432,.T.); +#703=ORIENTED_EDGE('',*,*,#2413,.T.); +#704=ORIENTED_EDGE('',*,*,#2433,.T.); +#705=ORIENTED_EDGE('',*,*,#2434,.F.); +#706=ORIENTED_EDGE('',*,*,#2435,.T.); +#707=ORIENTED_EDGE('',*,*,#2416,.T.); +#708=ORIENTED_EDGE('',*,*,#2436,.T.); +#709=ORIENTED_EDGE('',*,*,#2437,.F.); +#710=ORIENTED_EDGE('',*,*,#2438,.T.); +#711=ORIENTED_EDGE('',*,*,#2419,.T.); +#712=ORIENTED_EDGE('',*,*,#2439,.T.); +#713=ORIENTED_EDGE('',*,*,#2440,.F.); +#714=ORIENTED_EDGE('',*,*,#2441,.T.); +#715=ORIENTED_EDGE('',*,*,#2422,.T.); +#716=ORIENTED_EDGE('',*,*,#2442,.T.); +#717=ORIENTED_EDGE('',*,*,#2443,.F.); +#718=ORIENTED_EDGE('',*,*,#2444,.T.); +#719=ORIENTED_EDGE('',*,*,#2425,.T.); +#720=ORIENTED_EDGE('',*,*,#2445,.T.); +#721=ORIENTED_EDGE('',*,*,#2446,.F.); +#722=ORIENTED_EDGE('',*,*,#2447,.T.); +#723=ORIENTED_EDGE('',*,*,#2428,.T.); +#724=ORIENTED_EDGE('',*,*,#2448,.T.); +#725=ORIENTED_EDGE('',*,*,#2449,.T.); +#726=ORIENTED_EDGE('',*,*,#2450,.T.); +#727=ORIENTED_EDGE('',*,*,#2451,.F.); +#728=ORIENTED_EDGE('',*,*,#2452,.T.); +#729=ORIENTED_EDGE('',*,*,#2453,.T.); +#730=ORIENTED_EDGE('',*,*,#2454,.T.); +#731=ORIENTED_EDGE('',*,*,#2455,.F.); +#732=ORIENTED_EDGE('',*,*,#2456,.T.); +#733=ORIENTED_EDGE('',*,*,#2457,.T.); +#734=ORIENTED_EDGE('',*,*,#2458,.T.); +#735=ORIENTED_EDGE('',*,*,#2459,.F.); +#736=ORIENTED_EDGE('',*,*,#2460,.T.); +#737=ORIENTED_EDGE('',*,*,#2461,.T.); +#738=ORIENTED_EDGE('',*,*,#2462,.T.); +#739=ORIENTED_EDGE('',*,*,#2463,.F.); +#740=ORIENTED_EDGE('',*,*,#2464,.T.); +#741=ORIENTED_EDGE('',*,*,#2465,.T.); +#742=ORIENTED_EDGE('',*,*,#2466,.T.); +#743=ORIENTED_EDGE('',*,*,#2467,.F.); +#744=ORIENTED_EDGE('',*,*,#2468,.T.); +#745=ORIENTED_EDGE('',*,*,#2469,.T.); +#746=ORIENTED_EDGE('',*,*,#2470,.T.); +#747=ORIENTED_EDGE('',*,*,#2471,.F.); +#748=ORIENTED_EDGE('',*,*,#2472,.T.); +#749=ORIENTED_EDGE('',*,*,#2451,.T.); +#750=ORIENTED_EDGE('',*,*,#2473,.F.); +#751=ORIENTED_EDGE('',*,*,#2474,.F.); +#752=ORIENTED_EDGE('',*,*,#2475,.T.); +#753=ORIENTED_EDGE('',*,*,#2455,.T.); +#754=ORIENTED_EDGE('',*,*,#2476,.F.); +#755=ORIENTED_EDGE('',*,*,#2477,.F.); +#756=ORIENTED_EDGE('',*,*,#2478,.T.); +#757=ORIENTED_EDGE('',*,*,#2459,.T.); +#758=ORIENTED_EDGE('',*,*,#2479,.F.); +#759=ORIENTED_EDGE('',*,*,#2480,.F.); +#760=ORIENTED_EDGE('',*,*,#2481,.T.); +#761=ORIENTED_EDGE('',*,*,#2463,.T.); +#762=ORIENTED_EDGE('',*,*,#2482,.F.); +#763=ORIENTED_EDGE('',*,*,#2483,.F.); +#764=ORIENTED_EDGE('',*,*,#2484,.T.); +#765=ORIENTED_EDGE('',*,*,#2467,.T.); +#766=ORIENTED_EDGE('',*,*,#2485,.F.); +#767=ORIENTED_EDGE('',*,*,#2486,.F.); +#768=ORIENTED_EDGE('',*,*,#2487,.T.); +#769=ORIENTED_EDGE('',*,*,#2471,.T.); +#770=ORIENTED_EDGE('',*,*,#2488,.F.); +#771=ORIENTED_EDGE('',*,*,#2489,.F.); +#772=ORIENTED_EDGE('',*,*,#2490,.T.); +#773=ORIENTED_EDGE('',*,*,#2474,.T.); +#774=ORIENTED_EDGE('',*,*,#2491,.T.); +#775=ORIENTED_EDGE('',*,*,#2492,.F.); +#776=ORIENTED_EDGE('',*,*,#2493,.T.); +#777=ORIENTED_EDGE('',*,*,#2477,.T.); +#778=ORIENTED_EDGE('',*,*,#2494,.T.); +#779=ORIENTED_EDGE('',*,*,#2495,.F.); +#780=ORIENTED_EDGE('',*,*,#2496,.T.); +#781=ORIENTED_EDGE('',*,*,#2480,.T.); +#782=ORIENTED_EDGE('',*,*,#2497,.T.); +#783=ORIENTED_EDGE('',*,*,#2498,.F.); +#784=ORIENTED_EDGE('',*,*,#2499,.T.); +#785=ORIENTED_EDGE('',*,*,#2483,.T.); +#786=ORIENTED_EDGE('',*,*,#2500,.T.); +#787=ORIENTED_EDGE('',*,*,#2501,.F.); +#788=ORIENTED_EDGE('',*,*,#2502,.T.); +#789=ORIENTED_EDGE('',*,*,#2486,.T.); +#790=ORIENTED_EDGE('',*,*,#2503,.T.); +#791=ORIENTED_EDGE('',*,*,#2504,.F.); +#792=ORIENTED_EDGE('',*,*,#2505,.T.); +#793=ORIENTED_EDGE('',*,*,#2489,.T.); +#794=ORIENTED_EDGE('',*,*,#2506,.T.); +#795=ORIENTED_EDGE('',*,*,#2507,.F.); +#796=ORIENTED_EDGE('',*,*,#2508,.T.); +#797=ORIENTED_EDGE('',*,*,#2509,.F.); +#798=ORIENTED_EDGE('',*,*,#2510,.T.); +#799=ORIENTED_EDGE('',*,*,#2492,.T.); +#800=ORIENTED_EDGE('',*,*,#2511,.T.); +#801=ORIENTED_EDGE('',*,*,#2495,.T.); +#802=ORIENTED_EDGE('',*,*,#2512,.T.); +#803=ORIENTED_EDGE('',*,*,#2513,.F.); +#804=ORIENTED_EDGE('',*,*,#2514,.T.); +#805=ORIENTED_EDGE('',*,*,#2498,.T.); +#806=ORIENTED_EDGE('',*,*,#2515,.T.); +#807=ORIENTED_EDGE('',*,*,#2516,.F.); +#808=ORIENTED_EDGE('',*,*,#2517,.T.); +#809=ORIENTED_EDGE('',*,*,#2501,.T.); +#810=ORIENTED_EDGE('',*,*,#2518,.T.); +#811=ORIENTED_EDGE('',*,*,#2519,.F.); +#812=ORIENTED_EDGE('',*,*,#2520,.T.); +#813=ORIENTED_EDGE('',*,*,#2504,.T.); +#814=ORIENTED_EDGE('',*,*,#2521,.T.); +#815=ORIENTED_EDGE('',*,*,#2522,.F.); +#816=ORIENTED_EDGE('',*,*,#2523,.T.); +#817=ORIENTED_EDGE('',*,*,#2507,.T.); +#818=ORIENTED_EDGE('',*,*,#2524,.T.); +#819=ORIENTED_EDGE('',*,*,#2525,.F.); +#820=ORIENTED_EDGE('',*,*,#2526,.T.); +#821=ORIENTED_EDGE('',*,*,#2527,.F.); +#822=ORIENTED_EDGE('',*,*,#2528,.T.); +#823=ORIENTED_EDGE('',*,*,#2529,.T.); +#824=ORIENTED_EDGE('',*,*,#2530,.T.); +#825=ORIENTED_EDGE('',*,*,#2531,.T.); +#826=ORIENTED_EDGE('',*,*,#2532,.T.); +#827=ORIENTED_EDGE('',*,*,#2533,.F.); +#828=ORIENTED_EDGE('',*,*,#2534,.T.); +#829=ORIENTED_EDGE('',*,*,#2535,.T.); +#830=ORIENTED_EDGE('',*,*,#2536,.T.); +#831=ORIENTED_EDGE('',*,*,#2537,.F.); +#832=ORIENTED_EDGE('',*,*,#2538,.T.); +#833=ORIENTED_EDGE('',*,*,#2539,.T.); +#834=ORIENTED_EDGE('',*,*,#2540,.T.); +#835=ORIENTED_EDGE('',*,*,#2541,.F.); +#836=ORIENTED_EDGE('',*,*,#2542,.T.); +#837=ORIENTED_EDGE('',*,*,#2543,.T.); +#838=ORIENTED_EDGE('',*,*,#2544,.T.); +#839=ORIENTED_EDGE('',*,*,#2545,.F.); +#840=ORIENTED_EDGE('',*,*,#2546,.T.); +#841=ORIENTED_EDGE('',*,*,#2547,.T.); +#842=ORIENTED_EDGE('',*,*,#2548,.T.); +#843=ORIENTED_EDGE('',*,*,#2549,.F.); +#844=ORIENTED_EDGE('',*,*,#2550,.T.); +#845=ORIENTED_EDGE('',*,*,#2527,.T.); +#846=ORIENTED_EDGE('',*,*,#2551,.T.); +#847=ORIENTED_EDGE('',*,*,#2552,.F.); +#848=ORIENTED_EDGE('',*,*,#2553,.T.); +#849=ORIENTED_EDGE('',*,*,#2533,.T.); +#850=ORIENTED_EDGE('',*,*,#2554,.T.); +#851=ORIENTED_EDGE('',*,*,#2555,.F.); +#852=ORIENTED_EDGE('',*,*,#2556,.T.); +#853=ORIENTED_EDGE('',*,*,#2537,.T.); +#854=ORIENTED_EDGE('',*,*,#2557,.T.); +#855=ORIENTED_EDGE('',*,*,#2558,.F.); +#856=ORIENTED_EDGE('',*,*,#2559,.T.); +#857=ORIENTED_EDGE('',*,*,#2541,.T.); +#858=ORIENTED_EDGE('',*,*,#2560,.T.); +#859=ORIENTED_EDGE('',*,*,#2561,.F.); +#860=ORIENTED_EDGE('',*,*,#2562,.T.); +#861=ORIENTED_EDGE('',*,*,#2545,.T.); +#862=ORIENTED_EDGE('',*,*,#2563,.T.); +#863=ORIENTED_EDGE('',*,*,#2564,.F.); +#864=ORIENTED_EDGE('',*,*,#2565,.T.); +#865=ORIENTED_EDGE('',*,*,#2549,.T.); +#866=ORIENTED_EDGE('',*,*,#2566,.T.); +#867=ORIENTED_EDGE('',*,*,#2567,.F.); +#868=ORIENTED_EDGE('',*,*,#2568,.T.); +#869=ORIENTED_EDGE('',*,*,#2552,.T.); +#870=ORIENTED_EDGE('',*,*,#2569,.F.); +#871=ORIENTED_EDGE('',*,*,#2570,.F.); +#872=ORIENTED_EDGE('',*,*,#2571,.T.); +#873=ORIENTED_EDGE('',*,*,#2555,.T.); +#874=ORIENTED_EDGE('',*,*,#2572,.F.); +#875=ORIENTED_EDGE('',*,*,#2573,.F.); +#876=ORIENTED_EDGE('',*,*,#2574,.T.); +#877=ORIENTED_EDGE('',*,*,#2558,.T.); +#878=ORIENTED_EDGE('',*,*,#2575,.F.); +#879=ORIENTED_EDGE('',*,*,#2576,.F.); +#880=ORIENTED_EDGE('',*,*,#2577,.T.); +#881=ORIENTED_EDGE('',*,*,#2561,.T.); +#882=ORIENTED_EDGE('',*,*,#2578,.F.); +#883=ORIENTED_EDGE('',*,*,#2579,.F.); +#884=ORIENTED_EDGE('',*,*,#2580,.T.); +#885=ORIENTED_EDGE('',*,*,#2564,.T.); +#886=ORIENTED_EDGE('',*,*,#2581,.F.); +#887=ORIENTED_EDGE('',*,*,#2582,.F.); +#888=ORIENTED_EDGE('',*,*,#2583,.T.); +#889=ORIENTED_EDGE('',*,*,#2567,.T.); +#890=ORIENTED_EDGE('',*,*,#2584,.F.); +#891=ORIENTED_EDGE('',*,*,#2585,.F.); +#892=ORIENTED_EDGE('',*,*,#2586,.T.); +#893=ORIENTED_EDGE('',*,*,#2570,.T.); +#894=ORIENTED_EDGE('',*,*,#2587,.T.); +#895=ORIENTED_EDGE('',*,*,#2588,.F.); +#896=ORIENTED_EDGE('',*,*,#2589,.T.); +#897=ORIENTED_EDGE('',*,*,#2573,.T.); +#898=ORIENTED_EDGE('',*,*,#2590,.T.); +#899=ORIENTED_EDGE('',*,*,#2591,.F.); +#900=ORIENTED_EDGE('',*,*,#2592,.T.); +#901=ORIENTED_EDGE('',*,*,#2576,.T.); +#902=ORIENTED_EDGE('',*,*,#2593,.T.); +#903=ORIENTED_EDGE('',*,*,#2594,.F.); +#904=ORIENTED_EDGE('',*,*,#2595,.T.); +#905=ORIENTED_EDGE('',*,*,#2579,.T.); +#906=ORIENTED_EDGE('',*,*,#2596,.T.); +#907=ORIENTED_EDGE('',*,*,#2597,.F.); +#908=ORIENTED_EDGE('',*,*,#2598,.T.); +#909=ORIENTED_EDGE('',*,*,#2582,.T.); +#910=ORIENTED_EDGE('',*,*,#2599,.T.); +#911=ORIENTED_EDGE('',*,*,#2600,.F.); +#912=ORIENTED_EDGE('',*,*,#2601,.T.); +#913=ORIENTED_EDGE('',*,*,#2585,.T.); +#914=ORIENTED_EDGE('',*,*,#2602,.T.); +#915=ORIENTED_EDGE('',*,*,#2603,.F.); +#916=ORIENTED_EDGE('',*,*,#2604,.T.); +#917=ORIENTED_EDGE('',*,*,#2605,.F.); +#918=ORIENTED_EDGE('',*,*,#2606,.T.); +#919=ORIENTED_EDGE('',*,*,#2607,.F.); +#920=ORIENTED_EDGE('',*,*,#2608,.F.); +#921=ORIENTED_EDGE('',*,*,#2609,.F.); +#922=ORIENTED_EDGE('',*,*,#2610,.T.); +#923=ORIENTED_EDGE('',*,*,#2611,.F.); +#924=ORIENTED_EDGE('',*,*,#2612,.F.); +#925=ORIENTED_EDGE('',*,*,#2613,.F.); +#926=ORIENTED_EDGE('',*,*,#2614,.T.); +#927=ORIENTED_EDGE('',*,*,#2615,.F.); +#928=ORIENTED_EDGE('',*,*,#2616,.F.); +#929=ORIENTED_EDGE('',*,*,#2617,.F.); +#930=ORIENTED_EDGE('',*,*,#2618,.T.); +#931=ORIENTED_EDGE('',*,*,#2619,.F.); +#932=ORIENTED_EDGE('',*,*,#2620,.F.); +#933=ORIENTED_EDGE('',*,*,#2621,.F.); +#934=ORIENTED_EDGE('',*,*,#2622,.T.); +#935=ORIENTED_EDGE('',*,*,#2623,.F.); +#936=ORIENTED_EDGE('',*,*,#2624,.F.); +#937=ORIENTED_EDGE('',*,*,#2625,.F.); +#938=ORIENTED_EDGE('',*,*,#2626,.T.); +#939=ORIENTED_EDGE('',*,*,#2627,.F.); +#940=ORIENTED_EDGE('',*,*,#2628,.F.); +#941=ORIENTED_EDGE('',*,*,#2629,.F.); +#942=ORIENTED_EDGE('',*,*,#2630,.T.); +#943=ORIENTED_EDGE('',*,*,#2608,.T.); +#944=ORIENTED_EDGE('',*,*,#2631,.T.); +#945=ORIENTED_EDGE('',*,*,#2632,.F.); +#946=ORIENTED_EDGE('',*,*,#2633,.T.); +#947=ORIENTED_EDGE('',*,*,#2612,.T.); +#948=ORIENTED_EDGE('',*,*,#2634,.T.); +#949=ORIENTED_EDGE('',*,*,#2635,.F.); +#950=ORIENTED_EDGE('',*,*,#2636,.T.); +#951=ORIENTED_EDGE('',*,*,#2616,.T.); +#952=ORIENTED_EDGE('',*,*,#2637,.T.); +#953=ORIENTED_EDGE('',*,*,#2638,.F.); +#954=ORIENTED_EDGE('',*,*,#2639,.T.); +#955=ORIENTED_EDGE('',*,*,#2620,.T.); +#956=ORIENTED_EDGE('',*,*,#2640,.T.); +#957=ORIENTED_EDGE('',*,*,#2641,.F.); +#958=ORIENTED_EDGE('',*,*,#2642,.T.); +#959=ORIENTED_EDGE('',*,*,#2624,.T.); +#960=ORIENTED_EDGE('',*,*,#2643,.T.); +#961=ORIENTED_EDGE('',*,*,#2644,.F.); +#962=ORIENTED_EDGE('',*,*,#2645,.T.); +#963=ORIENTED_EDGE('',*,*,#2628,.T.); +#964=ORIENTED_EDGE('',*,*,#2646,.T.); +#965=ORIENTED_EDGE('',*,*,#2647,.F.); +#966=ORIENTED_EDGE('',*,*,#2648,.T.); +#967=ORIENTED_EDGE('',*,*,#2629,.T.); +#968=ORIENTED_EDGE('',*,*,#2649,.T.); +#969=ORIENTED_EDGE('',*,*,#2650,.F.); +#970=ORIENTED_EDGE('',*,*,#2651,.T.); +#971=ORIENTED_EDGE('',*,*,#2632,.T.); +#972=ORIENTED_EDGE('',*,*,#2652,.T.); +#973=ORIENTED_EDGE('',*,*,#2653,.F.); +#974=ORIENTED_EDGE('',*,*,#2654,.T.); +#975=ORIENTED_EDGE('',*,*,#2635,.T.); +#976=ORIENTED_EDGE('',*,*,#2655,.T.); +#977=ORIENTED_EDGE('',*,*,#2656,.F.); +#978=ORIENTED_EDGE('',*,*,#2657,.T.); +#979=ORIENTED_EDGE('',*,*,#2638,.T.); +#980=ORIENTED_EDGE('',*,*,#2658,.T.); +#981=ORIENTED_EDGE('',*,*,#2659,.F.); +#982=ORIENTED_EDGE('',*,*,#2660,.T.); +#983=ORIENTED_EDGE('',*,*,#2641,.T.); +#984=ORIENTED_EDGE('',*,*,#2661,.T.); +#985=ORIENTED_EDGE('',*,*,#2662,.F.); +#986=ORIENTED_EDGE('',*,*,#2663,.T.); +#987=ORIENTED_EDGE('',*,*,#2644,.T.); +#988=ORIENTED_EDGE('',*,*,#2664,.T.); +#989=ORIENTED_EDGE('',*,*,#2665,.F.); +#990=ORIENTED_EDGE('',*,*,#2666,.T.); +#991=ORIENTED_EDGE('',*,*,#2647,.T.); +#992=ORIENTED_EDGE('',*,*,#2667,.T.); +#993=ORIENTED_EDGE('',*,*,#2668,.F.); +#994=ORIENTED_EDGE('',*,*,#2669,.T.); +#995=ORIENTED_EDGE('',*,*,#2650,.T.); +#996=ORIENTED_EDGE('',*,*,#2670,.T.); +#997=ORIENTED_EDGE('',*,*,#2671,.F.); +#998=ORIENTED_EDGE('',*,*,#2672,.T.); +#999=ORIENTED_EDGE('',*,*,#2653,.T.); +#1000=ORIENTED_EDGE('',*,*,#2673,.T.); +#1001=ORIENTED_EDGE('',*,*,#2674,.F.); +#1002=ORIENTED_EDGE('',*,*,#2675,.T.); +#1003=ORIENTED_EDGE('',*,*,#2656,.T.); +#1004=ORIENTED_EDGE('',*,*,#2676,.T.); +#1005=ORIENTED_EDGE('',*,*,#2677,.F.); +#1006=ORIENTED_EDGE('',*,*,#2678,.T.); +#1007=ORIENTED_EDGE('',*,*,#2659,.T.); +#1008=ORIENTED_EDGE('',*,*,#2679,.T.); +#1009=ORIENTED_EDGE('',*,*,#2680,.F.); +#1010=ORIENTED_EDGE('',*,*,#2681,.T.); +#1011=ORIENTED_EDGE('',*,*,#2662,.T.); +#1012=ORIENTED_EDGE('',*,*,#2682,.T.); +#1013=ORIENTED_EDGE('',*,*,#2683,.F.); +#1014=ORIENTED_EDGE('',*,*,#2684,.T.); +#1015=ORIENTED_EDGE('',*,*,#2665,.T.); +#1016=ORIENTED_EDGE('',*,*,#2685,.T.); +#1017=ORIENTED_EDGE('',*,*,#2686,.F.); +#1018=ORIENTED_EDGE('',*,*,#2687,.T.); +#1019=ORIENTED_EDGE('',*,*,#2668,.T.); +#1020=ORIENTED_EDGE('',*,*,#2688,.T.); +#1021=ORIENTED_EDGE('',*,*,#2689,.F.); +#1022=ORIENTED_EDGE('',*,*,#2690,.T.); +#1023=ORIENTED_EDGE('',*,*,#2671,.T.); +#1024=ORIENTED_EDGE('',*,*,#2691,.T.); +#1025=ORIENTED_EDGE('',*,*,#2692,.F.); +#1026=ORIENTED_EDGE('',*,*,#2693,.T.); +#1027=ORIENTED_EDGE('',*,*,#2674,.T.); +#1028=ORIENTED_EDGE('',*,*,#2694,.T.); +#1029=ORIENTED_EDGE('',*,*,#2695,.F.); +#1030=ORIENTED_EDGE('',*,*,#2696,.T.); +#1031=ORIENTED_EDGE('',*,*,#2677,.T.); +#1032=ORIENTED_EDGE('',*,*,#2697,.T.); +#1033=ORIENTED_EDGE('',*,*,#2698,.F.); +#1034=ORIENTED_EDGE('',*,*,#2699,.T.); +#1035=ORIENTED_EDGE('',*,*,#2680,.T.); +#1036=ORIENTED_EDGE('',*,*,#2700,.T.); +#1037=ORIENTED_EDGE('',*,*,#2701,.F.); +#1038=ORIENTED_EDGE('',*,*,#2702,.T.); +#1039=ORIENTED_EDGE('',*,*,#2683,.T.); +#1040=ORIENTED_EDGE('',*,*,#2703,.T.); +#1041=ORIENTED_EDGE('',*,*,#2704,.F.); +#1042=ORIENTED_EDGE('',*,*,#2705,.T.); +#1043=ORIENTED_EDGE('',*,*,#2686,.T.); +#1044=ORIENTED_EDGE('',*,*,#2706,.T.); +#1045=ORIENTED_EDGE('',*,*,#2707,.F.); +#1046=ORIENTED_EDGE('',*,*,#2708,.T.); +#1047=ORIENTED_EDGE('',*,*,#2689,.T.); +#1048=ORIENTED_EDGE('',*,*,#2709,.T.); +#1049=ORIENTED_EDGE('',*,*,#2710,.F.); +#1050=ORIENTED_EDGE('',*,*,#2711,.T.); +#1051=ORIENTED_EDGE('',*,*,#2692,.T.); +#1052=ORIENTED_EDGE('',*,*,#2712,.T.); +#1053=ORIENTED_EDGE('',*,*,#2713,.F.); +#1054=ORIENTED_EDGE('',*,*,#2714,.T.); +#1055=ORIENTED_EDGE('',*,*,#2695,.T.); +#1056=ORIENTED_EDGE('',*,*,#2715,.T.); +#1057=ORIENTED_EDGE('',*,*,#2716,.F.); +#1058=ORIENTED_EDGE('',*,*,#2717,.T.); +#1059=ORIENTED_EDGE('',*,*,#2698,.T.); +#1060=ORIENTED_EDGE('',*,*,#2718,.T.); +#1061=ORIENTED_EDGE('',*,*,#2719,.F.); +#1062=ORIENTED_EDGE('',*,*,#2701,.T.); +#1063=ORIENTED_EDGE('',*,*,#2720,.F.); +#1064=ORIENTED_EDGE('',*,*,#2721,.F.); +#1065=ORIENTED_EDGE('',*,*,#2722,.F.); +#1066=ORIENTED_EDGE('',*,*,#2704,.T.); +#1067=ORIENTED_EDGE('',*,*,#2723,.F.); +#1068=ORIENTED_EDGE('',*,*,#2724,.F.); +#1069=ORIENTED_EDGE('',*,*,#2725,.F.); +#1070=ORIENTED_EDGE('',*,*,#2707,.T.); +#1071=ORIENTED_EDGE('',*,*,#2726,.F.); +#1072=ORIENTED_EDGE('',*,*,#2727,.F.); +#1073=ORIENTED_EDGE('',*,*,#2728,.F.); +#1074=ORIENTED_EDGE('',*,*,#2710,.T.); +#1075=ORIENTED_EDGE('',*,*,#2729,.F.); +#1076=ORIENTED_EDGE('',*,*,#2730,.F.); +#1077=ORIENTED_EDGE('',*,*,#2731,.F.); +#1078=ORIENTED_EDGE('',*,*,#2713,.T.); +#1079=ORIENTED_EDGE('',*,*,#2732,.F.); +#1080=ORIENTED_EDGE('',*,*,#2733,.F.); +#1081=ORIENTED_EDGE('',*,*,#2734,.F.); +#1082=ORIENTED_EDGE('',*,*,#2716,.T.); +#1083=ORIENTED_EDGE('',*,*,#2735,.F.); +#1084=ORIENTED_EDGE('',*,*,#2736,.F.); +#1085=ORIENTED_EDGE('',*,*,#2737,.F.); +#1086=ORIENTED_EDGE('',*,*,#2738,.T.); +#1087=ORIENTED_EDGE('',*,*,#2721,.T.); +#1088=ORIENTED_EDGE('',*,*,#2739,.T.); +#1089=ORIENTED_EDGE('',*,*,#2740,.F.); +#1090=ORIENTED_EDGE('',*,*,#2741,.T.); +#1091=ORIENTED_EDGE('',*,*,#2724,.T.); +#1092=ORIENTED_EDGE('',*,*,#2742,.T.); +#1093=ORIENTED_EDGE('',*,*,#2743,.F.); +#1094=ORIENTED_EDGE('',*,*,#2744,.T.); +#1095=ORIENTED_EDGE('',*,*,#2727,.T.); +#1096=ORIENTED_EDGE('',*,*,#2745,.T.); +#1097=ORIENTED_EDGE('',*,*,#2746,.F.); +#1098=ORIENTED_EDGE('',*,*,#2747,.T.); +#1099=ORIENTED_EDGE('',*,*,#2730,.T.); +#1100=ORIENTED_EDGE('',*,*,#2748,.T.); +#1101=ORIENTED_EDGE('',*,*,#2749,.F.); +#1102=ORIENTED_EDGE('',*,*,#2750,.T.); +#1103=ORIENTED_EDGE('',*,*,#2733,.T.); +#1104=ORIENTED_EDGE('',*,*,#2751,.T.); +#1105=ORIENTED_EDGE('',*,*,#2752,.F.); +#1106=ORIENTED_EDGE('',*,*,#2753,.T.); +#1107=ORIENTED_EDGE('',*,*,#2736,.T.); +#1108=ORIENTED_EDGE('',*,*,#2754,.T.); +#1109=ORIENTED_EDGE('',*,*,#2755,.F.); +#1110=ORIENTED_EDGE('',*,*,#2756,.T.); +#1111=ORIENTED_EDGE('',*,*,#2737,.T.); +#1112=ORIENTED_EDGE('',*,*,#2757,.T.); +#1113=ORIENTED_EDGE('',*,*,#2758,.F.); +#1114=ORIENTED_EDGE('',*,*,#2759,.T.); +#1115=ORIENTED_EDGE('',*,*,#2740,.T.); +#1116=ORIENTED_EDGE('',*,*,#2760,.T.); +#1117=ORIENTED_EDGE('',*,*,#2761,.F.); +#1118=ORIENTED_EDGE('',*,*,#2762,.T.); +#1119=ORIENTED_EDGE('',*,*,#2743,.T.); +#1120=ORIENTED_EDGE('',*,*,#2763,.T.); +#1121=ORIENTED_EDGE('',*,*,#2764,.F.); +#1122=ORIENTED_EDGE('',*,*,#2765,.T.); +#1123=ORIENTED_EDGE('',*,*,#2746,.T.); +#1124=ORIENTED_EDGE('',*,*,#2766,.T.); +#1125=ORIENTED_EDGE('',*,*,#2767,.F.); +#1126=ORIENTED_EDGE('',*,*,#2768,.T.); +#1127=ORIENTED_EDGE('',*,*,#2749,.T.); +#1128=ORIENTED_EDGE('',*,*,#2769,.T.); +#1129=ORIENTED_EDGE('',*,*,#2770,.F.); +#1130=ORIENTED_EDGE('',*,*,#2771,.T.); +#1131=ORIENTED_EDGE('',*,*,#2752,.T.); +#1132=ORIENTED_EDGE('',*,*,#2772,.T.); +#1133=ORIENTED_EDGE('',*,*,#2773,.F.); +#1134=ORIENTED_EDGE('',*,*,#2774,.T.); +#1135=ORIENTED_EDGE('',*,*,#2755,.T.); +#1136=ORIENTED_EDGE('',*,*,#2775,.T.); +#1137=ORIENTED_EDGE('',*,*,#2776,.F.); +#1138=ORIENTED_EDGE('',*,*,#2777,.T.); +#1139=ORIENTED_EDGE('',*,*,#2758,.T.); +#1140=ORIENTED_EDGE('',*,*,#2778,.T.); +#1141=ORIENTED_EDGE('',*,*,#2779,.F.); +#1142=ORIENTED_EDGE('',*,*,#2780,.T.); +#1143=ORIENTED_EDGE('',*,*,#2761,.T.); +#1144=ORIENTED_EDGE('',*,*,#2781,.T.); +#1145=ORIENTED_EDGE('',*,*,#2782,.F.); +#1146=ORIENTED_EDGE('',*,*,#2783,.T.); +#1147=ORIENTED_EDGE('',*,*,#2764,.T.); +#1148=ORIENTED_EDGE('',*,*,#2784,.T.); +#1149=ORIENTED_EDGE('',*,*,#2785,.F.); +#1150=ORIENTED_EDGE('',*,*,#2786,.T.); +#1151=ORIENTED_EDGE('',*,*,#2767,.T.); +#1152=ORIENTED_EDGE('',*,*,#2787,.T.); +#1153=ORIENTED_EDGE('',*,*,#2788,.F.); +#1154=ORIENTED_EDGE('',*,*,#2789,.T.); +#1155=ORIENTED_EDGE('',*,*,#2770,.T.); +#1156=ORIENTED_EDGE('',*,*,#2790,.T.); +#1157=ORIENTED_EDGE('',*,*,#2791,.F.); +#1158=ORIENTED_EDGE('',*,*,#2792,.T.); +#1159=ORIENTED_EDGE('',*,*,#2793,.T.); +#1160=ORIENTED_EDGE('',*,*,#2794,.T.); +#1161=ORIENTED_EDGE('',*,*,#2795,.F.); +#1162=ORIENTED_EDGE('',*,*,#2796,.T.); +#1163=ORIENTED_EDGE('',*,*,#2797,.T.); +#1164=ORIENTED_EDGE('',*,*,#2798,.T.); +#1165=ORIENTED_EDGE('',*,*,#2799,.F.); +#1166=ORIENTED_EDGE('',*,*,#2800,.T.); +#1167=ORIENTED_EDGE('',*,*,#2801,.T.); +#1168=ORIENTED_EDGE('',*,*,#2802,.T.); +#1169=ORIENTED_EDGE('',*,*,#2803,.F.); +#1170=ORIENTED_EDGE('',*,*,#2804,.T.); +#1171=ORIENTED_EDGE('',*,*,#2805,.T.); +#1172=ORIENTED_EDGE('',*,*,#2806,.T.); +#1173=ORIENTED_EDGE('',*,*,#2807,.F.); +#1174=ORIENTED_EDGE('',*,*,#2808,.T.); +#1175=ORIENTED_EDGE('',*,*,#2809,.T.); +#1176=ORIENTED_EDGE('',*,*,#2810,.T.); +#1177=ORIENTED_EDGE('',*,*,#2811,.F.); +#1178=ORIENTED_EDGE('',*,*,#2812,.T.); +#1179=ORIENTED_EDGE('',*,*,#2813,.T.); +#1180=ORIENTED_EDGE('',*,*,#2814,.T.); +#1181=ORIENTED_EDGE('',*,*,#2815,.F.); +#1182=ORIENTED_EDGE('',*,*,#2791,.T.); +#1183=ORIENTED_EDGE('',*,*,#2816,.F.); +#1184=ORIENTED_EDGE('',*,*,#2817,.F.); +#1185=ORIENTED_EDGE('',*,*,#2818,.F.); +#1186=ORIENTED_EDGE('',*,*,#2795,.T.); +#1187=ORIENTED_EDGE('',*,*,#2819,.F.); +#1188=ORIENTED_EDGE('',*,*,#2820,.F.); +#1189=ORIENTED_EDGE('',*,*,#2821,.F.); +#1190=ORIENTED_EDGE('',*,*,#2799,.T.); +#1191=ORIENTED_EDGE('',*,*,#2822,.F.); +#1192=ORIENTED_EDGE('',*,*,#2823,.F.); +#1193=ORIENTED_EDGE('',*,*,#2824,.F.); +#1194=ORIENTED_EDGE('',*,*,#2803,.T.); +#1195=ORIENTED_EDGE('',*,*,#2825,.F.); +#1196=ORIENTED_EDGE('',*,*,#2826,.F.); +#1197=ORIENTED_EDGE('',*,*,#2827,.F.); +#1198=ORIENTED_EDGE('',*,*,#2807,.T.); +#1199=ORIENTED_EDGE('',*,*,#2828,.F.); +#1200=ORIENTED_EDGE('',*,*,#2829,.F.); +#1201=ORIENTED_EDGE('',*,*,#2830,.F.); +#1202=ORIENTED_EDGE('',*,*,#2811,.T.); +#1203=ORIENTED_EDGE('',*,*,#2831,.F.); +#1204=ORIENTED_EDGE('',*,*,#2832,.F.); +#1205=ORIENTED_EDGE('',*,*,#2833,.F.); +#1206=ORIENTED_EDGE('',*,*,#2834,.T.); +#1207=ORIENTED_EDGE('',*,*,#2817,.T.); +#1208=ORIENTED_EDGE('',*,*,#2835,.T.); +#1209=ORIENTED_EDGE('',*,*,#2836,.F.); +#1210=ORIENTED_EDGE('',*,*,#2837,.T.); +#1211=ORIENTED_EDGE('',*,*,#2820,.T.); +#1212=ORIENTED_EDGE('',*,*,#2838,.T.); +#1213=ORIENTED_EDGE('',*,*,#2839,.F.); +#1214=ORIENTED_EDGE('',*,*,#2840,.T.); +#1215=ORIENTED_EDGE('',*,*,#2823,.T.); +#1216=ORIENTED_EDGE('',*,*,#2841,.T.); +#1217=ORIENTED_EDGE('',*,*,#2842,.F.); +#1218=ORIENTED_EDGE('',*,*,#2843,.T.); +#1219=ORIENTED_EDGE('',*,*,#2826,.T.); +#1220=ORIENTED_EDGE('',*,*,#2844,.T.); +#1221=ORIENTED_EDGE('',*,*,#2845,.F.); +#1222=ORIENTED_EDGE('',*,*,#2846,.T.); +#1223=ORIENTED_EDGE('',*,*,#2829,.T.); +#1224=ORIENTED_EDGE('',*,*,#2847,.T.); +#1225=ORIENTED_EDGE('',*,*,#2848,.F.); +#1226=ORIENTED_EDGE('',*,*,#2849,.T.); +#1227=ORIENTED_EDGE('',*,*,#2832,.T.); +#1228=ORIENTED_EDGE('',*,*,#2850,.T.); +#1229=ORIENTED_EDGE('',*,*,#2337,.F.); +#1230=ORIENTED_EDGE('',*,*,#2851,.T.); +#1231=ORIENTED_EDGE('',*,*,#2833,.T.); +#1232=ORIENTED_EDGE('',*,*,#2852,.T.); +#1233=ORIENTED_EDGE('',*,*,#2341,.F.); +#1234=ORIENTED_EDGE('',*,*,#2853,.T.); +#1235=ORIENTED_EDGE('',*,*,#2836,.T.); +#1236=ORIENTED_EDGE('',*,*,#2854,.T.); +#1237=ORIENTED_EDGE('',*,*,#2345,.F.); +#1238=ORIENTED_EDGE('',*,*,#2855,.T.); +#1239=ORIENTED_EDGE('',*,*,#2839,.T.); +#1240=ORIENTED_EDGE('',*,*,#2856,.T.); +#1241=ORIENTED_EDGE('',*,*,#2349,.F.); +#1242=ORIENTED_EDGE('',*,*,#2857,.T.); +#1243=ORIENTED_EDGE('',*,*,#2842,.T.); +#1244=ORIENTED_EDGE('',*,*,#2858,.T.); +#1245=ORIENTED_EDGE('',*,*,#2353,.F.); +#1246=ORIENTED_EDGE('',*,*,#2859,.T.); +#1247=ORIENTED_EDGE('',*,*,#2845,.T.); +#1248=ORIENTED_EDGE('',*,*,#2860,.T.); +#1249=ORIENTED_EDGE('',*,*,#2357,.F.); +#1250=ORIENTED_EDGE('',*,*,#2861,.T.); +#1251=ORIENTED_EDGE('',*,*,#2848,.T.); +#1252=ORIENTED_EDGE('',*,*,#2862,.T.); +#1253=ORIENTED_EDGE('',*,*,#2863,.F.); +#1254=ORIENTED_EDGE('',*,*,#2864,.T.); +#1255=ORIENTED_EDGE('',*,*,#2865,.T.); +#1256=ORIENTED_EDGE('',*,*,#2866,.T.); +#1257=ORIENTED_EDGE('',*,*,#2867,.F.); +#1258=ORIENTED_EDGE('',*,*,#2868,.T.); +#1259=ORIENTED_EDGE('',*,*,#2863,.T.); +#1260=ORIENTED_EDGE('',*,*,#2869,.T.); +#1261=ORIENTED_EDGE('',*,*,#2870,.F.); +#1262=ORIENTED_EDGE('',*,*,#2871,.T.); +#1263=ORIENTED_EDGE('',*,*,#2867,.T.); +#1264=ORIENTED_EDGE('',*,*,#2872,.T.); +#1265=ORIENTED_EDGE('',*,*,#2873,.F.); +#1266=ORIENTED_EDGE('',*,*,#2870,.T.); +#1267=ORIENTED_EDGE('',*,*,#2874,.F.); +#1268=ORIENTED_EDGE('',*,*,#2875,.F.); +#1269=ORIENTED_EDGE('',*,*,#2876,.F.); +#1270=ORIENTED_EDGE('',*,*,#2877,.T.); +#1271=ORIENTED_EDGE('',*,*,#2875,.T.); +#1272=ORIENTED_EDGE('',*,*,#2878,.T.); +#1273=ORIENTED_EDGE('',*,*,#2879,.F.); +#1274=ORIENTED_EDGE('',*,*,#2880,.T.); +#1275=ORIENTED_EDGE('',*,*,#2876,.T.); +#1276=ORIENTED_EDGE('',*,*,#2881,.T.); +#1277=ORIENTED_EDGE('',*,*,#2449,.F.); +#1278=ORIENTED_EDGE('',*,*,#2882,.T.); +#1279=ORIENTED_EDGE('',*,*,#2883,.T.); +#1280=ORIENTED_EDGE('',*,*,#2884,.T.); +#1281=ORIENTED_EDGE('',*,*,#2453,.F.); +#1282=ORIENTED_EDGE('',*,*,#2885,.T.); +#1283=ORIENTED_EDGE('',*,*,#2886,.T.); +#1284=ORIENTED_EDGE('',*,*,#2887,.T.); +#1285=ORIENTED_EDGE('',*,*,#2457,.F.); +#1286=ORIENTED_EDGE('',*,*,#2888,.T.); +#1287=ORIENTED_EDGE('',*,*,#2889,.T.); +#1288=ORIENTED_EDGE('',*,*,#2890,.T.); +#1289=ORIENTED_EDGE('',*,*,#2461,.F.); +#1290=ORIENTED_EDGE('',*,*,#2891,.T.); +#1291=ORIENTED_EDGE('',*,*,#2892,.T.); +#1292=ORIENTED_EDGE('',*,*,#2893,.T.); +#1293=ORIENTED_EDGE('',*,*,#2465,.F.); +#1294=ORIENTED_EDGE('',*,*,#2894,.T.); +#1295=ORIENTED_EDGE('',*,*,#2895,.T.); +#1296=ORIENTED_EDGE('',*,*,#2896,.T.); +#1297=ORIENTED_EDGE('',*,*,#2469,.F.); +#1298=ORIENTED_EDGE('',*,*,#2897,.T.); +#1299=ORIENTED_EDGE('',*,*,#2898,.T.); +#1300=ORIENTED_EDGE('',*,*,#2899,.T.); +#1301=ORIENTED_EDGE('',*,*,#2900,.F.); +#1302=ORIENTED_EDGE('',*,*,#2901,.T.); +#1303=ORIENTED_EDGE('',*,*,#2902,.T.); +#1304=ORIENTED_EDGE('',*,*,#2903,.F.); +#1305=ORIENTED_EDGE('',*,*,#2904,.T.); +#1306=ORIENTED_EDGE('',*,*,#2905,.T.); +#1307=ORIENTED_EDGE('',*,*,#2431,.T.); +#1308=ORIENTED_EDGE('',*,*,#2906,.T.); +#1309=ORIENTED_EDGE('',*,*,#2907,.T.); +#1310=ORIENTED_EDGE('',*,*,#2908,.T.); +#1311=ORIENTED_EDGE('',*,*,#2434,.T.); +#1312=ORIENTED_EDGE('',*,*,#2909,.T.); +#1313=ORIENTED_EDGE('',*,*,#2910,.T.); +#1314=ORIENTED_EDGE('',*,*,#2911,.T.); +#1315=ORIENTED_EDGE('',*,*,#2437,.T.); +#1316=ORIENTED_EDGE('',*,*,#2912,.T.); +#1317=ORIENTED_EDGE('',*,*,#2913,.T.); +#1318=ORIENTED_EDGE('',*,*,#2914,.T.); +#1319=ORIENTED_EDGE('',*,*,#2440,.T.); +#1320=ORIENTED_EDGE('',*,*,#2915,.T.); +#1321=ORIENTED_EDGE('',*,*,#2916,.T.); +#1322=ORIENTED_EDGE('',*,*,#2917,.T.); +#1323=ORIENTED_EDGE('',*,*,#2443,.T.); +#1324=ORIENTED_EDGE('',*,*,#2918,.T.); +#1325=ORIENTED_EDGE('',*,*,#2919,.T.); +#1326=ORIENTED_EDGE('',*,*,#2920,.T.); +#1327=ORIENTED_EDGE('',*,*,#2446,.T.); +#1328=ORIENTED_EDGE('',*,*,#2921,.T.); +#1329=ORIENTED_EDGE('',*,*,#2922,.T.); +#1330=ORIENTED_EDGE('',*,*,#2923,.T.); +#1331=ORIENTED_EDGE('',*,*,#2879,.T.); +#1332=ORIENTED_EDGE('',*,*,#2924,.T.); +#1333=ORIENTED_EDGE('',*,*,#2900,.T.); +#1334=ORIENTED_EDGE('',*,*,#2925,.T.); +#1335=ORIENTED_EDGE('',*,*,#2926,.F.); +#1336=ORIENTED_EDGE('',*,*,#2927,.T.); +#1337=ORIENTED_EDGE('',*,*,#2926,.T.); +#1338=ORIENTED_EDGE('',*,*,#2928,.F.); +#1339=ORIENTED_EDGE('',*,*,#2929,.F.); +#1340=ORIENTED_EDGE('',*,*,#2930,.T.); +#1341=ORIENTED_EDGE('',*,*,#2929,.T.); +#1342=ORIENTED_EDGE('',*,*,#2931,.T.); +#1343=ORIENTED_EDGE('',*,*,#2932,.F.); +#1344=ORIENTED_EDGE('',*,*,#2933,.T.); +#1345=ORIENTED_EDGE('',*,*,#2932,.T.); +#1346=ORIENTED_EDGE('',*,*,#2934,.T.); +#1347=ORIENTED_EDGE('',*,*,#2935,.F.); +#1348=ORIENTED_EDGE('',*,*,#2936,.T.); +#1349=ORIENTED_EDGE('',*,*,#2935,.T.); +#1350=ORIENTED_EDGE('',*,*,#2937,.T.); +#1351=ORIENTED_EDGE('',*,*,#2898,.F.); +#1352=ORIENTED_EDGE('',*,*,#2938,.F.); +#1353=ORIENTED_EDGE('',*,*,#2525,.T.); +#1354=ORIENTED_EDGE('',*,*,#2939,.T.); +#1355=ORIENTED_EDGE('',*,*,#2895,.F.); +#1356=ORIENTED_EDGE('',*,*,#2940,.F.); +#1357=ORIENTED_EDGE('',*,*,#2522,.T.); +#1358=ORIENTED_EDGE('',*,*,#2941,.T.); +#1359=ORIENTED_EDGE('',*,*,#2892,.F.); +#1360=ORIENTED_EDGE('',*,*,#2942,.F.); +#1361=ORIENTED_EDGE('',*,*,#2519,.T.); +#1362=ORIENTED_EDGE('',*,*,#2943,.T.); +#1363=ORIENTED_EDGE('',*,*,#2889,.F.); +#1364=ORIENTED_EDGE('',*,*,#2944,.F.); +#1365=ORIENTED_EDGE('',*,*,#2516,.T.); +#1366=ORIENTED_EDGE('',*,*,#2945,.T.); +#1367=ORIENTED_EDGE('',*,*,#2886,.F.); +#1368=ORIENTED_EDGE('',*,*,#2946,.F.); +#1369=ORIENTED_EDGE('',*,*,#2513,.T.); +#1370=ORIENTED_EDGE('',*,*,#2947,.T.); +#1371=ORIENTED_EDGE('',*,*,#2883,.F.); +#1372=ORIENTED_EDGE('',*,*,#2948,.F.); +#1373=ORIENTED_EDGE('',*,*,#2509,.T.); +#1374=ORIENTED_EDGE('',*,*,#2949,.T.); +#1375=ORIENTED_EDGE('',*,*,#2529,.F.); +#1376=ORIENTED_EDGE('',*,*,#2950,.F.); +#1377=ORIENTED_EDGE('',*,*,#2951,.F.); +#1378=ORIENTED_EDGE('',*,*,#2952,.T.); +#1379=ORIENTED_EDGE('',*,*,#2531,.F.); +#1380=ORIENTED_EDGE('',*,*,#2953,.F.); +#1381=ORIENTED_EDGE('',*,*,#2954,.F.); +#1382=ORIENTED_EDGE('',*,*,#2955,.T.); +#1383=ORIENTED_EDGE('',*,*,#2535,.F.); +#1384=ORIENTED_EDGE('',*,*,#2956,.F.); +#1385=ORIENTED_EDGE('',*,*,#2957,.F.); +#1386=ORIENTED_EDGE('',*,*,#2958,.T.); +#1387=ORIENTED_EDGE('',*,*,#2539,.F.); +#1388=ORIENTED_EDGE('',*,*,#2959,.F.); +#1389=ORIENTED_EDGE('',*,*,#2960,.F.); +#1390=ORIENTED_EDGE('',*,*,#2961,.T.); +#1391=ORIENTED_EDGE('',*,*,#2543,.F.); +#1392=ORIENTED_EDGE('',*,*,#2962,.F.); +#1393=ORIENTED_EDGE('',*,*,#2963,.F.); +#1394=ORIENTED_EDGE('',*,*,#2964,.T.); +#1395=ORIENTED_EDGE('',*,*,#2547,.F.); +#1396=ORIENTED_EDGE('',*,*,#2965,.F.); +#1397=ORIENTED_EDGE('',*,*,#2966,.F.); +#1398=ORIENTED_EDGE('',*,*,#2967,.T.); +#1399=ORIENTED_EDGE('',*,*,#2968,.F.); +#1400=ORIENTED_EDGE('',*,*,#2969,.F.); +#1401=ORIENTED_EDGE('',*,*,#2970,.F.); +#1402=ORIENTED_EDGE('',*,*,#2971,.F.); +#1403=ORIENTED_EDGE('',*,*,#2902,.F.); +#1404=ORIENTED_EDGE('',*,*,#2972,.F.); +#1405=ORIENTED_EDGE('',*,*,#2968,.T.); +#1406=ORIENTED_EDGE('',*,*,#2973,.T.); +#1407=ORIENTED_EDGE('',*,*,#2974,.F.); +#1408=ORIENTED_EDGE('',*,*,#2975,.T.); +#1409=ORIENTED_EDGE('',*,*,#2974,.T.); +#1410=ORIENTED_EDGE('',*,*,#2976,.T.); +#1411=ORIENTED_EDGE('',*,*,#2977,.F.); +#1412=ORIENTED_EDGE('',*,*,#2978,.T.); +#1413=ORIENTED_EDGE('',*,*,#2977,.T.); +#1414=ORIENTED_EDGE('',*,*,#2979,.F.); +#1415=ORIENTED_EDGE('',*,*,#2980,.F.); +#1416=ORIENTED_EDGE('',*,*,#2981,.T.); +#1417=ORIENTED_EDGE('',*,*,#2980,.T.); +#1418=ORIENTED_EDGE('',*,*,#2982,.T.); +#1419=ORIENTED_EDGE('',*,*,#2983,.F.); +#1420=ORIENTED_EDGE('',*,*,#2984,.T.); +#1421=ORIENTED_EDGE('',*,*,#2951,.T.); +#1422=ORIENTED_EDGE('',*,*,#2985,.T.); +#1423=ORIENTED_EDGE('',*,*,#2588,.T.); +#1424=ORIENTED_EDGE('',*,*,#2986,.T.); +#1425=ORIENTED_EDGE('',*,*,#2987,.F.); +#1426=ORIENTED_EDGE('',*,*,#2988,.T.); +#1427=ORIENTED_EDGE('',*,*,#2989,.T.); +#1428=ORIENTED_EDGE('',*,*,#2990,.T.); +#1429=ORIENTED_EDGE('',*,*,#2626,.F.); +#1430=ORIENTED_EDGE('',*,*,#2991,.T.); +#1431=ORIENTED_EDGE('',*,*,#2992,.T.); +#1432=ORIENTED_EDGE('',*,*,#2993,.T.); +#1433=ORIENTED_EDGE('',*,*,#2622,.F.); +#1434=ORIENTED_EDGE('',*,*,#2994,.T.); +#1435=ORIENTED_EDGE('',*,*,#2995,.T.); +#1436=ORIENTED_EDGE('',*,*,#2996,.T.); +#1437=ORIENTED_EDGE('',*,*,#2618,.F.); +#1438=ORIENTED_EDGE('',*,*,#2997,.T.); +#1439=ORIENTED_EDGE('',*,*,#2998,.T.); +#1440=ORIENTED_EDGE('',*,*,#2999,.T.); +#1441=ORIENTED_EDGE('',*,*,#2614,.F.); +#1442=ORIENTED_EDGE('',*,*,#3000,.T.); +#1443=ORIENTED_EDGE('',*,*,#3001,.T.); +#1444=ORIENTED_EDGE('',*,*,#3002,.T.); +#1445=ORIENTED_EDGE('',*,*,#2610,.F.); +#1446=ORIENTED_EDGE('',*,*,#3003,.T.); +#1447=ORIENTED_EDGE('',*,*,#3004,.T.); +#1448=ORIENTED_EDGE('',*,*,#3005,.T.); +#1449=ORIENTED_EDGE('',*,*,#2606,.F.); +#1450=ORIENTED_EDGE('',*,*,#3006,.T.); +#1451=ORIENTED_EDGE('',*,*,#3007,.T.); +#1452=ORIENTED_EDGE('',*,*,#3008,.F.); +#1453=ORIENTED_EDGE('',*,*,#2970,.T.); +#1454=ORIENTED_EDGE('',*,*,#3009,.T.); +#1455=ORIENTED_EDGE('',*,*,#2983,.T.); +#1456=ORIENTED_EDGE('',*,*,#3010,.T.); +#1457=ORIENTED_EDGE('',*,*,#2966,.T.); +#1458=ORIENTED_EDGE('',*,*,#3011,.T.); +#1459=ORIENTED_EDGE('',*,*,#2603,.T.); +#1460=ORIENTED_EDGE('',*,*,#3012,.T.); +#1461=ORIENTED_EDGE('',*,*,#2963,.T.); +#1462=ORIENTED_EDGE('',*,*,#3013,.T.); +#1463=ORIENTED_EDGE('',*,*,#2600,.T.); +#1464=ORIENTED_EDGE('',*,*,#3014,.T.); +#1465=ORIENTED_EDGE('',*,*,#2960,.T.); +#1466=ORIENTED_EDGE('',*,*,#3015,.T.); +#1467=ORIENTED_EDGE('',*,*,#2597,.T.); +#1468=ORIENTED_EDGE('',*,*,#3016,.T.); +#1469=ORIENTED_EDGE('',*,*,#2957,.T.); +#1470=ORIENTED_EDGE('',*,*,#3017,.T.); +#1471=ORIENTED_EDGE('',*,*,#2594,.T.); +#1472=ORIENTED_EDGE('',*,*,#3018,.T.); +#1473=ORIENTED_EDGE('',*,*,#2954,.T.); +#1474=ORIENTED_EDGE('',*,*,#3019,.T.); +#1475=ORIENTED_EDGE('',*,*,#2591,.T.); +#1476=ORIENTED_EDGE('',*,*,#3020,.T.); +#1477=ORIENTED_EDGE('',*,*,#3021,.F.); +#1478=ORIENTED_EDGE('',*,*,#2987,.T.); +#1479=ORIENTED_EDGE('',*,*,#3022,.F.); +#1480=ORIENTED_EDGE('',*,*,#3023,.F.); +#1481=ORIENTED_EDGE('',*,*,#3024,.F.); +#1482=ORIENTED_EDGE('',*,*,#3025,.T.); +#1483=ORIENTED_EDGE('',*,*,#3023,.T.); +#1484=ORIENTED_EDGE('',*,*,#3026,.T.); +#1485=ORIENTED_EDGE('',*,*,#3027,.F.); +#1486=ORIENTED_EDGE('',*,*,#3028,.T.); +#1487=ORIENTED_EDGE('',*,*,#3024,.T.); +#1488=ORIENTED_EDGE('',*,*,#3029,.T.); +#1489=ORIENTED_EDGE('',*,*,#3030,.F.); +#1490=ORIENTED_EDGE('',*,*,#3031,.T.); +#1491=ORIENTED_EDGE('',*,*,#3027,.T.); +#1492=ORIENTED_EDGE('',*,*,#3032,.T.); +#1493=ORIENTED_EDGE('',*,*,#3033,.F.); +#1494=ORIENTED_EDGE('',*,*,#3034,.T.); +#1495=ORIENTED_EDGE('',*,*,#3030,.T.); +#1496=ORIENTED_EDGE('',*,*,#3035,.T.); +#1497=ORIENTED_EDGE('',*,*,#3036,.F.); +#1498=ORIENTED_EDGE('',*,*,#3037,.T.); +#1499=ORIENTED_EDGE('',*,*,#3033,.T.); +#1500=ORIENTED_EDGE('',*,*,#3038,.T.); +#1501=ORIENTED_EDGE('',*,*,#3039,.F.); +#1502=ORIENTED_EDGE('',*,*,#3036,.T.); +#1503=ORIENTED_EDGE('',*,*,#3040,.F.); +#1504=ORIENTED_EDGE('',*,*,#3041,.F.); +#1505=ORIENTED_EDGE('',*,*,#3042,.F.); +#1506=ORIENTED_EDGE('',*,*,#3043,.T.); +#1507=ORIENTED_EDGE('',*,*,#3041,.T.); +#1508=ORIENTED_EDGE('',*,*,#3044,.T.); +#1509=ORIENTED_EDGE('',*,*,#3045,.F.); +#1510=ORIENTED_EDGE('',*,*,#3046,.T.); +#1511=ORIENTED_EDGE('',*,*,#3042,.T.); +#1512=ORIENTED_EDGE('',*,*,#3047,.T.); +#1513=ORIENTED_EDGE('',*,*,#3048,.F.); +#1514=ORIENTED_EDGE('',*,*,#3049,.T.); +#1515=ORIENTED_EDGE('',*,*,#3045,.T.); +#1516=ORIENTED_EDGE('',*,*,#3050,.T.); +#1517=ORIENTED_EDGE('',*,*,#3051,.F.); +#1518=ORIENTED_EDGE('',*,*,#3052,.T.); +#1519=ORIENTED_EDGE('',*,*,#2922,.F.); +#1520=ORIENTED_EDGE('',*,*,#3053,.F.); +#1521=ORIENTED_EDGE('',*,*,#2813,.F.); +#1522=ORIENTED_EDGE('',*,*,#3054,.T.); +#1523=ORIENTED_EDGE('',*,*,#2919,.F.); +#1524=ORIENTED_EDGE('',*,*,#3055,.F.); +#1525=ORIENTED_EDGE('',*,*,#2809,.F.); +#1526=ORIENTED_EDGE('',*,*,#3056,.T.); +#1527=ORIENTED_EDGE('',*,*,#2916,.F.); +#1528=ORIENTED_EDGE('',*,*,#3057,.F.); +#1529=ORIENTED_EDGE('',*,*,#2805,.F.); +#1530=ORIENTED_EDGE('',*,*,#3058,.T.); +#1531=ORIENTED_EDGE('',*,*,#2913,.F.); +#1532=ORIENTED_EDGE('',*,*,#3059,.F.); +#1533=ORIENTED_EDGE('',*,*,#2801,.F.); +#1534=ORIENTED_EDGE('',*,*,#3060,.T.); +#1535=ORIENTED_EDGE('',*,*,#2910,.F.); +#1536=ORIENTED_EDGE('',*,*,#3061,.F.); +#1537=ORIENTED_EDGE('',*,*,#2797,.F.); +#1538=ORIENTED_EDGE('',*,*,#3062,.T.); +#1539=ORIENTED_EDGE('',*,*,#2907,.F.); +#1540=ORIENTED_EDGE('',*,*,#3063,.F.); +#1541=ORIENTED_EDGE('',*,*,#2793,.F.); +#1542=ORIENTED_EDGE('',*,*,#3064,.T.); +#1543=ORIENTED_EDGE('',*,*,#2904,.F.); +#1544=ORIENTED_EDGE('',*,*,#3065,.F.); +#1545=ORIENTED_EDGE('',*,*,#3007,.F.); +#1546=ORIENTED_EDGE('',*,*,#3066,.T.); +#1547=ORIENTED_EDGE('',*,*,#2773,.T.); +#1548=ORIENTED_EDGE('',*,*,#3067,.F.); +#1549=ORIENTED_EDGE('',*,*,#3004,.F.); +#1550=ORIENTED_EDGE('',*,*,#3068,.T.); +#1551=ORIENTED_EDGE('',*,*,#2776,.T.); +#1552=ORIENTED_EDGE('',*,*,#3069,.F.); +#1553=ORIENTED_EDGE('',*,*,#3001,.F.); +#1554=ORIENTED_EDGE('',*,*,#3070,.T.); +#1555=ORIENTED_EDGE('',*,*,#2779,.T.); +#1556=ORIENTED_EDGE('',*,*,#3071,.F.); +#1557=ORIENTED_EDGE('',*,*,#2998,.F.); +#1558=ORIENTED_EDGE('',*,*,#3072,.T.); +#1559=ORIENTED_EDGE('',*,*,#2782,.T.); +#1560=ORIENTED_EDGE('',*,*,#3073,.F.); +#1561=ORIENTED_EDGE('',*,*,#2995,.F.); +#1562=ORIENTED_EDGE('',*,*,#3074,.T.); +#1563=ORIENTED_EDGE('',*,*,#2785,.T.); +#1564=ORIENTED_EDGE('',*,*,#3075,.F.); +#1565=ORIENTED_EDGE('',*,*,#2992,.F.); +#1566=ORIENTED_EDGE('',*,*,#3076,.T.); +#1567=ORIENTED_EDGE('',*,*,#2788,.T.); +#1568=ORIENTED_EDGE('',*,*,#3077,.F.); +#1569=ORIENTED_EDGE('',*,*,#2989,.F.); +#1570=ORIENTED_EDGE('',*,*,#3078,.T.); +#1571=ORIENTED_EDGE('',*,*,#3048,.T.); +#1572=ORIENTED_EDGE('',*,*,#3079,.T.); +#1573=ORIENTED_EDGE('',*,*,#3080,.F.); +#1574=ORIENTED_EDGE('',*,*,#3081,.T.); +#1575=ORIENTED_EDGE('',*,*,#3051,.T.); +#1576=ORIENTED_EDGE('',*,*,#3082,.T.); +#1577=ORIENTED_EDGE('',*,*,#3083,.F.); +#1578=ORIENTED_EDGE('',*,*,#3080,.T.); +#1579=ORIENTED_EDGE('',*,*,#3084,.F.); +#1580=ORIENTED_EDGE('',*,*,#3085,.F.); +#1581=ORIENTED_EDGE('',*,*,#3086,.F.); +#1582=ORIENTED_EDGE('',*,*,#3087,.T.); +#1583=ORIENTED_EDGE('',*,*,#3085,.T.); +#1584=ORIENTED_EDGE('',*,*,#3088,.T.); +#1585=ORIENTED_EDGE('',*,*,#2865,.F.); +#1586=ORIENTED_EDGE('',*,*,#3089,.T.); +#1587=ORIENTED_EDGE('',*,*,#3086,.T.); +#1588=ORIENTED_EDGE('',*,*,#3090,.T.); +#1589=ORIENTED_EDGE('',*,*,#2971,.T.); +#1590=ORIENTED_EDGE('',*,*,#3008,.T.); +#1591=ORIENTED_EDGE('',*,*,#3065,.T.); +#1592=ORIENTED_EDGE('',*,*,#2903,.T.); +#1593=ORIENTED_EDGE('',*,*,#3022,.T.); +#1594=ORIENTED_EDGE('',*,*,#2986,.F.); +#1595=ORIENTED_EDGE('',*,*,#3091,.T.); +#1596=ORIENTED_EDGE('',*,*,#2530,.F.); +#1597=ORIENTED_EDGE('',*,*,#2949,.F.); +#1598=ORIENTED_EDGE('',*,*,#2511,.F.); +#1599=ORIENTED_EDGE('',*,*,#3092,.T.); +#1600=ORIENTED_EDGE('',*,*,#2924,.F.); +#1601=ORIENTED_EDGE('',*,*,#2881,.F.); +#1602=ORIENTED_EDGE('',*,*,#2878,.F.); +#1603=ORIENTED_EDGE('',*,*,#2874,.T.); +#1604=ORIENTED_EDGE('',*,*,#2872,.F.); +#1605=ORIENTED_EDGE('',*,*,#2869,.F.); +#1606=ORIENTED_EDGE('',*,*,#2866,.F.); +#1607=ORIENTED_EDGE('',*,*,#3090,.F.); +#1608=ORIENTED_EDGE('',*,*,#3088,.F.); +#1609=ORIENTED_EDGE('',*,*,#3084,.T.); +#1610=ORIENTED_EDGE('',*,*,#3082,.F.); +#1611=ORIENTED_EDGE('',*,*,#3079,.F.); +#1612=ORIENTED_EDGE('',*,*,#3050,.F.); +#1613=ORIENTED_EDGE('',*,*,#3047,.F.); +#1614=ORIENTED_EDGE('',*,*,#3044,.F.); +#1615=ORIENTED_EDGE('',*,*,#3040,.T.); +#1616=ORIENTED_EDGE('',*,*,#3038,.F.); +#1617=ORIENTED_EDGE('',*,*,#3035,.F.); +#1618=ORIENTED_EDGE('',*,*,#3032,.F.); +#1619=ORIENTED_EDGE('',*,*,#3029,.F.); +#1620=ORIENTED_EDGE('',*,*,#3026,.F.); +#1621=ORIENTED_EDGE('',*,*,#3092,.F.); +#1622=ORIENTED_EDGE('',*,*,#2491,.F.); +#1623=ORIENTED_EDGE('',*,*,#2473,.T.); +#1624=ORIENTED_EDGE('',*,*,#2450,.F.); +#1625=ORIENTED_EDGE('',*,*,#3091,.F.); +#1626=ORIENTED_EDGE('',*,*,#2587,.F.); +#1627=ORIENTED_EDGE('',*,*,#2569,.T.); +#1628=ORIENTED_EDGE('',*,*,#2551,.F.); +#1629=ORIENTED_EDGE('',*,*,#2605,.T.); +#1630=ORIENTED_EDGE('',*,*,#2630,.F.); +#1631=ORIENTED_EDGE('',*,*,#2648,.F.); +#1632=ORIENTED_EDGE('',*,*,#2666,.F.); +#1633=ORIENTED_EDGE('',*,*,#2684,.F.); +#1634=ORIENTED_EDGE('',*,*,#2702,.F.); +#1635=ORIENTED_EDGE('',*,*,#2719,.T.); +#1636=ORIENTED_EDGE('',*,*,#2738,.F.); +#1637=ORIENTED_EDGE('',*,*,#2756,.F.); +#1638=ORIENTED_EDGE('',*,*,#2774,.F.); +#1639=ORIENTED_EDGE('',*,*,#3066,.F.); +#1640=ORIENTED_EDGE('',*,*,#3006,.F.); +#1641=ORIENTED_EDGE('',*,*,#3064,.F.); +#1642=ORIENTED_EDGE('',*,*,#2792,.F.); +#1643=ORIENTED_EDGE('',*,*,#2815,.T.); +#1644=ORIENTED_EDGE('',*,*,#2834,.F.); +#1645=ORIENTED_EDGE('',*,*,#2851,.F.); +#1646=ORIENTED_EDGE('',*,*,#2336,.F.); +#1647=ORIENTED_EDGE('',*,*,#2360,.F.); +#1648=ORIENTED_EDGE('',*,*,#2378,.F.); +#1649=ORIENTED_EDGE('',*,*,#2395,.T.); +#1650=ORIENTED_EDGE('',*,*,#2414,.F.); +#1651=ORIENTED_EDGE('',*,*,#2432,.F.); +#1652=ORIENTED_EDGE('',*,*,#2905,.F.); +#1653=ORIENTED_EDGE('',*,*,#3093,.F.); +#1654=ORIENTED_EDGE('',*,*,#2936,.F.); +#1655=ORIENTED_EDGE('',*,*,#2972,.T.); +#1656=ORIENTED_EDGE('',*,*,#2901,.F.); +#1657=ORIENTED_EDGE('',*,*,#2930,.F.); +#1658=ORIENTED_EDGE('',*,*,#2933,.F.); +#1659=ORIENTED_EDGE('',*,*,#3093,.T.); +#1660=ORIENTED_EDGE('',*,*,#2927,.F.); +#1661=ORIENTED_EDGE('',*,*,#3094,.F.); +#1662=ORIENTED_EDGE('',*,*,#3009,.F.); +#1663=ORIENTED_EDGE('',*,*,#2969,.T.); +#1664=ORIENTED_EDGE('',*,*,#2975,.F.); +#1665=ORIENTED_EDGE('',*,*,#2981,.F.); +#1666=ORIENTED_EDGE('',*,*,#2984,.F.); +#1667=ORIENTED_EDGE('',*,*,#3094,.T.); +#1668=ORIENTED_EDGE('',*,*,#2978,.F.); +#1669=ORIENTED_EDGE('',*,*,#3052,.F.); +#1670=ORIENTED_EDGE('',*,*,#3081,.F.); +#1671=ORIENTED_EDGE('',*,*,#3083,.T.); +#1672=ORIENTED_EDGE('',*,*,#3087,.F.); +#1673=ORIENTED_EDGE('',*,*,#3089,.F.); +#1674=ORIENTED_EDGE('',*,*,#2864,.F.); +#1675=ORIENTED_EDGE('',*,*,#2868,.F.); +#1676=ORIENTED_EDGE('',*,*,#2871,.F.); +#1677=ORIENTED_EDGE('',*,*,#2873,.T.); +#1678=ORIENTED_EDGE('',*,*,#2877,.F.); +#1679=ORIENTED_EDGE('',*,*,#2880,.F.); +#1680=ORIENTED_EDGE('',*,*,#2923,.F.); +#1681=ORIENTED_EDGE('',*,*,#2448,.F.); +#1682=ORIENTED_EDGE('',*,*,#2430,.F.); +#1683=ORIENTED_EDGE('',*,*,#2411,.T.); +#1684=ORIENTED_EDGE('',*,*,#2394,.F.); +#1685=ORIENTED_EDGE('',*,*,#2376,.F.); +#1686=ORIENTED_EDGE('',*,*,#2358,.F.); +#1687=ORIENTED_EDGE('',*,*,#2862,.F.); +#1688=ORIENTED_EDGE('',*,*,#2850,.F.); +#1689=ORIENTED_EDGE('',*,*,#2831,.T.); +#1690=ORIENTED_EDGE('',*,*,#2814,.F.); +#1691=ORIENTED_EDGE('',*,*,#3053,.T.); +#1692=ORIENTED_EDGE('',*,*,#2921,.F.); +#1693=ORIENTED_EDGE('',*,*,#3054,.F.); +#1694=ORIENTED_EDGE('',*,*,#2812,.F.); +#1695=ORIENTED_EDGE('',*,*,#2830,.T.); +#1696=ORIENTED_EDGE('',*,*,#2849,.F.); +#1697=ORIENTED_EDGE('',*,*,#2861,.F.); +#1698=ORIENTED_EDGE('',*,*,#2356,.F.); +#1699=ORIENTED_EDGE('',*,*,#2375,.F.); +#1700=ORIENTED_EDGE('',*,*,#2393,.F.); +#1701=ORIENTED_EDGE('',*,*,#2410,.T.); +#1702=ORIENTED_EDGE('',*,*,#2429,.F.); +#1703=ORIENTED_EDGE('',*,*,#2447,.F.); +#1704=ORIENTED_EDGE('',*,*,#2920,.F.); +#1705=ORIENTED_EDGE('',*,*,#2445,.F.); +#1706=ORIENTED_EDGE('',*,*,#2427,.F.); +#1707=ORIENTED_EDGE('',*,*,#2408,.T.); +#1708=ORIENTED_EDGE('',*,*,#2391,.F.); +#1709=ORIENTED_EDGE('',*,*,#2373,.F.); +#1710=ORIENTED_EDGE('',*,*,#2354,.F.); +#1711=ORIENTED_EDGE('',*,*,#2860,.F.); +#1712=ORIENTED_EDGE('',*,*,#2847,.F.); +#1713=ORIENTED_EDGE('',*,*,#2828,.T.); +#1714=ORIENTED_EDGE('',*,*,#2810,.F.); +#1715=ORIENTED_EDGE('',*,*,#3055,.T.); +#1716=ORIENTED_EDGE('',*,*,#2918,.F.); +#1717=ORIENTED_EDGE('',*,*,#3056,.F.); +#1718=ORIENTED_EDGE('',*,*,#2808,.F.); +#1719=ORIENTED_EDGE('',*,*,#2827,.T.); +#1720=ORIENTED_EDGE('',*,*,#2846,.F.); +#1721=ORIENTED_EDGE('',*,*,#2859,.F.); +#1722=ORIENTED_EDGE('',*,*,#2352,.F.); +#1723=ORIENTED_EDGE('',*,*,#2372,.F.); +#1724=ORIENTED_EDGE('',*,*,#2390,.F.); +#1725=ORIENTED_EDGE('',*,*,#2407,.T.); +#1726=ORIENTED_EDGE('',*,*,#2426,.F.); +#1727=ORIENTED_EDGE('',*,*,#2444,.F.); +#1728=ORIENTED_EDGE('',*,*,#2917,.F.); +#1729=ORIENTED_EDGE('',*,*,#2442,.F.); +#1730=ORIENTED_EDGE('',*,*,#2424,.F.); +#1731=ORIENTED_EDGE('',*,*,#2405,.T.); +#1732=ORIENTED_EDGE('',*,*,#2388,.F.); +#1733=ORIENTED_EDGE('',*,*,#2370,.F.); +#1734=ORIENTED_EDGE('',*,*,#2350,.F.); +#1735=ORIENTED_EDGE('',*,*,#2858,.F.); +#1736=ORIENTED_EDGE('',*,*,#2844,.F.); +#1737=ORIENTED_EDGE('',*,*,#2825,.T.); +#1738=ORIENTED_EDGE('',*,*,#2806,.F.); +#1739=ORIENTED_EDGE('',*,*,#3057,.T.); +#1740=ORIENTED_EDGE('',*,*,#2915,.F.); +#1741=ORIENTED_EDGE('',*,*,#3058,.F.); +#1742=ORIENTED_EDGE('',*,*,#2804,.F.); +#1743=ORIENTED_EDGE('',*,*,#2824,.T.); +#1744=ORIENTED_EDGE('',*,*,#2843,.F.); +#1745=ORIENTED_EDGE('',*,*,#2857,.F.); +#1746=ORIENTED_EDGE('',*,*,#2348,.F.); +#1747=ORIENTED_EDGE('',*,*,#2369,.F.); +#1748=ORIENTED_EDGE('',*,*,#2387,.F.); +#1749=ORIENTED_EDGE('',*,*,#2404,.T.); +#1750=ORIENTED_EDGE('',*,*,#2423,.F.); +#1751=ORIENTED_EDGE('',*,*,#2441,.F.); +#1752=ORIENTED_EDGE('',*,*,#2914,.F.); +#1753=ORIENTED_EDGE('',*,*,#2439,.F.); +#1754=ORIENTED_EDGE('',*,*,#2421,.F.); +#1755=ORIENTED_EDGE('',*,*,#2402,.T.); +#1756=ORIENTED_EDGE('',*,*,#2385,.F.); +#1757=ORIENTED_EDGE('',*,*,#2367,.F.); +#1758=ORIENTED_EDGE('',*,*,#2346,.F.); +#1759=ORIENTED_EDGE('',*,*,#2856,.F.); +#1760=ORIENTED_EDGE('',*,*,#2841,.F.); +#1761=ORIENTED_EDGE('',*,*,#2822,.T.); +#1762=ORIENTED_EDGE('',*,*,#2802,.F.); +#1763=ORIENTED_EDGE('',*,*,#3059,.T.); +#1764=ORIENTED_EDGE('',*,*,#2912,.F.); +#1765=ORIENTED_EDGE('',*,*,#3060,.F.); +#1766=ORIENTED_EDGE('',*,*,#2800,.F.); +#1767=ORIENTED_EDGE('',*,*,#2821,.T.); +#1768=ORIENTED_EDGE('',*,*,#2840,.F.); +#1769=ORIENTED_EDGE('',*,*,#2855,.F.); +#1770=ORIENTED_EDGE('',*,*,#2344,.F.); +#1771=ORIENTED_EDGE('',*,*,#2366,.F.); +#1772=ORIENTED_EDGE('',*,*,#2384,.F.); +#1773=ORIENTED_EDGE('',*,*,#2401,.T.); +#1774=ORIENTED_EDGE('',*,*,#2420,.F.); +#1775=ORIENTED_EDGE('',*,*,#2438,.F.); +#1776=ORIENTED_EDGE('',*,*,#2911,.F.); +#1777=ORIENTED_EDGE('',*,*,#2436,.F.); +#1778=ORIENTED_EDGE('',*,*,#2418,.F.); +#1779=ORIENTED_EDGE('',*,*,#2399,.T.); +#1780=ORIENTED_EDGE('',*,*,#2382,.F.); +#1781=ORIENTED_EDGE('',*,*,#2364,.F.); +#1782=ORIENTED_EDGE('',*,*,#2342,.F.); +#1783=ORIENTED_EDGE('',*,*,#2854,.F.); +#1784=ORIENTED_EDGE('',*,*,#2838,.F.); +#1785=ORIENTED_EDGE('',*,*,#2819,.T.); +#1786=ORIENTED_EDGE('',*,*,#2798,.F.); +#1787=ORIENTED_EDGE('',*,*,#3061,.T.); +#1788=ORIENTED_EDGE('',*,*,#2909,.F.); +#1789=ORIENTED_EDGE('',*,*,#3062,.F.); +#1790=ORIENTED_EDGE('',*,*,#2796,.F.); +#1791=ORIENTED_EDGE('',*,*,#2818,.T.); +#1792=ORIENTED_EDGE('',*,*,#2837,.F.); +#1793=ORIENTED_EDGE('',*,*,#2853,.F.); +#1794=ORIENTED_EDGE('',*,*,#2340,.F.); +#1795=ORIENTED_EDGE('',*,*,#2363,.F.); +#1796=ORIENTED_EDGE('',*,*,#2381,.F.); +#1797=ORIENTED_EDGE('',*,*,#2398,.T.); +#1798=ORIENTED_EDGE('',*,*,#2417,.F.); +#1799=ORIENTED_EDGE('',*,*,#2435,.F.); +#1800=ORIENTED_EDGE('',*,*,#2908,.F.); +#1801=ORIENTED_EDGE('',*,*,#2433,.F.); +#1802=ORIENTED_EDGE('',*,*,#2415,.F.); +#1803=ORIENTED_EDGE('',*,*,#2396,.T.); +#1804=ORIENTED_EDGE('',*,*,#2379,.F.); +#1805=ORIENTED_EDGE('',*,*,#2361,.F.); +#1806=ORIENTED_EDGE('',*,*,#2338,.F.); +#1807=ORIENTED_EDGE('',*,*,#2852,.F.); +#1808=ORIENTED_EDGE('',*,*,#2835,.F.); +#1809=ORIENTED_EDGE('',*,*,#2816,.T.); +#1810=ORIENTED_EDGE('',*,*,#2794,.F.); +#1811=ORIENTED_EDGE('',*,*,#3063,.T.); +#1812=ORIENTED_EDGE('',*,*,#2906,.F.); +#1813=ORIENTED_EDGE('',*,*,#3021,.T.); +#1814=ORIENTED_EDGE('',*,*,#3025,.F.); +#1815=ORIENTED_EDGE('',*,*,#3028,.F.); +#1816=ORIENTED_EDGE('',*,*,#3031,.F.); +#1817=ORIENTED_EDGE('',*,*,#3034,.F.); +#1818=ORIENTED_EDGE('',*,*,#3037,.F.); +#1819=ORIENTED_EDGE('',*,*,#3039,.T.); +#1820=ORIENTED_EDGE('',*,*,#3043,.F.); +#1821=ORIENTED_EDGE('',*,*,#3046,.F.); +#1822=ORIENTED_EDGE('',*,*,#3049,.F.); +#1823=ORIENTED_EDGE('',*,*,#3078,.F.); +#1824=ORIENTED_EDGE('',*,*,#2988,.F.); +#1825=ORIENTED_EDGE('',*,*,#3077,.T.); +#1826=ORIENTED_EDGE('',*,*,#2790,.F.); +#1827=ORIENTED_EDGE('',*,*,#2772,.F.); +#1828=ORIENTED_EDGE('',*,*,#2754,.F.); +#1829=ORIENTED_EDGE('',*,*,#2735,.T.); +#1830=ORIENTED_EDGE('',*,*,#2718,.F.); +#1831=ORIENTED_EDGE('',*,*,#2700,.F.); +#1832=ORIENTED_EDGE('',*,*,#2682,.F.); +#1833=ORIENTED_EDGE('',*,*,#2664,.F.); +#1834=ORIENTED_EDGE('',*,*,#2646,.F.); +#1835=ORIENTED_EDGE('',*,*,#2627,.T.); +#1836=ORIENTED_EDGE('',*,*,#2990,.F.); +#1837=ORIENTED_EDGE('',*,*,#2625,.T.); +#1838=ORIENTED_EDGE('',*,*,#2645,.F.); +#1839=ORIENTED_EDGE('',*,*,#2663,.F.); +#1840=ORIENTED_EDGE('',*,*,#2681,.F.); +#1841=ORIENTED_EDGE('',*,*,#2699,.F.); +#1842=ORIENTED_EDGE('',*,*,#2717,.F.); +#1843=ORIENTED_EDGE('',*,*,#2734,.T.); +#1844=ORIENTED_EDGE('',*,*,#2753,.F.); +#1845=ORIENTED_EDGE('',*,*,#2771,.F.); +#1846=ORIENTED_EDGE('',*,*,#2789,.F.); +#1847=ORIENTED_EDGE('',*,*,#3076,.F.); +#1848=ORIENTED_EDGE('',*,*,#2991,.F.); +#1849=ORIENTED_EDGE('',*,*,#3075,.T.); +#1850=ORIENTED_EDGE('',*,*,#2787,.F.); +#1851=ORIENTED_EDGE('',*,*,#2769,.F.); +#1852=ORIENTED_EDGE('',*,*,#2751,.F.); +#1853=ORIENTED_EDGE('',*,*,#2732,.T.); +#1854=ORIENTED_EDGE('',*,*,#2715,.F.); +#1855=ORIENTED_EDGE('',*,*,#2697,.F.); +#1856=ORIENTED_EDGE('',*,*,#2679,.F.); +#1857=ORIENTED_EDGE('',*,*,#2661,.F.); +#1858=ORIENTED_EDGE('',*,*,#2643,.F.); +#1859=ORIENTED_EDGE('',*,*,#2623,.T.); +#1860=ORIENTED_EDGE('',*,*,#2993,.F.); +#1861=ORIENTED_EDGE('',*,*,#2621,.T.); +#1862=ORIENTED_EDGE('',*,*,#2642,.F.); +#1863=ORIENTED_EDGE('',*,*,#2660,.F.); +#1864=ORIENTED_EDGE('',*,*,#2678,.F.); +#1865=ORIENTED_EDGE('',*,*,#2696,.F.); +#1866=ORIENTED_EDGE('',*,*,#2714,.F.); +#1867=ORIENTED_EDGE('',*,*,#2731,.T.); +#1868=ORIENTED_EDGE('',*,*,#2750,.F.); +#1869=ORIENTED_EDGE('',*,*,#2768,.F.); +#1870=ORIENTED_EDGE('',*,*,#2786,.F.); +#1871=ORIENTED_EDGE('',*,*,#3074,.F.); +#1872=ORIENTED_EDGE('',*,*,#2994,.F.); +#1873=ORIENTED_EDGE('',*,*,#3073,.T.); +#1874=ORIENTED_EDGE('',*,*,#2784,.F.); +#1875=ORIENTED_EDGE('',*,*,#2766,.F.); +#1876=ORIENTED_EDGE('',*,*,#2748,.F.); +#1877=ORIENTED_EDGE('',*,*,#2729,.T.); +#1878=ORIENTED_EDGE('',*,*,#2712,.F.); +#1879=ORIENTED_EDGE('',*,*,#2694,.F.); +#1880=ORIENTED_EDGE('',*,*,#2676,.F.); +#1881=ORIENTED_EDGE('',*,*,#2658,.F.); +#1882=ORIENTED_EDGE('',*,*,#2640,.F.); +#1883=ORIENTED_EDGE('',*,*,#2619,.T.); +#1884=ORIENTED_EDGE('',*,*,#2996,.F.); +#1885=ORIENTED_EDGE('',*,*,#2617,.T.); +#1886=ORIENTED_EDGE('',*,*,#2639,.F.); +#1887=ORIENTED_EDGE('',*,*,#2657,.F.); +#1888=ORIENTED_EDGE('',*,*,#2675,.F.); +#1889=ORIENTED_EDGE('',*,*,#2693,.F.); +#1890=ORIENTED_EDGE('',*,*,#2711,.F.); +#1891=ORIENTED_EDGE('',*,*,#2728,.T.); +#1892=ORIENTED_EDGE('',*,*,#2747,.F.); +#1893=ORIENTED_EDGE('',*,*,#2765,.F.); +#1894=ORIENTED_EDGE('',*,*,#2783,.F.); +#1895=ORIENTED_EDGE('',*,*,#3072,.F.); +#1896=ORIENTED_EDGE('',*,*,#2997,.F.); +#1897=ORIENTED_EDGE('',*,*,#3071,.T.); +#1898=ORIENTED_EDGE('',*,*,#2781,.F.); +#1899=ORIENTED_EDGE('',*,*,#2763,.F.); +#1900=ORIENTED_EDGE('',*,*,#2745,.F.); +#1901=ORIENTED_EDGE('',*,*,#2726,.T.); +#1902=ORIENTED_EDGE('',*,*,#2709,.F.); +#1903=ORIENTED_EDGE('',*,*,#2691,.F.); +#1904=ORIENTED_EDGE('',*,*,#2673,.F.); +#1905=ORIENTED_EDGE('',*,*,#2655,.F.); +#1906=ORIENTED_EDGE('',*,*,#2637,.F.); +#1907=ORIENTED_EDGE('',*,*,#2615,.T.); +#1908=ORIENTED_EDGE('',*,*,#2999,.F.); +#1909=ORIENTED_EDGE('',*,*,#2613,.T.); +#1910=ORIENTED_EDGE('',*,*,#2636,.F.); +#1911=ORIENTED_EDGE('',*,*,#2654,.F.); +#1912=ORIENTED_EDGE('',*,*,#2672,.F.); +#1913=ORIENTED_EDGE('',*,*,#2690,.F.); +#1914=ORIENTED_EDGE('',*,*,#2708,.F.); +#1915=ORIENTED_EDGE('',*,*,#2725,.T.); +#1916=ORIENTED_EDGE('',*,*,#2744,.F.); +#1917=ORIENTED_EDGE('',*,*,#2762,.F.); +#1918=ORIENTED_EDGE('',*,*,#2780,.F.); +#1919=ORIENTED_EDGE('',*,*,#3070,.F.); +#1920=ORIENTED_EDGE('',*,*,#3000,.F.); +#1921=ORIENTED_EDGE('',*,*,#3069,.T.); +#1922=ORIENTED_EDGE('',*,*,#2778,.F.); +#1923=ORIENTED_EDGE('',*,*,#2760,.F.); +#1924=ORIENTED_EDGE('',*,*,#2742,.F.); +#1925=ORIENTED_EDGE('',*,*,#2723,.T.); +#1926=ORIENTED_EDGE('',*,*,#2706,.F.); +#1927=ORIENTED_EDGE('',*,*,#2688,.F.); +#1928=ORIENTED_EDGE('',*,*,#2670,.F.); +#1929=ORIENTED_EDGE('',*,*,#2652,.F.); +#1930=ORIENTED_EDGE('',*,*,#2634,.F.); +#1931=ORIENTED_EDGE('',*,*,#2611,.T.); +#1932=ORIENTED_EDGE('',*,*,#3002,.F.); +#1933=ORIENTED_EDGE('',*,*,#2609,.T.); +#1934=ORIENTED_EDGE('',*,*,#2633,.F.); +#1935=ORIENTED_EDGE('',*,*,#2651,.F.); +#1936=ORIENTED_EDGE('',*,*,#2669,.F.); +#1937=ORIENTED_EDGE('',*,*,#2687,.F.); +#1938=ORIENTED_EDGE('',*,*,#2705,.F.); +#1939=ORIENTED_EDGE('',*,*,#2722,.T.); +#1940=ORIENTED_EDGE('',*,*,#2741,.F.); +#1941=ORIENTED_EDGE('',*,*,#2759,.F.); +#1942=ORIENTED_EDGE('',*,*,#2777,.F.); +#1943=ORIENTED_EDGE('',*,*,#3068,.F.); +#1944=ORIENTED_EDGE('',*,*,#3003,.F.); +#1945=ORIENTED_EDGE('',*,*,#3067,.T.); +#1946=ORIENTED_EDGE('',*,*,#2775,.F.); +#1947=ORIENTED_EDGE('',*,*,#2757,.F.); +#1948=ORIENTED_EDGE('',*,*,#2739,.F.); +#1949=ORIENTED_EDGE('',*,*,#2720,.T.); +#1950=ORIENTED_EDGE('',*,*,#2703,.F.); +#1951=ORIENTED_EDGE('',*,*,#2685,.F.); +#1952=ORIENTED_EDGE('',*,*,#2667,.F.); +#1953=ORIENTED_EDGE('',*,*,#2649,.F.); +#1954=ORIENTED_EDGE('',*,*,#2631,.F.); +#1955=ORIENTED_EDGE('',*,*,#2607,.T.); +#1956=ORIENTED_EDGE('',*,*,#3005,.F.); +#1957=ORIENTED_EDGE('',*,*,#2967,.F.); +#1958=ORIENTED_EDGE('',*,*,#3010,.F.); +#1959=ORIENTED_EDGE('',*,*,#3095,.T.); +#1960=ORIENTED_EDGE('',*,*,#2973,.F.); +#1961=ORIENTED_EDGE('',*,*,#3096,.F.); +#1962=ORIENTED_EDGE('',*,*,#3011,.F.); +#1963=ORIENTED_EDGE('',*,*,#2965,.T.); +#1964=ORIENTED_EDGE('',*,*,#2550,.F.); +#1965=ORIENTED_EDGE('',*,*,#3095,.F.); +#1966=ORIENTED_EDGE('',*,*,#2982,.F.); +#1967=ORIENTED_EDGE('',*,*,#2979,.T.); +#1968=ORIENTED_EDGE('',*,*,#2976,.F.); +#1969=ORIENTED_EDGE('',*,*,#2586,.F.); +#1970=ORIENTED_EDGE('',*,*,#2604,.F.); +#1971=ORIENTED_EDGE('',*,*,#3096,.T.); +#1972=ORIENTED_EDGE('',*,*,#2568,.F.); +#1973=ORIENTED_EDGE('',*,*,#2964,.F.); +#1974=ORIENTED_EDGE('',*,*,#3012,.F.); +#1975=ORIENTED_EDGE('',*,*,#3097,.T.); +#1976=ORIENTED_EDGE('',*,*,#2548,.F.); +#1977=ORIENTED_EDGE('',*,*,#3098,.F.); +#1978=ORIENTED_EDGE('',*,*,#3013,.F.); +#1979=ORIENTED_EDGE('',*,*,#2962,.T.); +#1980=ORIENTED_EDGE('',*,*,#2546,.F.); +#1981=ORIENTED_EDGE('',*,*,#3097,.F.); +#1982=ORIENTED_EDGE('',*,*,#2602,.F.); +#1983=ORIENTED_EDGE('',*,*,#2584,.T.); +#1984=ORIENTED_EDGE('',*,*,#2566,.F.); +#1985=ORIENTED_EDGE('',*,*,#2583,.F.); +#1986=ORIENTED_EDGE('',*,*,#2601,.F.); +#1987=ORIENTED_EDGE('',*,*,#3098,.T.); +#1988=ORIENTED_EDGE('',*,*,#2565,.F.); +#1989=ORIENTED_EDGE('',*,*,#2961,.F.); +#1990=ORIENTED_EDGE('',*,*,#3014,.F.); +#1991=ORIENTED_EDGE('',*,*,#3099,.T.); +#1992=ORIENTED_EDGE('',*,*,#2544,.F.); +#1993=ORIENTED_EDGE('',*,*,#3100,.F.); +#1994=ORIENTED_EDGE('',*,*,#3015,.F.); +#1995=ORIENTED_EDGE('',*,*,#2959,.T.); +#1996=ORIENTED_EDGE('',*,*,#2542,.F.); +#1997=ORIENTED_EDGE('',*,*,#3099,.F.); +#1998=ORIENTED_EDGE('',*,*,#2599,.F.); +#1999=ORIENTED_EDGE('',*,*,#2581,.T.); +#2000=ORIENTED_EDGE('',*,*,#2563,.F.); +#2001=ORIENTED_EDGE('',*,*,#2580,.F.); +#2002=ORIENTED_EDGE('',*,*,#2598,.F.); +#2003=ORIENTED_EDGE('',*,*,#3100,.T.); +#2004=ORIENTED_EDGE('',*,*,#2562,.F.); +#2005=ORIENTED_EDGE('',*,*,#2958,.F.); +#2006=ORIENTED_EDGE('',*,*,#3016,.F.); +#2007=ORIENTED_EDGE('',*,*,#3101,.T.); +#2008=ORIENTED_EDGE('',*,*,#2540,.F.); +#2009=ORIENTED_EDGE('',*,*,#3102,.F.); +#2010=ORIENTED_EDGE('',*,*,#3017,.F.); +#2011=ORIENTED_EDGE('',*,*,#2956,.T.); +#2012=ORIENTED_EDGE('',*,*,#2538,.F.); +#2013=ORIENTED_EDGE('',*,*,#3101,.F.); +#2014=ORIENTED_EDGE('',*,*,#2596,.F.); +#2015=ORIENTED_EDGE('',*,*,#2578,.T.); +#2016=ORIENTED_EDGE('',*,*,#2560,.F.); +#2017=ORIENTED_EDGE('',*,*,#2577,.F.); +#2018=ORIENTED_EDGE('',*,*,#2595,.F.); +#2019=ORIENTED_EDGE('',*,*,#3102,.T.); +#2020=ORIENTED_EDGE('',*,*,#2559,.F.); +#2021=ORIENTED_EDGE('',*,*,#2955,.F.); +#2022=ORIENTED_EDGE('',*,*,#3018,.F.); +#2023=ORIENTED_EDGE('',*,*,#3103,.T.); +#2024=ORIENTED_EDGE('',*,*,#2536,.F.); +#2025=ORIENTED_EDGE('',*,*,#3104,.F.); +#2026=ORIENTED_EDGE('',*,*,#3019,.F.); +#2027=ORIENTED_EDGE('',*,*,#2953,.T.); +#2028=ORIENTED_EDGE('',*,*,#2534,.F.); +#2029=ORIENTED_EDGE('',*,*,#3103,.F.); +#2030=ORIENTED_EDGE('',*,*,#2593,.F.); +#2031=ORIENTED_EDGE('',*,*,#2575,.T.); +#2032=ORIENTED_EDGE('',*,*,#2557,.F.); +#2033=ORIENTED_EDGE('',*,*,#2574,.F.); +#2034=ORIENTED_EDGE('',*,*,#2592,.F.); +#2035=ORIENTED_EDGE('',*,*,#3104,.T.); +#2036=ORIENTED_EDGE('',*,*,#2556,.F.); +#2037=ORIENTED_EDGE('',*,*,#2952,.F.); +#2038=ORIENTED_EDGE('',*,*,#3020,.F.); +#2039=ORIENTED_EDGE('',*,*,#3105,.T.); +#2040=ORIENTED_EDGE('',*,*,#2532,.F.); +#2041=ORIENTED_EDGE('',*,*,#3106,.F.); +#2042=ORIENTED_EDGE('',*,*,#2985,.F.); +#2043=ORIENTED_EDGE('',*,*,#2950,.T.); +#2044=ORIENTED_EDGE('',*,*,#2528,.F.); +#2045=ORIENTED_EDGE('',*,*,#3105,.F.); +#2046=ORIENTED_EDGE('',*,*,#2590,.F.); +#2047=ORIENTED_EDGE('',*,*,#2572,.T.); +#2048=ORIENTED_EDGE('',*,*,#2554,.F.); +#2049=ORIENTED_EDGE('',*,*,#2571,.F.); +#2050=ORIENTED_EDGE('',*,*,#2589,.F.); +#2051=ORIENTED_EDGE('',*,*,#3106,.T.); +#2052=ORIENTED_EDGE('',*,*,#2553,.F.); +#2053=ORIENTED_EDGE('',*,*,#2937,.F.); +#2054=ORIENTED_EDGE('',*,*,#2934,.F.); +#2055=ORIENTED_EDGE('',*,*,#3107,.T.); +#2056=ORIENTED_EDGE('',*,*,#2899,.F.); +#2057=ORIENTED_EDGE('',*,*,#3108,.F.); +#2058=ORIENTED_EDGE('',*,*,#2526,.F.); +#2059=ORIENTED_EDGE('',*,*,#2938,.T.); +#2060=ORIENTED_EDGE('',*,*,#2897,.F.); +#2061=ORIENTED_EDGE('',*,*,#3107,.F.); +#2062=ORIENTED_EDGE('',*,*,#2931,.F.); +#2063=ORIENTED_EDGE('',*,*,#2928,.T.); +#2064=ORIENTED_EDGE('',*,*,#2925,.F.); +#2065=ORIENTED_EDGE('',*,*,#2490,.F.); +#2066=ORIENTED_EDGE('',*,*,#2508,.F.); +#2067=ORIENTED_EDGE('',*,*,#3108,.T.); +#2068=ORIENTED_EDGE('',*,*,#2472,.F.); +#2069=ORIENTED_EDGE('',*,*,#2939,.F.); +#2070=ORIENTED_EDGE('',*,*,#2524,.F.); +#2071=ORIENTED_EDGE('',*,*,#3109,.T.); +#2072=ORIENTED_EDGE('',*,*,#2896,.F.); +#2073=ORIENTED_EDGE('',*,*,#3110,.F.); +#2074=ORIENTED_EDGE('',*,*,#2523,.F.); +#2075=ORIENTED_EDGE('',*,*,#2940,.T.); +#2076=ORIENTED_EDGE('',*,*,#2894,.F.); +#2077=ORIENTED_EDGE('',*,*,#3109,.F.); +#2078=ORIENTED_EDGE('',*,*,#2506,.F.); +#2079=ORIENTED_EDGE('',*,*,#2488,.T.); +#2080=ORIENTED_EDGE('',*,*,#2470,.F.); +#2081=ORIENTED_EDGE('',*,*,#2487,.F.); +#2082=ORIENTED_EDGE('',*,*,#2505,.F.); +#2083=ORIENTED_EDGE('',*,*,#3110,.T.); +#2084=ORIENTED_EDGE('',*,*,#2468,.F.); +#2085=ORIENTED_EDGE('',*,*,#2941,.F.); +#2086=ORIENTED_EDGE('',*,*,#2521,.F.); +#2087=ORIENTED_EDGE('',*,*,#3111,.T.); +#2088=ORIENTED_EDGE('',*,*,#2893,.F.); +#2089=ORIENTED_EDGE('',*,*,#3112,.F.); +#2090=ORIENTED_EDGE('',*,*,#2520,.F.); +#2091=ORIENTED_EDGE('',*,*,#2942,.T.); +#2092=ORIENTED_EDGE('',*,*,#2891,.F.); +#2093=ORIENTED_EDGE('',*,*,#3111,.F.); +#2094=ORIENTED_EDGE('',*,*,#2503,.F.); +#2095=ORIENTED_EDGE('',*,*,#2485,.T.); +#2096=ORIENTED_EDGE('',*,*,#2466,.F.); +#2097=ORIENTED_EDGE('',*,*,#2484,.F.); +#2098=ORIENTED_EDGE('',*,*,#2502,.F.); +#2099=ORIENTED_EDGE('',*,*,#3112,.T.); +#2100=ORIENTED_EDGE('',*,*,#2464,.F.); +#2101=ORIENTED_EDGE('',*,*,#2943,.F.); +#2102=ORIENTED_EDGE('',*,*,#2518,.F.); +#2103=ORIENTED_EDGE('',*,*,#3113,.T.); +#2104=ORIENTED_EDGE('',*,*,#2890,.F.); +#2105=ORIENTED_EDGE('',*,*,#3114,.F.); +#2106=ORIENTED_EDGE('',*,*,#2517,.F.); +#2107=ORIENTED_EDGE('',*,*,#2944,.T.); +#2108=ORIENTED_EDGE('',*,*,#2888,.F.); +#2109=ORIENTED_EDGE('',*,*,#3113,.F.); +#2110=ORIENTED_EDGE('',*,*,#2500,.F.); +#2111=ORIENTED_EDGE('',*,*,#2482,.T.); +#2112=ORIENTED_EDGE('',*,*,#2462,.F.); +#2113=ORIENTED_EDGE('',*,*,#2481,.F.); +#2114=ORIENTED_EDGE('',*,*,#2499,.F.); +#2115=ORIENTED_EDGE('',*,*,#3114,.T.); +#2116=ORIENTED_EDGE('',*,*,#2460,.F.); +#2117=ORIENTED_EDGE('',*,*,#2945,.F.); +#2118=ORIENTED_EDGE('',*,*,#2515,.F.); +#2119=ORIENTED_EDGE('',*,*,#3115,.T.); +#2120=ORIENTED_EDGE('',*,*,#2887,.F.); +#2121=ORIENTED_EDGE('',*,*,#3116,.F.); +#2122=ORIENTED_EDGE('',*,*,#2514,.F.); +#2123=ORIENTED_EDGE('',*,*,#2946,.T.); +#2124=ORIENTED_EDGE('',*,*,#2885,.F.); +#2125=ORIENTED_EDGE('',*,*,#3115,.F.); +#2126=ORIENTED_EDGE('',*,*,#2497,.F.); +#2127=ORIENTED_EDGE('',*,*,#2479,.T.); +#2128=ORIENTED_EDGE('',*,*,#2458,.F.); +#2129=ORIENTED_EDGE('',*,*,#2478,.F.); +#2130=ORIENTED_EDGE('',*,*,#2496,.F.); +#2131=ORIENTED_EDGE('',*,*,#3116,.T.); +#2132=ORIENTED_EDGE('',*,*,#2456,.F.); +#2133=ORIENTED_EDGE('',*,*,#2475,.F.); +#2134=ORIENTED_EDGE('',*,*,#2493,.F.); +#2135=ORIENTED_EDGE('',*,*,#3117,.T.); +#2136=ORIENTED_EDGE('',*,*,#2452,.F.); +#2137=ORIENTED_EDGE('',*,*,#3118,.F.); +#2138=ORIENTED_EDGE('',*,*,#2494,.F.); +#2139=ORIENTED_EDGE('',*,*,#2476,.T.); +#2140=ORIENTED_EDGE('',*,*,#2454,.F.); +#2141=ORIENTED_EDGE('',*,*,#3117,.F.); +#2142=ORIENTED_EDGE('',*,*,#2510,.F.); +#2143=ORIENTED_EDGE('',*,*,#2948,.T.); +#2144=ORIENTED_EDGE('',*,*,#2882,.F.); +#2145=ORIENTED_EDGE('',*,*,#2947,.F.); +#2146=ORIENTED_EDGE('',*,*,#2512,.F.); +#2147=ORIENTED_EDGE('',*,*,#3118,.T.); +#2148=ORIENTED_EDGE('',*,*,#2884,.F.); +#2149=EDGE_CURVE('',#3119,#3120,#3749,.T.); +#2150=EDGE_CURVE('',#3121,#3120,#3750,.T.); +#2151=EDGE_CURVE('',#3122,#3121,#3751,.T.); +#2152=EDGE_CURVE('',#3122,#3119,#3752,.T.); +#2153=EDGE_CURVE('',#3123,#3123,#91,.F.); +#2154=EDGE_CURVE('',#3124,#3124,#92,.F.); +#2155=EDGE_CURVE('',#3120,#3125,#3753,.T.); +#2156=EDGE_CURVE('',#3126,#3125,#3754,.T.); +#2157=EDGE_CURVE('',#3121,#3126,#3755,.T.); +#2158=EDGE_CURVE('',#3125,#3127,#3756,.T.); +#2159=EDGE_CURVE('',#3128,#3127,#3757,.T.); +#2160=EDGE_CURVE('',#3126,#3128,#3758,.T.); +#2161=EDGE_CURVE('',#3129,#3130,#3759,.T.); +#2162=EDGE_CURVE('',#3131,#3129,#3760,.T.); +#2163=EDGE_CURVE('',#3131,#3132,#3761,.T.); +#2164=EDGE_CURVE('',#3132,#3130,#3762,.T.); +#2165=EDGE_CURVE('',#3133,#3129,#3763,.T.); +#2166=EDGE_CURVE('',#3134,#3133,#3764,.T.); +#2167=EDGE_CURVE('',#3134,#3131,#3765,.T.); +#2168=EDGE_CURVE('',#3135,#3133,#3766,.T.); +#2169=EDGE_CURVE('',#3136,#3135,#3767,.T.); +#2170=EDGE_CURVE('',#3136,#3134,#3768,.T.); +#2171=EDGE_CURVE('',#3137,#3138,#3769,.T.); +#2172=EDGE_CURVE('',#3139,#3137,#3770,.T.); +#2173=EDGE_CURVE('',#3139,#3140,#3771,.T.); +#2174=EDGE_CURVE('',#3140,#3138,#3772,.T.); +#2175=EDGE_CURVE('',#3141,#3137,#3773,.T.); +#2176=EDGE_CURVE('',#3142,#3141,#3774,.T.); +#2177=EDGE_CURVE('',#3142,#3139,#3775,.T.); +#2178=EDGE_CURVE('',#3143,#3141,#3776,.T.); +#2179=EDGE_CURVE('',#3144,#3143,#3777,.T.); +#2180=EDGE_CURVE('',#3144,#3142,#3778,.T.); +#2181=EDGE_CURVE('',#3145,#3146,#3779,.T.); +#2182=EDGE_CURVE('',#3147,#3145,#3780,.T.); +#2183=EDGE_CURVE('',#3147,#3148,#3781,.T.); +#2184=EDGE_CURVE('',#3148,#3146,#3782,.T.); +#2185=EDGE_CURVE('',#3149,#3145,#3783,.T.); +#2186=EDGE_CURVE('',#3150,#3149,#3784,.T.); +#2187=EDGE_CURVE('',#3150,#3147,#3785,.T.); +#2188=EDGE_CURVE('',#3151,#3149,#3786,.T.); +#2189=EDGE_CURVE('',#3152,#3151,#3787,.T.); +#2190=EDGE_CURVE('',#3152,#3150,#3788,.T.); +#2191=EDGE_CURVE('',#3153,#3154,#3789,.T.); +#2192=EDGE_CURVE('',#3155,#3153,#3790,.T.); +#2193=EDGE_CURVE('',#3155,#3156,#3791,.T.); +#2194=EDGE_CURVE('',#3156,#3154,#3792,.T.); +#2195=EDGE_CURVE('',#3157,#3153,#3793,.T.); +#2196=EDGE_CURVE('',#3158,#3157,#3794,.T.); +#2197=EDGE_CURVE('',#3158,#3155,#3795,.T.); +#2198=EDGE_CURVE('',#3159,#3157,#3796,.T.); +#2199=EDGE_CURVE('',#3160,#3159,#3797,.T.); +#2200=EDGE_CURVE('',#3160,#3158,#3798,.T.); +#2201=EDGE_CURVE('',#3161,#3162,#3799,.T.); +#2202=EDGE_CURVE('',#3163,#3161,#3800,.T.); +#2203=EDGE_CURVE('',#3163,#3164,#3801,.T.); +#2204=EDGE_CURVE('',#3164,#3162,#3802,.T.); +#2205=EDGE_CURVE('',#3165,#3161,#3803,.T.); +#2206=EDGE_CURVE('',#3166,#3165,#3804,.T.); +#2207=EDGE_CURVE('',#3166,#3163,#3805,.T.); +#2208=EDGE_CURVE('',#3167,#3165,#3806,.T.); +#2209=EDGE_CURVE('',#3168,#3167,#3807,.T.); +#2210=EDGE_CURVE('',#3168,#3166,#3808,.T.); +#2211=EDGE_CURVE('',#3169,#3170,#3809,.T.); +#2212=EDGE_CURVE('',#3171,#3169,#3810,.T.); +#2213=EDGE_CURVE('',#3171,#3172,#3811,.T.); +#2214=EDGE_CURVE('',#3172,#3170,#3812,.T.); +#2215=EDGE_CURVE('',#3173,#3169,#3813,.T.); +#2216=EDGE_CURVE('',#3174,#3173,#3814,.T.); +#2217=EDGE_CURVE('',#3174,#3171,#3815,.T.); +#2218=EDGE_CURVE('',#3175,#3173,#3816,.T.); +#2219=EDGE_CURVE('',#3176,#3175,#3817,.T.); +#2220=EDGE_CURVE('',#3176,#3174,#3818,.T.); +#2221=EDGE_CURVE('',#3177,#3178,#3819,.T.); +#2222=EDGE_CURVE('',#3179,#3177,#3820,.T.); +#2223=EDGE_CURVE('',#3179,#3180,#3821,.T.); +#2224=EDGE_CURVE('',#3180,#3178,#3822,.T.); +#2225=EDGE_CURVE('',#3181,#3177,#3823,.T.); +#2226=EDGE_CURVE('',#3182,#3181,#3824,.T.); +#2227=EDGE_CURVE('',#3182,#3179,#3825,.T.); +#2228=EDGE_CURVE('',#3183,#3181,#3826,.T.); +#2229=EDGE_CURVE('',#3184,#3183,#3827,.T.); +#2230=EDGE_CURVE('',#3184,#3182,#3828,.T.); +#2231=EDGE_CURVE('',#3185,#3186,#3829,.T.); +#2232=EDGE_CURVE('',#3187,#3185,#3830,.T.); +#2233=EDGE_CURVE('',#3187,#3188,#3831,.T.); +#2234=EDGE_CURVE('',#3188,#3186,#3832,.T.); +#2235=EDGE_CURVE('',#3189,#3185,#3833,.T.); +#2236=EDGE_CURVE('',#3190,#3189,#3834,.T.); +#2237=EDGE_CURVE('',#3190,#3187,#3835,.T.); +#2238=EDGE_CURVE('',#3191,#3189,#3836,.T.); +#2239=EDGE_CURVE('',#3192,#3191,#3837,.T.); +#2240=EDGE_CURVE('',#3192,#3190,#3838,.T.); +#2241=EDGE_CURVE('',#3193,#3194,#3839,.T.); +#2242=EDGE_CURVE('',#3195,#3193,#3840,.T.); +#2243=EDGE_CURVE('',#3195,#3196,#3841,.T.); +#2244=EDGE_CURVE('',#3196,#3194,#3842,.T.); +#2245=EDGE_CURVE('',#3197,#3193,#3843,.T.); +#2246=EDGE_CURVE('',#3198,#3197,#3844,.T.); +#2247=EDGE_CURVE('',#3198,#3195,#3845,.T.); +#2248=EDGE_CURVE('',#3199,#3197,#3846,.T.); +#2249=EDGE_CURVE('',#3200,#3199,#3847,.T.); +#2250=EDGE_CURVE('',#3200,#3198,#3848,.T.); +#2251=EDGE_CURVE('',#3201,#3202,#3849,.T.); +#2252=EDGE_CURVE('',#3203,#3201,#3850,.T.); +#2253=EDGE_CURVE('',#3203,#3204,#3851,.T.); +#2254=EDGE_CURVE('',#3204,#3202,#3852,.T.); +#2255=EDGE_CURVE('',#3205,#3201,#3853,.T.); +#2256=EDGE_CURVE('',#3206,#3205,#3854,.T.); +#2257=EDGE_CURVE('',#3206,#3203,#3855,.T.); +#2258=EDGE_CURVE('',#3207,#3205,#3856,.T.); +#2259=EDGE_CURVE('',#3208,#3207,#3857,.T.); +#2260=EDGE_CURVE('',#3208,#3206,#3858,.T.); +#2261=EDGE_CURVE('',#3209,#3210,#3859,.T.); +#2262=EDGE_CURVE('',#3211,#3209,#3860,.T.); +#2263=EDGE_CURVE('',#3211,#3212,#3861,.T.); +#2264=EDGE_CURVE('',#3212,#3210,#3862,.T.); +#2265=EDGE_CURVE('',#3213,#3209,#3863,.T.); +#2266=EDGE_CURVE('',#3214,#3213,#3864,.T.); +#2267=EDGE_CURVE('',#3214,#3211,#3865,.T.); +#2268=EDGE_CURVE('',#3215,#3213,#3866,.T.); +#2269=EDGE_CURVE('',#3216,#3215,#3867,.T.); +#2270=EDGE_CURVE('',#3216,#3214,#3868,.T.); +#2271=EDGE_CURVE('',#3217,#3218,#3869,.T.); +#2272=EDGE_CURVE('',#3219,#3217,#3870,.T.); +#2273=EDGE_CURVE('',#3219,#3220,#3871,.T.); +#2274=EDGE_CURVE('',#3220,#3218,#3872,.T.); +#2275=EDGE_CURVE('',#3221,#3217,#3873,.T.); +#2276=EDGE_CURVE('',#3222,#3221,#3874,.T.); +#2277=EDGE_CURVE('',#3222,#3219,#3875,.T.); +#2278=EDGE_CURVE('',#3223,#3221,#3876,.T.); +#2279=EDGE_CURVE('',#3224,#3223,#3877,.T.); +#2280=EDGE_CURVE('',#3224,#3222,#3878,.T.); +#2281=EDGE_CURVE('',#3225,#3226,#3879,.T.); +#2282=EDGE_CURVE('',#3227,#3225,#3880,.T.); +#2283=EDGE_CURVE('',#3227,#3228,#3881,.T.); +#2284=EDGE_CURVE('',#3228,#3226,#3882,.T.); +#2285=EDGE_CURVE('',#3229,#3225,#3883,.T.); +#2286=EDGE_CURVE('',#3230,#3229,#3884,.T.); +#2287=EDGE_CURVE('',#3230,#3227,#3885,.T.); +#2288=EDGE_CURVE('',#3231,#3229,#3886,.T.); +#2289=EDGE_CURVE('',#3232,#3231,#3887,.T.); +#2290=EDGE_CURVE('',#3232,#3230,#3888,.T.); +#2291=EDGE_CURVE('',#3233,#3234,#3889,.T.); +#2292=EDGE_CURVE('',#3235,#3233,#3890,.T.); +#2293=EDGE_CURVE('',#3235,#3236,#3891,.T.); +#2294=EDGE_CURVE('',#3236,#3234,#3892,.T.); +#2295=EDGE_CURVE('',#3237,#3233,#3893,.T.); +#2296=EDGE_CURVE('',#3238,#3237,#3894,.T.); +#2297=EDGE_CURVE('',#3238,#3235,#3895,.T.); +#2298=EDGE_CURVE('',#3239,#3237,#3896,.T.); +#2299=EDGE_CURVE('',#3240,#3239,#3897,.T.); +#2300=EDGE_CURVE('',#3240,#3238,#3898,.T.); +#2301=EDGE_CURVE('',#3130,#3135,#3899,.T.); +#2302=EDGE_CURVE('',#3132,#3136,#3900,.T.); +#2303=EDGE_CURVE('',#3138,#3143,#3901,.T.); +#2304=EDGE_CURVE('',#3140,#3144,#3902,.T.); +#2305=EDGE_CURVE('',#3146,#3151,#3903,.T.); +#2306=EDGE_CURVE('',#3148,#3152,#3904,.T.); +#2307=EDGE_CURVE('',#3154,#3159,#3905,.T.); +#2308=EDGE_CURVE('',#3156,#3160,#3906,.T.); +#2309=EDGE_CURVE('',#3162,#3167,#3907,.T.); +#2310=EDGE_CURVE('',#3164,#3168,#3908,.T.); +#2311=EDGE_CURVE('',#3170,#3175,#3909,.T.); +#2312=EDGE_CURVE('',#3172,#3176,#3910,.T.); +#2313=EDGE_CURVE('',#3178,#3183,#3911,.T.); +#2314=EDGE_CURVE('',#3180,#3184,#3912,.T.); +#2315=EDGE_CURVE('',#3186,#3191,#3913,.T.); +#2316=EDGE_CURVE('',#3188,#3192,#3914,.T.); +#2317=EDGE_CURVE('',#3194,#3199,#3915,.T.); +#2318=EDGE_CURVE('',#3196,#3200,#3916,.T.); +#2319=EDGE_CURVE('',#3202,#3207,#3917,.T.); +#2320=EDGE_CURVE('',#3204,#3208,#3918,.T.); +#2321=EDGE_CURVE('',#3210,#3215,#3919,.T.); +#2322=EDGE_CURVE('',#3212,#3216,#3920,.T.); +#2323=EDGE_CURVE('',#3218,#3223,#3921,.T.); +#2324=EDGE_CURVE('',#3220,#3224,#3922,.T.); +#2325=EDGE_CURVE('',#3226,#3231,#3923,.T.); +#2326=EDGE_CURVE('',#3228,#3232,#3924,.T.); +#2327=EDGE_CURVE('',#3234,#3239,#3925,.T.); +#2328=EDGE_CURVE('',#3236,#3240,#3926,.T.); +#2329=EDGE_CURVE('',#3127,#3119,#3927,.T.); +#2330=EDGE_CURVE('',#3128,#3122,#3928,.T.); +#2331=EDGE_CURVE('',#3241,#3241,#93,.T.); +#2332=EDGE_CURVE('',#3242,#3242,#94,.T.); +#2333=EDGE_CURVE('',#3243,#3243,#95,.T.); +#2334=EDGE_CURVE('',#3244,#3244,#96,.T.); +#2335=EDGE_CURVE('',#3245,#3246,#3929,.T.); +#2336=EDGE_CURVE('',#3245,#3247,#3930,.T.); +#2337=EDGE_CURVE('',#3247,#3248,#3931,.T.); +#2338=EDGE_CURVE('',#3248,#3246,#3932,.T.); +#2339=EDGE_CURVE('',#3249,#3250,#3933,.T.); +#2340=EDGE_CURVE('',#3249,#3251,#3934,.T.); +#2341=EDGE_CURVE('',#3251,#3252,#3935,.T.); +#2342=EDGE_CURVE('',#3252,#3250,#3936,.T.); +#2343=EDGE_CURVE('',#3253,#3254,#3937,.T.); +#2344=EDGE_CURVE('',#3253,#3255,#3938,.T.); +#2345=EDGE_CURVE('',#3255,#3256,#3939,.T.); +#2346=EDGE_CURVE('',#3256,#3254,#3940,.T.); +#2347=EDGE_CURVE('',#3257,#3258,#3941,.T.); +#2348=EDGE_CURVE('',#3257,#3259,#3942,.T.); +#2349=EDGE_CURVE('',#3259,#3260,#3943,.T.); +#2350=EDGE_CURVE('',#3260,#3258,#3944,.T.); +#2351=EDGE_CURVE('',#3261,#3262,#3945,.T.); +#2352=EDGE_CURVE('',#3261,#3263,#3946,.T.); +#2353=EDGE_CURVE('',#3263,#3264,#3947,.T.); +#2354=EDGE_CURVE('',#3264,#3262,#3948,.T.); +#2355=EDGE_CURVE('',#3265,#3266,#3949,.T.); +#2356=EDGE_CURVE('',#3265,#3267,#3950,.T.); +#2357=EDGE_CURVE('',#3267,#3268,#3951,.T.); +#2358=EDGE_CURVE('',#3268,#3266,#3952,.T.); +#2359=EDGE_CURVE('',#3269,#3270,#3953,.T.); +#2360=EDGE_CURVE('',#3269,#3245,#3954,.T.); +#2361=EDGE_CURVE('',#3246,#3270,#3955,.T.); +#2362=EDGE_CURVE('',#3271,#3272,#3956,.T.); +#2363=EDGE_CURVE('',#3271,#3249,#3957,.T.); +#2364=EDGE_CURVE('',#3250,#3272,#3958,.T.); +#2365=EDGE_CURVE('',#3273,#3274,#3959,.T.); +#2366=EDGE_CURVE('',#3273,#3253,#3960,.T.); +#2367=EDGE_CURVE('',#3254,#3274,#3961,.T.); +#2368=EDGE_CURVE('',#3275,#3276,#3962,.T.); +#2369=EDGE_CURVE('',#3275,#3257,#3963,.T.); +#2370=EDGE_CURVE('',#3258,#3276,#3964,.T.); +#2371=EDGE_CURVE('',#3277,#3278,#3965,.T.); +#2372=EDGE_CURVE('',#3277,#3261,#3966,.T.); +#2373=EDGE_CURVE('',#3262,#3278,#3967,.T.); +#2374=EDGE_CURVE('',#3279,#3280,#3968,.T.); +#2375=EDGE_CURVE('',#3279,#3265,#3969,.T.); +#2376=EDGE_CURVE('',#3266,#3280,#3970,.T.); +#2377=EDGE_CURVE('',#3281,#3282,#3971,.T.); +#2378=EDGE_CURVE('',#3281,#3269,#3972,.T.); +#2379=EDGE_CURVE('',#3270,#3282,#3973,.T.); +#2380=EDGE_CURVE('',#3283,#3284,#3974,.T.); +#2381=EDGE_CURVE('',#3283,#3271,#3975,.T.); +#2382=EDGE_CURVE('',#3272,#3284,#3976,.T.); +#2383=EDGE_CURVE('',#3285,#3286,#3977,.T.); +#2384=EDGE_CURVE('',#3285,#3273,#3978,.T.); +#2385=EDGE_CURVE('',#3274,#3286,#3979,.T.); +#2386=EDGE_CURVE('',#3287,#3288,#3980,.T.); +#2387=EDGE_CURVE('',#3287,#3275,#3981,.T.); +#2388=EDGE_CURVE('',#3276,#3288,#3982,.T.); +#2389=EDGE_CURVE('',#3289,#3290,#3983,.T.); +#2390=EDGE_CURVE('',#3289,#3277,#3984,.T.); +#2391=EDGE_CURVE('',#3278,#3290,#3985,.T.); +#2392=EDGE_CURVE('',#3291,#3292,#3986,.T.); +#2393=EDGE_CURVE('',#3291,#3279,#3987,.T.); +#2394=EDGE_CURVE('',#3280,#3292,#3988,.T.); +#2395=EDGE_CURVE('',#3281,#3293,#97,.T.); +#2396=EDGE_CURVE('',#3294,#3282,#98,.T.); +#2397=EDGE_CURVE('',#3293,#3294,#3989,.T.); +#2398=EDGE_CURVE('',#3283,#3295,#99,.T.); +#2399=EDGE_CURVE('',#3296,#3284,#100,.T.); +#2400=EDGE_CURVE('',#3295,#3296,#3990,.T.); +#2401=EDGE_CURVE('',#3285,#3297,#101,.T.); +#2402=EDGE_CURVE('',#3298,#3286,#102,.T.); +#2403=EDGE_CURVE('',#3297,#3298,#3991,.T.); +#2404=EDGE_CURVE('',#3287,#3299,#103,.T.); +#2405=EDGE_CURVE('',#3300,#3288,#104,.T.); +#2406=EDGE_CURVE('',#3299,#3300,#3992,.T.); +#2407=EDGE_CURVE('',#3289,#3301,#105,.T.); +#2408=EDGE_CURVE('',#3302,#3290,#106,.T.); +#2409=EDGE_CURVE('',#3301,#3302,#3993,.T.); +#2410=EDGE_CURVE('',#3291,#3303,#107,.T.); +#2411=EDGE_CURVE('',#3304,#3292,#108,.T.); +#2412=EDGE_CURVE('',#3303,#3304,#3994,.T.); +#2413=EDGE_CURVE('',#3305,#3306,#3995,.T.); +#2414=EDGE_CURVE('',#3305,#3293,#3996,.T.); +#2415=EDGE_CURVE('',#3294,#3306,#3997,.T.); +#2416=EDGE_CURVE('',#3307,#3308,#3998,.T.); +#2417=EDGE_CURVE('',#3307,#3295,#3999,.T.); +#2418=EDGE_CURVE('',#3296,#3308,#4000,.T.); +#2419=EDGE_CURVE('',#3309,#3310,#4001,.T.); +#2420=EDGE_CURVE('',#3309,#3297,#4002,.T.); +#2421=EDGE_CURVE('',#3298,#3310,#4003,.T.); +#2422=EDGE_CURVE('',#3311,#3312,#4004,.T.); +#2423=EDGE_CURVE('',#3311,#3299,#4005,.T.); +#2424=EDGE_CURVE('',#3300,#3312,#4006,.T.); +#2425=EDGE_CURVE('',#3313,#3314,#4007,.T.); +#2426=EDGE_CURVE('',#3313,#3301,#4008,.T.); +#2427=EDGE_CURVE('',#3302,#3314,#4009,.T.); +#2428=EDGE_CURVE('',#3315,#3316,#4010,.T.); +#2429=EDGE_CURVE('',#3315,#3303,#4011,.T.); +#2430=EDGE_CURVE('',#3304,#3316,#4012,.T.); +#2431=EDGE_CURVE('',#3317,#3318,#4013,.T.); +#2432=EDGE_CURVE('',#3317,#3305,#109,.T.); +#2433=EDGE_CURVE('',#3306,#3318,#110,.T.); +#2434=EDGE_CURVE('',#3319,#3320,#4014,.T.); +#2435=EDGE_CURVE('',#3319,#3307,#111,.T.); +#2436=EDGE_CURVE('',#3308,#3320,#112,.T.); +#2437=EDGE_CURVE('',#3321,#3322,#4015,.T.); +#2438=EDGE_CURVE('',#3321,#3309,#113,.T.); +#2439=EDGE_CURVE('',#3310,#3322,#114,.T.); +#2440=EDGE_CURVE('',#3323,#3324,#4016,.T.); +#2441=EDGE_CURVE('',#3323,#3311,#115,.T.); +#2442=EDGE_CURVE('',#3312,#3324,#116,.T.); +#2443=EDGE_CURVE('',#3325,#3326,#4017,.T.); +#2444=EDGE_CURVE('',#3325,#3313,#117,.T.); +#2445=EDGE_CURVE('',#3314,#3326,#118,.T.); +#2446=EDGE_CURVE('',#3327,#3328,#4018,.T.); +#2447=EDGE_CURVE('',#3327,#3315,#119,.T.); +#2448=EDGE_CURVE('',#3316,#3328,#120,.T.); +#2449=EDGE_CURVE('',#3329,#3330,#4019,.T.); +#2450=EDGE_CURVE('',#3330,#3331,#4020,.T.); +#2451=EDGE_CURVE('',#3332,#3331,#4021,.T.); +#2452=EDGE_CURVE('',#3332,#3329,#4022,.T.); +#2453=EDGE_CURVE('',#3333,#3334,#4023,.T.); +#2454=EDGE_CURVE('',#3334,#3335,#4024,.T.); +#2455=EDGE_CURVE('',#3336,#3335,#4025,.T.); +#2456=EDGE_CURVE('',#3336,#3333,#4026,.T.); +#2457=EDGE_CURVE('',#3337,#3338,#4027,.T.); +#2458=EDGE_CURVE('',#3338,#3339,#4028,.T.); +#2459=EDGE_CURVE('',#3340,#3339,#4029,.T.); +#2460=EDGE_CURVE('',#3340,#3337,#4030,.T.); +#2461=EDGE_CURVE('',#3341,#3342,#4031,.T.); +#2462=EDGE_CURVE('',#3342,#3343,#4032,.T.); +#2463=EDGE_CURVE('',#3344,#3343,#4033,.T.); +#2464=EDGE_CURVE('',#3344,#3341,#4034,.T.); +#2465=EDGE_CURVE('',#3345,#3346,#4035,.T.); +#2466=EDGE_CURVE('',#3346,#3347,#4036,.T.); +#2467=EDGE_CURVE('',#3348,#3347,#4037,.T.); +#2468=EDGE_CURVE('',#3348,#3345,#4038,.T.); +#2469=EDGE_CURVE('',#3349,#3350,#4039,.T.); +#2470=EDGE_CURVE('',#3350,#3351,#4040,.T.); +#2471=EDGE_CURVE('',#3352,#3351,#4041,.T.); +#2472=EDGE_CURVE('',#3352,#3349,#4042,.T.); +#2473=EDGE_CURVE('',#3353,#3331,#4043,.T.); +#2474=EDGE_CURVE('',#3354,#3353,#4044,.T.); +#2475=EDGE_CURVE('',#3354,#3332,#4045,.T.); +#2476=EDGE_CURVE('',#3355,#3335,#4046,.T.); +#2477=EDGE_CURVE('',#3356,#3355,#4047,.T.); +#2478=EDGE_CURVE('',#3356,#3336,#4048,.T.); +#2479=EDGE_CURVE('',#3357,#3339,#4049,.T.); +#2480=EDGE_CURVE('',#3358,#3357,#4050,.T.); +#2481=EDGE_CURVE('',#3358,#3340,#4051,.T.); +#2482=EDGE_CURVE('',#3359,#3343,#4052,.T.); +#2483=EDGE_CURVE('',#3360,#3359,#4053,.T.); +#2484=EDGE_CURVE('',#3360,#3344,#4054,.T.); +#2485=EDGE_CURVE('',#3361,#3347,#4055,.T.); +#2486=EDGE_CURVE('',#3362,#3361,#4056,.T.); +#2487=EDGE_CURVE('',#3362,#3348,#4057,.T.); +#2488=EDGE_CURVE('',#3363,#3351,#4058,.T.); +#2489=EDGE_CURVE('',#3364,#3363,#4059,.T.); +#2490=EDGE_CURVE('',#3364,#3352,#4060,.T.); +#2491=EDGE_CURVE('',#3353,#3365,#4061,.T.); +#2492=EDGE_CURVE('',#3366,#3365,#4062,.T.); +#2493=EDGE_CURVE('',#3366,#3354,#4063,.T.); +#2494=EDGE_CURVE('',#3355,#3367,#4064,.T.); +#2495=EDGE_CURVE('',#3368,#3367,#4065,.T.); +#2496=EDGE_CURVE('',#3368,#3356,#4066,.T.); +#2497=EDGE_CURVE('',#3357,#3369,#4067,.T.); +#2498=EDGE_CURVE('',#3370,#3369,#4068,.T.); +#2499=EDGE_CURVE('',#3370,#3358,#4069,.T.); +#2500=EDGE_CURVE('',#3359,#3371,#4070,.T.); +#2501=EDGE_CURVE('',#3372,#3371,#4071,.T.); +#2502=EDGE_CURVE('',#3372,#3360,#4072,.T.); +#2503=EDGE_CURVE('',#3361,#3373,#4073,.T.); +#2504=EDGE_CURVE('',#3374,#3373,#4074,.T.); +#2505=EDGE_CURVE('',#3374,#3362,#4075,.T.); +#2506=EDGE_CURVE('',#3363,#3375,#4076,.T.); +#2507=EDGE_CURVE('',#3376,#3375,#4077,.T.); +#2508=EDGE_CURVE('',#3376,#3364,#4078,.T.); +#2509=EDGE_CURVE('',#3377,#3378,#4079,.T.); +#2510=EDGE_CURVE('',#3377,#3366,#4080,.T.); +#2511=EDGE_CURVE('',#3365,#3378,#4081,.T.); +#2512=EDGE_CURVE('',#3367,#3379,#4082,.T.); +#2513=EDGE_CURVE('',#3380,#3379,#4083,.T.); +#2514=EDGE_CURVE('',#3380,#3368,#4084,.T.); +#2515=EDGE_CURVE('',#3369,#3381,#4085,.T.); +#2516=EDGE_CURVE('',#3382,#3381,#4086,.T.); +#2517=EDGE_CURVE('',#3382,#3370,#4087,.T.); +#2518=EDGE_CURVE('',#3371,#3383,#4088,.T.); +#2519=EDGE_CURVE('',#3384,#3383,#4089,.T.); +#2520=EDGE_CURVE('',#3384,#3372,#4090,.T.); +#2521=EDGE_CURVE('',#3373,#3385,#4091,.T.); +#2522=EDGE_CURVE('',#3386,#3385,#4092,.T.); +#2523=EDGE_CURVE('',#3386,#3374,#4093,.T.); +#2524=EDGE_CURVE('',#3375,#3387,#4094,.T.); +#2525=EDGE_CURVE('',#3388,#3387,#4095,.T.); +#2526=EDGE_CURVE('',#3388,#3376,#4096,.T.); +#2527=EDGE_CURVE('',#3389,#3390,#4097,.T.); +#2528=EDGE_CURVE('',#3389,#3391,#4098,.T.); +#2529=EDGE_CURVE('',#3391,#3392,#4099,.T.); +#2530=EDGE_CURVE('',#3392,#3390,#4100,.T.); +#2531=EDGE_CURVE('',#3393,#3394,#4101,.T.); +#2532=EDGE_CURVE('',#3394,#3395,#4102,.T.); +#2533=EDGE_CURVE('',#3396,#3395,#4103,.T.); +#2534=EDGE_CURVE('',#3396,#3393,#4104,.T.); +#2535=EDGE_CURVE('',#3397,#3398,#4105,.T.); +#2536=EDGE_CURVE('',#3398,#3399,#4106,.T.); +#2537=EDGE_CURVE('',#3400,#3399,#4107,.T.); +#2538=EDGE_CURVE('',#3400,#3397,#4108,.T.); +#2539=EDGE_CURVE('',#3401,#3402,#4109,.T.); +#2540=EDGE_CURVE('',#3402,#3403,#4110,.T.); +#2541=EDGE_CURVE('',#3404,#3403,#4111,.T.); +#2542=EDGE_CURVE('',#3404,#3401,#4112,.T.); +#2543=EDGE_CURVE('',#3405,#3406,#4113,.T.); +#2544=EDGE_CURVE('',#3406,#3407,#4114,.T.); +#2545=EDGE_CURVE('',#3408,#3407,#4115,.T.); +#2546=EDGE_CURVE('',#3408,#3405,#4116,.T.); +#2547=EDGE_CURVE('',#3409,#3410,#4117,.T.); +#2548=EDGE_CURVE('',#3410,#3411,#4118,.T.); +#2549=EDGE_CURVE('',#3412,#3411,#4119,.T.); +#2550=EDGE_CURVE('',#3412,#3409,#4120,.T.); +#2551=EDGE_CURVE('',#3390,#3413,#4121,.T.); +#2552=EDGE_CURVE('',#3414,#3413,#4122,.T.); +#2553=EDGE_CURVE('',#3414,#3389,#4123,.T.); +#2554=EDGE_CURVE('',#3395,#3415,#4124,.T.); +#2555=EDGE_CURVE('',#3416,#3415,#4125,.T.); +#2556=EDGE_CURVE('',#3416,#3396,#4126,.T.); +#2557=EDGE_CURVE('',#3399,#3417,#4127,.T.); +#2558=EDGE_CURVE('',#3418,#3417,#4128,.T.); +#2559=EDGE_CURVE('',#3418,#3400,#4129,.T.); +#2560=EDGE_CURVE('',#3403,#3419,#4130,.T.); +#2561=EDGE_CURVE('',#3420,#3419,#4131,.T.); +#2562=EDGE_CURVE('',#3420,#3404,#4132,.T.); +#2563=EDGE_CURVE('',#3407,#3421,#4133,.T.); +#2564=EDGE_CURVE('',#3422,#3421,#4134,.T.); +#2565=EDGE_CURVE('',#3422,#3408,#4135,.T.); +#2566=EDGE_CURVE('',#3411,#3423,#4136,.T.); +#2567=EDGE_CURVE('',#3424,#3423,#4137,.T.); +#2568=EDGE_CURVE('',#3424,#3412,#4138,.T.); +#2569=EDGE_CURVE('',#3425,#3413,#4139,.T.); +#2570=EDGE_CURVE('',#3426,#3425,#4140,.T.); +#2571=EDGE_CURVE('',#3426,#3414,#4141,.T.); +#2572=EDGE_CURVE('',#3427,#3415,#4142,.T.); +#2573=EDGE_CURVE('',#3428,#3427,#4143,.T.); +#2574=EDGE_CURVE('',#3428,#3416,#4144,.T.); +#2575=EDGE_CURVE('',#3429,#3417,#4145,.T.); +#2576=EDGE_CURVE('',#3430,#3429,#4146,.T.); +#2577=EDGE_CURVE('',#3430,#3418,#4147,.T.); +#2578=EDGE_CURVE('',#3431,#3419,#4148,.T.); +#2579=EDGE_CURVE('',#3432,#3431,#4149,.T.); +#2580=EDGE_CURVE('',#3432,#3420,#4150,.T.); +#2581=EDGE_CURVE('',#3433,#3421,#4151,.T.); +#2582=EDGE_CURVE('',#3434,#3433,#4152,.T.); +#2583=EDGE_CURVE('',#3434,#3422,#4153,.T.); +#2584=EDGE_CURVE('',#3435,#3423,#4154,.T.); +#2585=EDGE_CURVE('',#3436,#3435,#4155,.T.); +#2586=EDGE_CURVE('',#3436,#3424,#4156,.T.); +#2587=EDGE_CURVE('',#3425,#3437,#4157,.T.); +#2588=EDGE_CURVE('',#3438,#3437,#4158,.T.); +#2589=EDGE_CURVE('',#3438,#3426,#4159,.T.); +#2590=EDGE_CURVE('',#3427,#3439,#4160,.T.); +#2591=EDGE_CURVE('',#3440,#3439,#4161,.T.); +#2592=EDGE_CURVE('',#3440,#3428,#4162,.T.); +#2593=EDGE_CURVE('',#3429,#3441,#4163,.T.); +#2594=EDGE_CURVE('',#3442,#3441,#4164,.T.); +#2595=EDGE_CURVE('',#3442,#3430,#4165,.T.); +#2596=EDGE_CURVE('',#3431,#3443,#4166,.T.); +#2597=EDGE_CURVE('',#3444,#3443,#4167,.T.); +#2598=EDGE_CURVE('',#3444,#3432,#4168,.T.); +#2599=EDGE_CURVE('',#3433,#3445,#4169,.T.); +#2600=EDGE_CURVE('',#3446,#3445,#4170,.T.); +#2601=EDGE_CURVE('',#3446,#3434,#4171,.T.); +#2602=EDGE_CURVE('',#3435,#3447,#4172,.T.); +#2603=EDGE_CURVE('',#3448,#3447,#4173,.T.); +#2604=EDGE_CURVE('',#3448,#3436,#4174,.T.); +#2605=EDGE_CURVE('',#3449,#3450,#121,.T.); +#2606=EDGE_CURVE('',#3449,#3451,#4175,.T.); +#2607=EDGE_CURVE('',#3452,#3451,#122,.T.); +#2608=EDGE_CURVE('',#3450,#3452,#4176,.T.); +#2609=EDGE_CURVE('',#3453,#3454,#123,.T.); +#2610=EDGE_CURVE('',#3453,#3455,#4177,.T.); +#2611=EDGE_CURVE('',#3456,#3455,#124,.T.); +#2612=EDGE_CURVE('',#3454,#3456,#4178,.T.); +#2613=EDGE_CURVE('',#3457,#3458,#125,.T.); +#2614=EDGE_CURVE('',#3457,#3459,#4179,.T.); +#2615=EDGE_CURVE('',#3460,#3459,#126,.T.); +#2616=EDGE_CURVE('',#3458,#3460,#4180,.T.); +#2617=EDGE_CURVE('',#3461,#3462,#127,.T.); +#2618=EDGE_CURVE('',#3461,#3463,#4181,.T.); +#2619=EDGE_CURVE('',#3464,#3463,#128,.T.); +#2620=EDGE_CURVE('',#3462,#3464,#4182,.T.); +#2621=EDGE_CURVE('',#3465,#3466,#129,.T.); +#2622=EDGE_CURVE('',#3465,#3467,#4183,.T.); +#2623=EDGE_CURVE('',#3468,#3467,#130,.T.); +#2624=EDGE_CURVE('',#3466,#3468,#4184,.T.); +#2625=EDGE_CURVE('',#3469,#3470,#131,.T.); +#2626=EDGE_CURVE('',#3469,#3471,#4185,.T.); +#2627=EDGE_CURVE('',#3472,#3471,#132,.T.); +#2628=EDGE_CURVE('',#3470,#3472,#4186,.T.); +#2629=EDGE_CURVE('',#3473,#3474,#4187,.T.); +#2630=EDGE_CURVE('',#3473,#3450,#4188,.T.); +#2631=EDGE_CURVE('',#3452,#3474,#4189,.T.); +#2632=EDGE_CURVE('',#3475,#3476,#4190,.T.); +#2633=EDGE_CURVE('',#3475,#3454,#4191,.T.); +#2634=EDGE_CURVE('',#3456,#3476,#4192,.T.); +#2635=EDGE_CURVE('',#3477,#3478,#4193,.T.); +#2636=EDGE_CURVE('',#3477,#3458,#4194,.T.); +#2637=EDGE_CURVE('',#3460,#3478,#4195,.T.); +#2638=EDGE_CURVE('',#3479,#3480,#4196,.T.); +#2639=EDGE_CURVE('',#3479,#3462,#4197,.T.); +#2640=EDGE_CURVE('',#3464,#3480,#4198,.T.); +#2641=EDGE_CURVE('',#3481,#3482,#4199,.T.); +#2642=EDGE_CURVE('',#3481,#3466,#4200,.T.); +#2643=EDGE_CURVE('',#3468,#3482,#4201,.T.); +#2644=EDGE_CURVE('',#3483,#3484,#4202,.T.); +#2645=EDGE_CURVE('',#3483,#3470,#4203,.T.); +#2646=EDGE_CURVE('',#3472,#3484,#4204,.T.); +#2647=EDGE_CURVE('',#3485,#3486,#4205,.T.); +#2648=EDGE_CURVE('',#3485,#3473,#133,.T.); +#2649=EDGE_CURVE('',#3474,#3486,#134,.T.); +#2650=EDGE_CURVE('',#3487,#3488,#4206,.T.); +#2651=EDGE_CURVE('',#3487,#3475,#135,.T.); +#2652=EDGE_CURVE('',#3476,#3488,#136,.T.); +#2653=EDGE_CURVE('',#3489,#3490,#4207,.T.); +#2654=EDGE_CURVE('',#3489,#3477,#137,.T.); +#2655=EDGE_CURVE('',#3478,#3490,#138,.T.); +#2656=EDGE_CURVE('',#3491,#3492,#4208,.T.); +#2657=EDGE_CURVE('',#3491,#3479,#139,.T.); +#2658=EDGE_CURVE('',#3480,#3492,#140,.T.); +#2659=EDGE_CURVE('',#3493,#3494,#4209,.T.); +#2660=EDGE_CURVE('',#3493,#3481,#141,.T.); +#2661=EDGE_CURVE('',#3482,#3494,#142,.T.); +#2662=EDGE_CURVE('',#3495,#3496,#4210,.T.); +#2663=EDGE_CURVE('',#3495,#3483,#143,.T.); +#2664=EDGE_CURVE('',#3484,#3496,#144,.T.); +#2665=EDGE_CURVE('',#3497,#3498,#4211,.T.); +#2666=EDGE_CURVE('',#3497,#3485,#4212,.T.); +#2667=EDGE_CURVE('',#3486,#3498,#4213,.T.); +#2668=EDGE_CURVE('',#3499,#3500,#4214,.T.); +#2669=EDGE_CURVE('',#3499,#3487,#4215,.T.); +#2670=EDGE_CURVE('',#3488,#3500,#4216,.T.); +#2671=EDGE_CURVE('',#3501,#3502,#4217,.T.); +#2672=EDGE_CURVE('',#3501,#3489,#4218,.T.); +#2673=EDGE_CURVE('',#3490,#3502,#4219,.T.); +#2674=EDGE_CURVE('',#3503,#3504,#4220,.T.); +#2675=EDGE_CURVE('',#3503,#3491,#4221,.T.); +#2676=EDGE_CURVE('',#3492,#3504,#4222,.T.); +#2677=EDGE_CURVE('',#3505,#3506,#4223,.T.); +#2678=EDGE_CURVE('',#3505,#3493,#4224,.T.); +#2679=EDGE_CURVE('',#3494,#3506,#4225,.T.); +#2680=EDGE_CURVE('',#3507,#3508,#4226,.T.); +#2681=EDGE_CURVE('',#3507,#3495,#4227,.T.); +#2682=EDGE_CURVE('',#3496,#3508,#4228,.T.); +#2683=EDGE_CURVE('',#3509,#3510,#4229,.T.); +#2684=EDGE_CURVE('',#3509,#3497,#4230,.T.); +#2685=EDGE_CURVE('',#3498,#3510,#4231,.T.); +#2686=EDGE_CURVE('',#3511,#3512,#4232,.T.); +#2687=EDGE_CURVE('',#3511,#3499,#4233,.T.); +#2688=EDGE_CURVE('',#3500,#3512,#4234,.T.); +#2689=EDGE_CURVE('',#3513,#3514,#4235,.T.); +#2690=EDGE_CURVE('',#3513,#3501,#4236,.T.); +#2691=EDGE_CURVE('',#3502,#3514,#4237,.T.); +#2692=EDGE_CURVE('',#3515,#3516,#4238,.T.); +#2693=EDGE_CURVE('',#3515,#3503,#4239,.T.); +#2694=EDGE_CURVE('',#3504,#3516,#4240,.T.); +#2695=EDGE_CURVE('',#3517,#3518,#4241,.T.); +#2696=EDGE_CURVE('',#3517,#3505,#4242,.T.); +#2697=EDGE_CURVE('',#3506,#3518,#4243,.T.); +#2698=EDGE_CURVE('',#3519,#3520,#4244,.T.); +#2699=EDGE_CURVE('',#3519,#3507,#4245,.T.); +#2700=EDGE_CURVE('',#3508,#3520,#4246,.T.); +#2701=EDGE_CURVE('',#3521,#3522,#4247,.T.); +#2702=EDGE_CURVE('',#3521,#3509,#4248,.T.); +#2703=EDGE_CURVE('',#3510,#3522,#4249,.T.); +#2704=EDGE_CURVE('',#3523,#3524,#4250,.T.); +#2705=EDGE_CURVE('',#3523,#3511,#4251,.T.); +#2706=EDGE_CURVE('',#3512,#3524,#4252,.T.); +#2707=EDGE_CURVE('',#3525,#3526,#4253,.T.); +#2708=EDGE_CURVE('',#3525,#3513,#4254,.T.); +#2709=EDGE_CURVE('',#3514,#3526,#4255,.T.); +#2710=EDGE_CURVE('',#3527,#3528,#4256,.T.); +#2711=EDGE_CURVE('',#3527,#3515,#4257,.T.); +#2712=EDGE_CURVE('',#3516,#3528,#4258,.T.); +#2713=EDGE_CURVE('',#3529,#3530,#4259,.T.); +#2714=EDGE_CURVE('',#3529,#3517,#4260,.T.); +#2715=EDGE_CURVE('',#3518,#3530,#4261,.T.); +#2716=EDGE_CURVE('',#3531,#3532,#4262,.T.); +#2717=EDGE_CURVE('',#3531,#3519,#4263,.T.); +#2718=EDGE_CURVE('',#3520,#3532,#4264,.T.); +#2719=EDGE_CURVE('',#3521,#3533,#145,.T.); +#2720=EDGE_CURVE('',#3534,#3522,#146,.T.); +#2721=EDGE_CURVE('',#3533,#3534,#4265,.T.); +#2722=EDGE_CURVE('',#3523,#3535,#147,.T.); +#2723=EDGE_CURVE('',#3536,#3524,#148,.T.); +#2724=EDGE_CURVE('',#3535,#3536,#4266,.T.); +#2725=EDGE_CURVE('',#3525,#3537,#149,.T.); +#2726=EDGE_CURVE('',#3538,#3526,#150,.T.); +#2727=EDGE_CURVE('',#3537,#3538,#4267,.T.); +#2728=EDGE_CURVE('',#3527,#3539,#151,.T.); +#2729=EDGE_CURVE('',#3540,#3528,#152,.T.); +#2730=EDGE_CURVE('',#3539,#3540,#4268,.T.); +#2731=EDGE_CURVE('',#3529,#3541,#153,.T.); +#2732=EDGE_CURVE('',#3542,#3530,#154,.T.); +#2733=EDGE_CURVE('',#3541,#3542,#4269,.T.); +#2734=EDGE_CURVE('',#3531,#3543,#155,.T.); +#2735=EDGE_CURVE('',#3544,#3532,#156,.T.); +#2736=EDGE_CURVE('',#3543,#3544,#4270,.T.); +#2737=EDGE_CURVE('',#3545,#3546,#4271,.T.); +#2738=EDGE_CURVE('',#3545,#3533,#4272,.T.); +#2739=EDGE_CURVE('',#3534,#3546,#4273,.T.); +#2740=EDGE_CURVE('',#3547,#3548,#4274,.T.); +#2741=EDGE_CURVE('',#3547,#3535,#4275,.T.); +#2742=EDGE_CURVE('',#3536,#3548,#4276,.T.); +#2743=EDGE_CURVE('',#3549,#3550,#4277,.T.); +#2744=EDGE_CURVE('',#3549,#3537,#4278,.T.); +#2745=EDGE_CURVE('',#3538,#3550,#4279,.T.); +#2746=EDGE_CURVE('',#3551,#3552,#4280,.T.); +#2747=EDGE_CURVE('',#3551,#3539,#4281,.T.); +#2748=EDGE_CURVE('',#3540,#3552,#4282,.T.); +#2749=EDGE_CURVE('',#3553,#3554,#4283,.T.); +#2750=EDGE_CURVE('',#3553,#3541,#4284,.T.); +#2751=EDGE_CURVE('',#3542,#3554,#4285,.T.); +#2752=EDGE_CURVE('',#3555,#3556,#4286,.T.); +#2753=EDGE_CURVE('',#3555,#3543,#4287,.T.); +#2754=EDGE_CURVE('',#3544,#3556,#4288,.T.); +#2755=EDGE_CURVE('',#3557,#3558,#4289,.T.); +#2756=EDGE_CURVE('',#3557,#3545,#157,.T.); +#2757=EDGE_CURVE('',#3546,#3558,#158,.T.); +#2758=EDGE_CURVE('',#3559,#3560,#4290,.T.); +#2759=EDGE_CURVE('',#3559,#3547,#159,.T.); +#2760=EDGE_CURVE('',#3548,#3560,#160,.T.); +#2761=EDGE_CURVE('',#3561,#3562,#4291,.T.); +#2762=EDGE_CURVE('',#3561,#3549,#161,.T.); +#2763=EDGE_CURVE('',#3550,#3562,#162,.T.); +#2764=EDGE_CURVE('',#3563,#3564,#4292,.T.); +#2765=EDGE_CURVE('',#3563,#3551,#163,.T.); +#2766=EDGE_CURVE('',#3552,#3564,#164,.T.); +#2767=EDGE_CURVE('',#3565,#3566,#4293,.T.); +#2768=EDGE_CURVE('',#3565,#3553,#165,.T.); +#2769=EDGE_CURVE('',#3554,#3566,#166,.T.); +#2770=EDGE_CURVE('',#3567,#3568,#4294,.T.); +#2771=EDGE_CURVE('',#3567,#3555,#167,.T.); +#2772=EDGE_CURVE('',#3556,#3568,#168,.T.); +#2773=EDGE_CURVE('',#3569,#3570,#4295,.T.); +#2774=EDGE_CURVE('',#3569,#3557,#4296,.T.); +#2775=EDGE_CURVE('',#3558,#3570,#4297,.T.); +#2776=EDGE_CURVE('',#3571,#3572,#4298,.T.); +#2777=EDGE_CURVE('',#3571,#3559,#4299,.T.); +#2778=EDGE_CURVE('',#3560,#3572,#4300,.T.); +#2779=EDGE_CURVE('',#3573,#3574,#4301,.T.); +#2780=EDGE_CURVE('',#3573,#3561,#4302,.T.); +#2781=EDGE_CURVE('',#3562,#3574,#4303,.T.); +#2782=EDGE_CURVE('',#3575,#3576,#4304,.T.); +#2783=EDGE_CURVE('',#3575,#3563,#4305,.T.); +#2784=EDGE_CURVE('',#3564,#3576,#4306,.T.); +#2785=EDGE_CURVE('',#3577,#3578,#4307,.T.); +#2786=EDGE_CURVE('',#3577,#3565,#4308,.T.); +#2787=EDGE_CURVE('',#3566,#3578,#4309,.T.); +#2788=EDGE_CURVE('',#3579,#3580,#4310,.T.); +#2789=EDGE_CURVE('',#3579,#3567,#4311,.T.); +#2790=EDGE_CURVE('',#3568,#3580,#4312,.T.); +#2791=EDGE_CURVE('',#3581,#3582,#4313,.T.); +#2792=EDGE_CURVE('',#3581,#3583,#4314,.T.); +#2793=EDGE_CURVE('',#3583,#3584,#4315,.T.); +#2794=EDGE_CURVE('',#3584,#3582,#4316,.T.); +#2795=EDGE_CURVE('',#3585,#3586,#4317,.T.); +#2796=EDGE_CURVE('',#3585,#3587,#4318,.T.); +#2797=EDGE_CURVE('',#3587,#3588,#4319,.T.); +#2798=EDGE_CURVE('',#3588,#3586,#4320,.T.); +#2799=EDGE_CURVE('',#3589,#3590,#4321,.T.); +#2800=EDGE_CURVE('',#3589,#3591,#4322,.T.); +#2801=EDGE_CURVE('',#3591,#3592,#4323,.T.); +#2802=EDGE_CURVE('',#3592,#3590,#4324,.T.); +#2803=EDGE_CURVE('',#3593,#3594,#4325,.T.); +#2804=EDGE_CURVE('',#3593,#3595,#4326,.T.); +#2805=EDGE_CURVE('',#3595,#3596,#4327,.T.); +#2806=EDGE_CURVE('',#3596,#3594,#4328,.T.); +#2807=EDGE_CURVE('',#3597,#3598,#4329,.T.); +#2808=EDGE_CURVE('',#3597,#3599,#4330,.T.); +#2809=EDGE_CURVE('',#3599,#3600,#4331,.T.); +#2810=EDGE_CURVE('',#3600,#3598,#4332,.T.); +#2811=EDGE_CURVE('',#3601,#3602,#4333,.T.); +#2812=EDGE_CURVE('',#3601,#3603,#4334,.T.); +#2813=EDGE_CURVE('',#3603,#3604,#4335,.T.); +#2814=EDGE_CURVE('',#3604,#3602,#4336,.T.); +#2815=EDGE_CURVE('',#3581,#3605,#169,.T.); +#2816=EDGE_CURVE('',#3606,#3582,#170,.T.); +#2817=EDGE_CURVE('',#3605,#3606,#4337,.T.); +#2818=EDGE_CURVE('',#3585,#3607,#171,.T.); +#2819=EDGE_CURVE('',#3608,#3586,#172,.T.); +#2820=EDGE_CURVE('',#3607,#3608,#4338,.T.); +#2821=EDGE_CURVE('',#3589,#3609,#173,.T.); +#2822=EDGE_CURVE('',#3610,#3590,#174,.T.); +#2823=EDGE_CURVE('',#3609,#3610,#4339,.T.); +#2824=EDGE_CURVE('',#3593,#3611,#175,.T.); +#2825=EDGE_CURVE('',#3612,#3594,#176,.T.); +#2826=EDGE_CURVE('',#3611,#3612,#4340,.T.); +#2827=EDGE_CURVE('',#3597,#3613,#177,.T.); +#2828=EDGE_CURVE('',#3614,#3598,#178,.T.); +#2829=EDGE_CURVE('',#3613,#3614,#4341,.T.); +#2830=EDGE_CURVE('',#3601,#3615,#179,.T.); +#2831=EDGE_CURVE('',#3616,#3602,#180,.T.); +#2832=EDGE_CURVE('',#3615,#3616,#4342,.T.); +#2833=EDGE_CURVE('',#3617,#3618,#4343,.T.); +#2834=EDGE_CURVE('',#3617,#3605,#4344,.T.); +#2835=EDGE_CURVE('',#3606,#3618,#4345,.T.); +#2836=EDGE_CURVE('',#3619,#3620,#4346,.T.); +#2837=EDGE_CURVE('',#3619,#3607,#4347,.T.); +#2838=EDGE_CURVE('',#3608,#3620,#4348,.T.); +#2839=EDGE_CURVE('',#3621,#3622,#4349,.T.); +#2840=EDGE_CURVE('',#3621,#3609,#4350,.T.); +#2841=EDGE_CURVE('',#3610,#3622,#4351,.T.); +#2842=EDGE_CURVE('',#3623,#3624,#4352,.T.); +#2843=EDGE_CURVE('',#3623,#3611,#4353,.T.); +#2844=EDGE_CURVE('',#3612,#3624,#4354,.T.); +#2845=EDGE_CURVE('',#3625,#3626,#4355,.T.); +#2846=EDGE_CURVE('',#3625,#3613,#4356,.T.); +#2847=EDGE_CURVE('',#3614,#3626,#4357,.T.); +#2848=EDGE_CURVE('',#3627,#3628,#4358,.T.); +#2849=EDGE_CURVE('',#3627,#3615,#4359,.T.); +#2850=EDGE_CURVE('',#3616,#3628,#4360,.T.); +#2851=EDGE_CURVE('',#3247,#3617,#181,.T.); +#2852=EDGE_CURVE('',#3618,#3248,#182,.T.); +#2853=EDGE_CURVE('',#3251,#3619,#183,.T.); +#2854=EDGE_CURVE('',#3620,#3252,#184,.T.); +#2855=EDGE_CURVE('',#3255,#3621,#185,.T.); +#2856=EDGE_CURVE('',#3622,#3256,#186,.T.); +#2857=EDGE_CURVE('',#3259,#3623,#187,.T.); +#2858=EDGE_CURVE('',#3624,#3260,#188,.T.); +#2859=EDGE_CURVE('',#3263,#3625,#189,.T.); +#2860=EDGE_CURVE('',#3626,#3264,#190,.T.); +#2861=EDGE_CURVE('',#3267,#3627,#191,.T.); +#2862=EDGE_CURVE('',#3628,#3268,#192,.T.); +#2863=EDGE_CURVE('',#3629,#3630,#4361,.T.); +#2864=EDGE_CURVE('',#3629,#3631,#4362,.T.); +#2865=EDGE_CURVE('',#3631,#3632,#4363,.T.); +#2866=EDGE_CURVE('',#3632,#3630,#4364,.T.); +#2867=EDGE_CURVE('',#3633,#3634,#4365,.T.); +#2868=EDGE_CURVE('',#3633,#3629,#4366,.T.); +#2869=EDGE_CURVE('',#3630,#3634,#4367,.T.); +#2870=EDGE_CURVE('',#3635,#3636,#4368,.T.); +#2871=EDGE_CURVE('',#3635,#3633,#4369,.T.); +#2872=EDGE_CURVE('',#3634,#3636,#4370,.T.); +#2873=EDGE_CURVE('',#3635,#3637,#193,.T.); +#2874=EDGE_CURVE('',#3638,#3636,#194,.T.); +#2875=EDGE_CURVE('',#3637,#3638,#4371,.T.); +#2876=EDGE_CURVE('',#3639,#3640,#4372,.T.); +#2877=EDGE_CURVE('',#3639,#3637,#4373,.T.); +#2878=EDGE_CURVE('',#3638,#3640,#4374,.T.); +#2879=EDGE_CURVE('',#3641,#3642,#4375,.T.); +#2880=EDGE_CURVE('',#3641,#3639,#195,.T.); +#2881=EDGE_CURVE('',#3640,#3642,#196,.T.); +#2882=EDGE_CURVE('',#3329,#3643,#4376,.T.); +#2883=EDGE_CURVE('',#3643,#3644,#4377,.T.); +#2884=EDGE_CURVE('',#3644,#3334,#4378,.T.); +#2885=EDGE_CURVE('',#3333,#3645,#4379,.T.); +#2886=EDGE_CURVE('',#3645,#3646,#4380,.T.); +#2887=EDGE_CURVE('',#3646,#3338,#4381,.T.); +#2888=EDGE_CURVE('',#3337,#3647,#4382,.T.); +#2889=EDGE_CURVE('',#3647,#3648,#4383,.T.); +#2890=EDGE_CURVE('',#3648,#3342,#4384,.T.); +#2891=EDGE_CURVE('',#3341,#3649,#4385,.T.); +#2892=EDGE_CURVE('',#3649,#3650,#4386,.T.); +#2893=EDGE_CURVE('',#3650,#3346,#4387,.T.); +#2894=EDGE_CURVE('',#3345,#3651,#4388,.T.); +#2895=EDGE_CURVE('',#3651,#3652,#4389,.T.); +#2896=EDGE_CURVE('',#3652,#3350,#4390,.T.); +#2897=EDGE_CURVE('',#3349,#3653,#4391,.T.); +#2898=EDGE_CURVE('',#3653,#3654,#4392,.T.); +#2899=EDGE_CURVE('',#3654,#3655,#4393,.T.); +#2900=EDGE_CURVE('',#3656,#3655,#4394,.T.); +#2901=EDGE_CURVE('',#3656,#3657,#4395,.T.); +#2902=EDGE_CURVE('',#3657,#3658,#4396,.T.); +#2903=EDGE_CURVE('',#3659,#3658,#4397,.T.); +#2904=EDGE_CURVE('',#3659,#3660,#4398,.T.); +#2905=EDGE_CURVE('',#3660,#3317,#4399,.T.); +#2906=EDGE_CURVE('',#3318,#3661,#4400,.T.); +#2907=EDGE_CURVE('',#3661,#3662,#4401,.T.); +#2908=EDGE_CURVE('',#3662,#3319,#4402,.T.); +#2909=EDGE_CURVE('',#3320,#3663,#4403,.T.); +#2910=EDGE_CURVE('',#3663,#3664,#4404,.T.); +#2911=EDGE_CURVE('',#3664,#3321,#4405,.T.); +#2912=EDGE_CURVE('',#3322,#3665,#4406,.T.); +#2913=EDGE_CURVE('',#3665,#3666,#4407,.T.); +#2914=EDGE_CURVE('',#3666,#3323,#4408,.T.); +#2915=EDGE_CURVE('',#3324,#3667,#4409,.T.); +#2916=EDGE_CURVE('',#3667,#3668,#4410,.T.); +#2917=EDGE_CURVE('',#3668,#3325,#4411,.T.); +#2918=EDGE_CURVE('',#3326,#3669,#4412,.T.); +#2919=EDGE_CURVE('',#3669,#3670,#4413,.T.); +#2920=EDGE_CURVE('',#3670,#3327,#4414,.T.); +#2921=EDGE_CURVE('',#3328,#3671,#4415,.T.); +#2922=EDGE_CURVE('',#3671,#3672,#4416,.T.); +#2923=EDGE_CURVE('',#3672,#3641,#4417,.T.); +#2924=EDGE_CURVE('',#3642,#3330,#4418,.T.); +#2925=EDGE_CURVE('',#3655,#3673,#4419,.T.); +#2926=EDGE_CURVE('',#3674,#3673,#4420,.T.); +#2927=EDGE_CURVE('',#3674,#3656,#4421,.T.); +#2928=EDGE_CURVE('',#3675,#3673,#4422,.T.); +#2929=EDGE_CURVE('',#3676,#3675,#4423,.T.); +#2930=EDGE_CURVE('',#3676,#3674,#4424,.T.); +#2931=EDGE_CURVE('',#3675,#3677,#4425,.T.); +#2932=EDGE_CURVE('',#3678,#3677,#4426,.T.); +#2933=EDGE_CURVE('',#3678,#3676,#4427,.T.); +#2934=EDGE_CURVE('',#3677,#3679,#4428,.T.); +#2935=EDGE_CURVE('',#3680,#3679,#4429,.T.); +#2936=EDGE_CURVE('',#3680,#3678,#4430,.T.); +#2937=EDGE_CURVE('',#3679,#3654,#4431,.T.); +#2938=EDGE_CURVE('',#3388,#3653,#4432,.T.); +#2939=EDGE_CURVE('',#3387,#3652,#4433,.T.); +#2940=EDGE_CURVE('',#3386,#3651,#4434,.T.); +#2941=EDGE_CURVE('',#3385,#3650,#4435,.T.); +#2942=EDGE_CURVE('',#3384,#3649,#4436,.T.); +#2943=EDGE_CURVE('',#3383,#3648,#4437,.T.); +#2944=EDGE_CURVE('',#3382,#3647,#4438,.T.); +#2945=EDGE_CURVE('',#3381,#3646,#4439,.T.); +#2946=EDGE_CURVE('',#3380,#3645,#4440,.T.); +#2947=EDGE_CURVE('',#3379,#3644,#4441,.T.); +#2948=EDGE_CURVE('',#3377,#3643,#4442,.T.); +#2949=EDGE_CURVE('',#3378,#3392,#4443,.T.); +#2950=EDGE_CURVE('',#3681,#3391,#4444,.T.); +#2951=EDGE_CURVE('',#3682,#3681,#4445,.T.); +#2952=EDGE_CURVE('',#3682,#3394,#4446,.T.); +#2953=EDGE_CURVE('',#3683,#3393,#4447,.T.); +#2954=EDGE_CURVE('',#3684,#3683,#4448,.T.); +#2955=EDGE_CURVE('',#3684,#3398,#4449,.T.); +#2956=EDGE_CURVE('',#3685,#3397,#4450,.T.); +#2957=EDGE_CURVE('',#3686,#3685,#4451,.T.); +#2958=EDGE_CURVE('',#3686,#3402,#4452,.T.); +#2959=EDGE_CURVE('',#3687,#3401,#4453,.T.); +#2960=EDGE_CURVE('',#3688,#3687,#4454,.T.); +#2961=EDGE_CURVE('',#3688,#3406,#4455,.T.); +#2962=EDGE_CURVE('',#3689,#3405,#4456,.T.); +#2963=EDGE_CURVE('',#3690,#3689,#4457,.T.); +#2964=EDGE_CURVE('',#3690,#3410,#4458,.T.); +#2965=EDGE_CURVE('',#3691,#3409,#4459,.T.); +#2966=EDGE_CURVE('',#3692,#3691,#4460,.T.); +#2967=EDGE_CURVE('',#3692,#3693,#4461,.T.); +#2968=EDGE_CURVE('',#3694,#3693,#4462,.T.); +#2969=EDGE_CURVE('',#3695,#3694,#4463,.T.); +#2970=EDGE_CURVE('',#3696,#3695,#4464,.T.); +#2971=EDGE_CURVE('',#3658,#3696,#4465,.T.); +#2972=EDGE_CURVE('',#3680,#3657,#4466,.T.); +#2973=EDGE_CURVE('',#3693,#3697,#4467,.T.); +#2974=EDGE_CURVE('',#3698,#3697,#4468,.T.); +#2975=EDGE_CURVE('',#3698,#3694,#4469,.T.); +#2976=EDGE_CURVE('',#3697,#3699,#4470,.T.); +#2977=EDGE_CURVE('',#3700,#3699,#4471,.T.); +#2978=EDGE_CURVE('',#3700,#3698,#4472,.T.); +#2979=EDGE_CURVE('',#3701,#3699,#4473,.T.); +#2980=EDGE_CURVE('',#3702,#3701,#4474,.T.); +#2981=EDGE_CURVE('',#3702,#3700,#4475,.T.); +#2982=EDGE_CURVE('',#3701,#3703,#4476,.T.); +#2983=EDGE_CURVE('',#3704,#3703,#4477,.T.); +#2984=EDGE_CURVE('',#3704,#3702,#4478,.T.); +#2985=EDGE_CURVE('',#3681,#3438,#4479,.T.); +#2986=EDGE_CURVE('',#3437,#3705,#4480,.T.); +#2987=EDGE_CURVE('',#3706,#3705,#4481,.T.); +#2988=EDGE_CURVE('',#3706,#3707,#4482,.T.); +#2989=EDGE_CURVE('',#3707,#3708,#4483,.T.); +#2990=EDGE_CURVE('',#3708,#3471,#4484,.T.); +#2991=EDGE_CURVE('',#3469,#3709,#4485,.T.); +#2992=EDGE_CURVE('',#3709,#3710,#4486,.T.); +#2993=EDGE_CURVE('',#3710,#3467,#4487,.T.); +#2994=EDGE_CURVE('',#3465,#3711,#4488,.T.); +#2995=EDGE_CURVE('',#3711,#3712,#4489,.T.); +#2996=EDGE_CURVE('',#3712,#3463,#4490,.T.); +#2997=EDGE_CURVE('',#3461,#3713,#4491,.T.); +#2998=EDGE_CURVE('',#3713,#3714,#4492,.T.); +#2999=EDGE_CURVE('',#3714,#3459,#4493,.T.); +#3000=EDGE_CURVE('',#3457,#3715,#4494,.T.); +#3001=EDGE_CURVE('',#3715,#3716,#4495,.T.); +#3002=EDGE_CURVE('',#3716,#3455,#4496,.T.); +#3003=EDGE_CURVE('',#3453,#3717,#4497,.T.); +#3004=EDGE_CURVE('',#3717,#3718,#4498,.T.); +#3005=EDGE_CURVE('',#3718,#3451,#4499,.T.); +#3006=EDGE_CURVE('',#3449,#3719,#4500,.T.); +#3007=EDGE_CURVE('',#3719,#3720,#4501,.T.); +#3008=EDGE_CURVE('',#3696,#3720,#4502,.T.); +#3009=EDGE_CURVE('',#3695,#3704,#4503,.T.); +#3010=EDGE_CURVE('',#3703,#3692,#4504,.T.); +#3011=EDGE_CURVE('',#3691,#3448,#4505,.T.); +#3012=EDGE_CURVE('',#3447,#3690,#4506,.T.); +#3013=EDGE_CURVE('',#3689,#3446,#4507,.T.); +#3014=EDGE_CURVE('',#3445,#3688,#4508,.T.); +#3015=EDGE_CURVE('',#3687,#3444,#4509,.T.); +#3016=EDGE_CURVE('',#3443,#3686,#4510,.T.); +#3017=EDGE_CURVE('',#3685,#3442,#4511,.T.); +#3018=EDGE_CURVE('',#3441,#3684,#4512,.T.); +#3019=EDGE_CURVE('',#3683,#3440,#4513,.T.); +#3020=EDGE_CURVE('',#3439,#3682,#4514,.T.); +#3021=EDGE_CURVE('',#3706,#3721,#197,.T.); +#3022=EDGE_CURVE('',#3722,#3705,#198,.T.); +#3023=EDGE_CURVE('',#3721,#3722,#4515,.T.); +#3024=EDGE_CURVE('',#3723,#3724,#4516,.T.); +#3025=EDGE_CURVE('',#3723,#3721,#4517,.T.); +#3026=EDGE_CURVE('',#3722,#3724,#4518,.T.); +#3027=EDGE_CURVE('',#3725,#3726,#4519,.T.); +#3028=EDGE_CURVE('',#3725,#3723,#199,.T.); +#3029=EDGE_CURVE('',#3724,#3726,#200,.T.); +#3030=EDGE_CURVE('',#3727,#3728,#4520,.T.); +#3031=EDGE_CURVE('',#3727,#3725,#4521,.T.); +#3032=EDGE_CURVE('',#3726,#3728,#4522,.T.); +#3033=EDGE_CURVE('',#3729,#3730,#4523,.T.); +#3034=EDGE_CURVE('',#3729,#3727,#4524,.T.); +#3035=EDGE_CURVE('',#3728,#3730,#4525,.T.); +#3036=EDGE_CURVE('',#3731,#3732,#4526,.T.); +#3037=EDGE_CURVE('',#3731,#3729,#4527,.T.); +#3038=EDGE_CURVE('',#3730,#3732,#4528,.T.); +#3039=EDGE_CURVE('',#3731,#3733,#201,.T.); +#3040=EDGE_CURVE('',#3734,#3732,#202,.T.); +#3041=EDGE_CURVE('',#3733,#3734,#4529,.T.); +#3042=EDGE_CURVE('',#3735,#3736,#4530,.T.); +#3043=EDGE_CURVE('',#3735,#3733,#4531,.T.); +#3044=EDGE_CURVE('',#3734,#3736,#4532,.T.); +#3045=EDGE_CURVE('',#3737,#3738,#4533,.T.); +#3046=EDGE_CURVE('',#3737,#3735,#203,.T.); +#3047=EDGE_CURVE('',#3736,#3738,#204,.T.); +#3048=EDGE_CURVE('',#3739,#3740,#4534,.T.); +#3049=EDGE_CURVE('',#3739,#3737,#4535,.T.); +#3050=EDGE_CURVE('',#3738,#3740,#4536,.T.); +#3051=EDGE_CURVE('',#3741,#3742,#4537,.T.); +#3052=EDGE_CURVE('',#3741,#3672,#4538,.T.); +#3053=EDGE_CURVE('',#3604,#3671,#4539,.T.); +#3054=EDGE_CURVE('',#3603,#3670,#4540,.T.); +#3055=EDGE_CURVE('',#3600,#3669,#4541,.T.); +#3056=EDGE_CURVE('',#3599,#3668,#4542,.T.); +#3057=EDGE_CURVE('',#3596,#3667,#4543,.T.); +#3058=EDGE_CURVE('',#3595,#3666,#4544,.T.); +#3059=EDGE_CURVE('',#3592,#3665,#4545,.T.); +#3060=EDGE_CURVE('',#3591,#3664,#4546,.T.); +#3061=EDGE_CURVE('',#3588,#3663,#4547,.T.); +#3062=EDGE_CURVE('',#3587,#3662,#4548,.T.); +#3063=EDGE_CURVE('',#3584,#3661,#4549,.T.); +#3064=EDGE_CURVE('',#3583,#3660,#4550,.T.); +#3065=EDGE_CURVE('',#3720,#3659,#4551,.T.); +#3066=EDGE_CURVE('',#3719,#3569,#4552,.T.); +#3067=EDGE_CURVE('',#3718,#3570,#4553,.T.); +#3068=EDGE_CURVE('',#3717,#3571,#4554,.T.); +#3069=EDGE_CURVE('',#3716,#3572,#4555,.T.); +#3070=EDGE_CURVE('',#3715,#3573,#4556,.T.); +#3071=EDGE_CURVE('',#3714,#3574,#4557,.T.); +#3072=EDGE_CURVE('',#3713,#3575,#4558,.T.); +#3073=EDGE_CURVE('',#3712,#3576,#4559,.T.); +#3074=EDGE_CURVE('',#3711,#3577,#4560,.T.); +#3075=EDGE_CURVE('',#3710,#3578,#4561,.T.); +#3076=EDGE_CURVE('',#3709,#3579,#4562,.T.); +#3077=EDGE_CURVE('',#3708,#3580,#4563,.T.); +#3078=EDGE_CURVE('',#3707,#3739,#4564,.T.); +#3079=EDGE_CURVE('',#3740,#3742,#4565,.T.); +#3080=EDGE_CURVE('',#3743,#3744,#4566,.T.); +#3081=EDGE_CURVE('',#3743,#3741,#4567,.T.); +#3082=EDGE_CURVE('',#3742,#3744,#4568,.T.); +#3083=EDGE_CURVE('',#3743,#3745,#205,.T.); +#3084=EDGE_CURVE('',#3746,#3744,#206,.T.); +#3085=EDGE_CURVE('',#3745,#3746,#4569,.T.); +#3086=EDGE_CURVE('',#3747,#3748,#4570,.T.); +#3087=EDGE_CURVE('',#3747,#3745,#4571,.T.); +#3088=EDGE_CURVE('',#3746,#3748,#4572,.T.); +#3089=EDGE_CURVE('',#3631,#3747,#207,.T.); +#3090=EDGE_CURVE('',#3748,#3632,#208,.T.); +#3091=EDGE_CURVE('',#3437,#3390,#4573,.T.); +#3092=EDGE_CURVE('',#3365,#3330,#4574,.T.); +#3093=EDGE_CURVE('',#3678,#3656,#4575,.T.); +#3094=EDGE_CURVE('',#3704,#3698,#4576,.T.); +#3095=EDGE_CURVE('',#3703,#3697,#4577,.T.); +#3096=EDGE_CURVE('',#3448,#3412,#4578,.T.); +#3097=EDGE_CURVE('',#3447,#3411,#4579,.T.); +#3098=EDGE_CURVE('',#3446,#3408,#4580,.T.); +#3099=EDGE_CURVE('',#3445,#3407,#4581,.T.); +#3100=EDGE_CURVE('',#3444,#3404,#4582,.T.); +#3101=EDGE_CURVE('',#3443,#3403,#4583,.T.); +#3102=EDGE_CURVE('',#3442,#3400,#4584,.T.); +#3103=EDGE_CURVE('',#3441,#3399,#4585,.T.); +#3104=EDGE_CURVE('',#3440,#3396,#4586,.T.); +#3105=EDGE_CURVE('',#3439,#3395,#4587,.T.); +#3106=EDGE_CURVE('',#3438,#3389,#4588,.T.); +#3107=EDGE_CURVE('',#3677,#3655,#4589,.T.); +#3108=EDGE_CURVE('',#3376,#3349,#4590,.T.); +#3109=EDGE_CURVE('',#3375,#3350,#4591,.T.); +#3110=EDGE_CURVE('',#3374,#3345,#4592,.T.); +#3111=EDGE_CURVE('',#3373,#3346,#4593,.T.); +#3112=EDGE_CURVE('',#3372,#3341,#4594,.T.); +#3113=EDGE_CURVE('',#3371,#3342,#4595,.T.); +#3114=EDGE_CURVE('',#3370,#3337,#4596,.T.); +#3115=EDGE_CURVE('',#3369,#3338,#4597,.T.); +#3116=EDGE_CURVE('',#3368,#3333,#4598,.T.); +#3117=EDGE_CURVE('',#3366,#3329,#4599,.T.); +#3118=EDGE_CURVE('',#3367,#3334,#4600,.T.); +#3119=VERTEX_POINT('',#9154); +#3120=VERTEX_POINT('',#9155); +#3121=VERTEX_POINT('',#9157); +#3122=VERTEX_POINT('',#9159); +#3123=VERTEX_POINT('',#9163); +#3124=VERTEX_POINT('',#9165); +#3125=VERTEX_POINT('',#9167); +#3126=VERTEX_POINT('',#9169); +#3127=VERTEX_POINT('',#9173); +#3128=VERTEX_POINT('',#9175); +#3129=VERTEX_POINT('',#9179); +#3130=VERTEX_POINT('',#9180); +#3131=VERTEX_POINT('',#9182); +#3132=VERTEX_POINT('',#9184); +#3133=VERTEX_POINT('',#9188); +#3134=VERTEX_POINT('',#9190); +#3135=VERTEX_POINT('',#9194); +#3136=VERTEX_POINT('',#9196); +#3137=VERTEX_POINT('',#9200); +#3138=VERTEX_POINT('',#9201); +#3139=VERTEX_POINT('',#9203); +#3140=VERTEX_POINT('',#9205); +#3141=VERTEX_POINT('',#9209); +#3142=VERTEX_POINT('',#9211); +#3143=VERTEX_POINT('',#9215); +#3144=VERTEX_POINT('',#9217); +#3145=VERTEX_POINT('',#9221); +#3146=VERTEX_POINT('',#9222); +#3147=VERTEX_POINT('',#9224); +#3148=VERTEX_POINT('',#9226); +#3149=VERTEX_POINT('',#9230); +#3150=VERTEX_POINT('',#9232); +#3151=VERTEX_POINT('',#9236); +#3152=VERTEX_POINT('',#9238); +#3153=VERTEX_POINT('',#9242); +#3154=VERTEX_POINT('',#9243); +#3155=VERTEX_POINT('',#9245); +#3156=VERTEX_POINT('',#9247); +#3157=VERTEX_POINT('',#9251); +#3158=VERTEX_POINT('',#9253); +#3159=VERTEX_POINT('',#9257); +#3160=VERTEX_POINT('',#9259); +#3161=VERTEX_POINT('',#9263); +#3162=VERTEX_POINT('',#9264); +#3163=VERTEX_POINT('',#9266); +#3164=VERTEX_POINT('',#9268); +#3165=VERTEX_POINT('',#9272); +#3166=VERTEX_POINT('',#9274); +#3167=VERTEX_POINT('',#9278); +#3168=VERTEX_POINT('',#9280); +#3169=VERTEX_POINT('',#9284); +#3170=VERTEX_POINT('',#9285); +#3171=VERTEX_POINT('',#9287); +#3172=VERTEX_POINT('',#9289); +#3173=VERTEX_POINT('',#9293); +#3174=VERTEX_POINT('',#9295); +#3175=VERTEX_POINT('',#9299); +#3176=VERTEX_POINT('',#9301); +#3177=VERTEX_POINT('',#9305); +#3178=VERTEX_POINT('',#9306); +#3179=VERTEX_POINT('',#9308); +#3180=VERTEX_POINT('',#9310); +#3181=VERTEX_POINT('',#9314); +#3182=VERTEX_POINT('',#9316); +#3183=VERTEX_POINT('',#9320); +#3184=VERTEX_POINT('',#9322); +#3185=VERTEX_POINT('',#9326); +#3186=VERTEX_POINT('',#9327); +#3187=VERTEX_POINT('',#9329); +#3188=VERTEX_POINT('',#9331); +#3189=VERTEX_POINT('',#9335); +#3190=VERTEX_POINT('',#9337); +#3191=VERTEX_POINT('',#9341); +#3192=VERTEX_POINT('',#9343); +#3193=VERTEX_POINT('',#9347); +#3194=VERTEX_POINT('',#9348); +#3195=VERTEX_POINT('',#9350); +#3196=VERTEX_POINT('',#9352); +#3197=VERTEX_POINT('',#9356); +#3198=VERTEX_POINT('',#9358); +#3199=VERTEX_POINT('',#9362); +#3200=VERTEX_POINT('',#9364); +#3201=VERTEX_POINT('',#9368); +#3202=VERTEX_POINT('',#9369); +#3203=VERTEX_POINT('',#9371); +#3204=VERTEX_POINT('',#9373); +#3205=VERTEX_POINT('',#9377); +#3206=VERTEX_POINT('',#9379); +#3207=VERTEX_POINT('',#9383); +#3208=VERTEX_POINT('',#9385); +#3209=VERTEX_POINT('',#9389); +#3210=VERTEX_POINT('',#9390); +#3211=VERTEX_POINT('',#9392); +#3212=VERTEX_POINT('',#9394); +#3213=VERTEX_POINT('',#9398); +#3214=VERTEX_POINT('',#9400); +#3215=VERTEX_POINT('',#9404); +#3216=VERTEX_POINT('',#9406); +#3217=VERTEX_POINT('',#9410); +#3218=VERTEX_POINT('',#9411); +#3219=VERTEX_POINT('',#9413); +#3220=VERTEX_POINT('',#9415); +#3221=VERTEX_POINT('',#9419); +#3222=VERTEX_POINT('',#9421); +#3223=VERTEX_POINT('',#9425); +#3224=VERTEX_POINT('',#9427); +#3225=VERTEX_POINT('',#9431); +#3226=VERTEX_POINT('',#9432); +#3227=VERTEX_POINT('',#9434); +#3228=VERTEX_POINT('',#9436); +#3229=VERTEX_POINT('',#9440); +#3230=VERTEX_POINT('',#9442); +#3231=VERTEX_POINT('',#9446); +#3232=VERTEX_POINT('',#9448); +#3233=VERTEX_POINT('',#9452); +#3234=VERTEX_POINT('',#9453); +#3235=VERTEX_POINT('',#9455); +#3236=VERTEX_POINT('',#9457); +#3237=VERTEX_POINT('',#9461); +#3238=VERTEX_POINT('',#9463); +#3239=VERTEX_POINT('',#9467); +#3240=VERTEX_POINT('',#9469); +#3241=VERTEX_POINT('',#9520); +#3242=VERTEX_POINT('',#9523); +#3243=VERTEX_POINT('',#9527); +#3244=VERTEX_POINT('',#9530); +#3245=VERTEX_POINT('',#9535); +#3246=VERTEX_POINT('',#9536); +#3247=VERTEX_POINT('',#9538); +#3248=VERTEX_POINT('',#9540); +#3249=VERTEX_POINT('',#9544); +#3250=VERTEX_POINT('',#9545); +#3251=VERTEX_POINT('',#9547); +#3252=VERTEX_POINT('',#9549); +#3253=VERTEX_POINT('',#9553); +#3254=VERTEX_POINT('',#9554); +#3255=VERTEX_POINT('',#9556); +#3256=VERTEX_POINT('',#9558); +#3257=VERTEX_POINT('',#9562); +#3258=VERTEX_POINT('',#9563); +#3259=VERTEX_POINT('',#9565); +#3260=VERTEX_POINT('',#9567); +#3261=VERTEX_POINT('',#9571); +#3262=VERTEX_POINT('',#9572); +#3263=VERTEX_POINT('',#9574); +#3264=VERTEX_POINT('',#9576); +#3265=VERTEX_POINT('',#9580); +#3266=VERTEX_POINT('',#9581); +#3267=VERTEX_POINT('',#9583); +#3268=VERTEX_POINT('',#9585); +#3269=VERTEX_POINT('',#9589); +#3270=VERTEX_POINT('',#9590); +#3271=VERTEX_POINT('',#9595); +#3272=VERTEX_POINT('',#9596); +#3273=VERTEX_POINT('',#9601); +#3274=VERTEX_POINT('',#9602); +#3275=VERTEX_POINT('',#9607); +#3276=VERTEX_POINT('',#9608); +#3277=VERTEX_POINT('',#9613); +#3278=VERTEX_POINT('',#9614); +#3279=VERTEX_POINT('',#9619); +#3280=VERTEX_POINT('',#9620); +#3281=VERTEX_POINT('',#9625); +#3282=VERTEX_POINT('',#9626); +#3283=VERTEX_POINT('',#9631); +#3284=VERTEX_POINT('',#9632); +#3285=VERTEX_POINT('',#9637); +#3286=VERTEX_POINT('',#9638); +#3287=VERTEX_POINT('',#9643); +#3288=VERTEX_POINT('',#9644); +#3289=VERTEX_POINT('',#9649); +#3290=VERTEX_POINT('',#9650); +#3291=VERTEX_POINT('',#9655); +#3292=VERTEX_POINT('',#9656); +#3293=VERTEX_POINT('',#9661); +#3294=VERTEX_POINT('',#9663); +#3295=VERTEX_POINT('',#9667); +#3296=VERTEX_POINT('',#9669); +#3297=VERTEX_POINT('',#9673); +#3298=VERTEX_POINT('',#9675); +#3299=VERTEX_POINT('',#9679); +#3300=VERTEX_POINT('',#9681); +#3301=VERTEX_POINT('',#9685); +#3302=VERTEX_POINT('',#9687); +#3303=VERTEX_POINT('',#9691); +#3304=VERTEX_POINT('',#9693); +#3305=VERTEX_POINT('',#9697); +#3306=VERTEX_POINT('',#9698); +#3307=VERTEX_POINT('',#9703); +#3308=VERTEX_POINT('',#9704); +#3309=VERTEX_POINT('',#9709); +#3310=VERTEX_POINT('',#9710); +#3311=VERTEX_POINT('',#9715); +#3312=VERTEX_POINT('',#9716); +#3313=VERTEX_POINT('',#9721); +#3314=VERTEX_POINT('',#9722); +#3315=VERTEX_POINT('',#9727); +#3316=VERTEX_POINT('',#9728); +#3317=VERTEX_POINT('',#9733); +#3318=VERTEX_POINT('',#9734); +#3319=VERTEX_POINT('',#9739); +#3320=VERTEX_POINT('',#9740); +#3321=VERTEX_POINT('',#9745); +#3322=VERTEX_POINT('',#9746); +#3323=VERTEX_POINT('',#9751); +#3324=VERTEX_POINT('',#9752); +#3325=VERTEX_POINT('',#9757); +#3326=VERTEX_POINT('',#9758); +#3327=VERTEX_POINT('',#9763); +#3328=VERTEX_POINT('',#9764); +#3329=VERTEX_POINT('',#9769); +#3330=VERTEX_POINT('',#9770); +#3331=VERTEX_POINT('',#9772); +#3332=VERTEX_POINT('',#9774); +#3333=VERTEX_POINT('',#9778); +#3334=VERTEX_POINT('',#9779); +#3335=VERTEX_POINT('',#9781); +#3336=VERTEX_POINT('',#9783); +#3337=VERTEX_POINT('',#9787); +#3338=VERTEX_POINT('',#9788); +#3339=VERTEX_POINT('',#9790); +#3340=VERTEX_POINT('',#9792); +#3341=VERTEX_POINT('',#9796); +#3342=VERTEX_POINT('',#9797); +#3343=VERTEX_POINT('',#9799); +#3344=VERTEX_POINT('',#9801); +#3345=VERTEX_POINT('',#9805); +#3346=VERTEX_POINT('',#9806); +#3347=VERTEX_POINT('',#9808); +#3348=VERTEX_POINT('',#9810); +#3349=VERTEX_POINT('',#9814); +#3350=VERTEX_POINT('',#9815); +#3351=VERTEX_POINT('',#9817); +#3352=VERTEX_POINT('',#9819); +#3353=VERTEX_POINT('',#9823); +#3354=VERTEX_POINT('',#9825); +#3355=VERTEX_POINT('',#9829); +#3356=VERTEX_POINT('',#9831); +#3357=VERTEX_POINT('',#9835); +#3358=VERTEX_POINT('',#9837); +#3359=VERTEX_POINT('',#9841); +#3360=VERTEX_POINT('',#9843); +#3361=VERTEX_POINT('',#9847); +#3362=VERTEX_POINT('',#9849); +#3363=VERTEX_POINT('',#9853); +#3364=VERTEX_POINT('',#9855); +#3365=VERTEX_POINT('',#9859); +#3366=VERTEX_POINT('',#9861); +#3367=VERTEX_POINT('',#9865); +#3368=VERTEX_POINT('',#9867); +#3369=VERTEX_POINT('',#9871); +#3370=VERTEX_POINT('',#9873); +#3371=VERTEX_POINT('',#9877); +#3372=VERTEX_POINT('',#9879); +#3373=VERTEX_POINT('',#9883); +#3374=VERTEX_POINT('',#9885); +#3375=VERTEX_POINT('',#9889); +#3376=VERTEX_POINT('',#9891); +#3377=VERTEX_POINT('',#9895); +#3378=VERTEX_POINT('',#9896); +#3379=VERTEX_POINT('',#9901); +#3380=VERTEX_POINT('',#9903); +#3381=VERTEX_POINT('',#9907); +#3382=VERTEX_POINT('',#9909); +#3383=VERTEX_POINT('',#9913); +#3384=VERTEX_POINT('',#9915); +#3385=VERTEX_POINT('',#9919); +#3386=VERTEX_POINT('',#9921); +#3387=VERTEX_POINT('',#9925); +#3388=VERTEX_POINT('',#9927); +#3389=VERTEX_POINT('',#9931); +#3390=VERTEX_POINT('',#9932); +#3391=VERTEX_POINT('',#9934); +#3392=VERTEX_POINT('',#9936); +#3393=VERTEX_POINT('',#9940); +#3394=VERTEX_POINT('',#9941); +#3395=VERTEX_POINT('',#9943); +#3396=VERTEX_POINT('',#9945); +#3397=VERTEX_POINT('',#9949); +#3398=VERTEX_POINT('',#9950); +#3399=VERTEX_POINT('',#9952); +#3400=VERTEX_POINT('',#9954); +#3401=VERTEX_POINT('',#9958); +#3402=VERTEX_POINT('',#9959); +#3403=VERTEX_POINT('',#9961); +#3404=VERTEX_POINT('',#9963); +#3405=VERTEX_POINT('',#9967); +#3406=VERTEX_POINT('',#9968); +#3407=VERTEX_POINT('',#9970); +#3408=VERTEX_POINT('',#9972); +#3409=VERTEX_POINT('',#9976); +#3410=VERTEX_POINT('',#9977); +#3411=VERTEX_POINT('',#9979); +#3412=VERTEX_POINT('',#9981); +#3413=VERTEX_POINT('',#9985); +#3414=VERTEX_POINT('',#9987); +#3415=VERTEX_POINT('',#9991); +#3416=VERTEX_POINT('',#9993); +#3417=VERTEX_POINT('',#9997); +#3418=VERTEX_POINT('',#9999); +#3419=VERTEX_POINT('',#10003); +#3420=VERTEX_POINT('',#10005); +#3421=VERTEX_POINT('',#10009); +#3422=VERTEX_POINT('',#10011); +#3423=VERTEX_POINT('',#10015); +#3424=VERTEX_POINT('',#10017); +#3425=VERTEX_POINT('',#10021); +#3426=VERTEX_POINT('',#10023); +#3427=VERTEX_POINT('',#10027); +#3428=VERTEX_POINT('',#10029); +#3429=VERTEX_POINT('',#10033); +#3430=VERTEX_POINT('',#10035); +#3431=VERTEX_POINT('',#10039); +#3432=VERTEX_POINT('',#10041); +#3433=VERTEX_POINT('',#10045); +#3434=VERTEX_POINT('',#10047); +#3435=VERTEX_POINT('',#10051); +#3436=VERTEX_POINT('',#10053); +#3437=VERTEX_POINT('',#10057); +#3438=VERTEX_POINT('',#10059); +#3439=VERTEX_POINT('',#10063); +#3440=VERTEX_POINT('',#10065); +#3441=VERTEX_POINT('',#10069); +#3442=VERTEX_POINT('',#10071); +#3443=VERTEX_POINT('',#10075); +#3444=VERTEX_POINT('',#10077); +#3445=VERTEX_POINT('',#10081); +#3446=VERTEX_POINT('',#10083); +#3447=VERTEX_POINT('',#10087); +#3448=VERTEX_POINT('',#10089); +#3449=VERTEX_POINT('',#10093); +#3450=VERTEX_POINT('',#10094); +#3451=VERTEX_POINT('',#10096); +#3452=VERTEX_POINT('',#10098); +#3453=VERTEX_POINT('',#10102); +#3454=VERTEX_POINT('',#10103); +#3455=VERTEX_POINT('',#10105); +#3456=VERTEX_POINT('',#10107); +#3457=VERTEX_POINT('',#10111); +#3458=VERTEX_POINT('',#10112); +#3459=VERTEX_POINT('',#10114); +#3460=VERTEX_POINT('',#10116); +#3461=VERTEX_POINT('',#10120); +#3462=VERTEX_POINT('',#10121); +#3463=VERTEX_POINT('',#10123); +#3464=VERTEX_POINT('',#10125); +#3465=VERTEX_POINT('',#10129); +#3466=VERTEX_POINT('',#10130); +#3467=VERTEX_POINT('',#10132); +#3468=VERTEX_POINT('',#10134); +#3469=VERTEX_POINT('',#10138); +#3470=VERTEX_POINT('',#10139); +#3471=VERTEX_POINT('',#10141); +#3472=VERTEX_POINT('',#10143); +#3473=VERTEX_POINT('',#10147); +#3474=VERTEX_POINT('',#10148); +#3475=VERTEX_POINT('',#10153); +#3476=VERTEX_POINT('',#10154); +#3477=VERTEX_POINT('',#10159); +#3478=VERTEX_POINT('',#10160); +#3479=VERTEX_POINT('',#10165); +#3480=VERTEX_POINT('',#10166); +#3481=VERTEX_POINT('',#10171); +#3482=VERTEX_POINT('',#10172); +#3483=VERTEX_POINT('',#10177); +#3484=VERTEX_POINT('',#10178); +#3485=VERTEX_POINT('',#10183); +#3486=VERTEX_POINT('',#10184); +#3487=VERTEX_POINT('',#10189); +#3488=VERTEX_POINT('',#10190); +#3489=VERTEX_POINT('',#10195); +#3490=VERTEX_POINT('',#10196); +#3491=VERTEX_POINT('',#10201); +#3492=VERTEX_POINT('',#10202); +#3493=VERTEX_POINT('',#10207); +#3494=VERTEX_POINT('',#10208); +#3495=VERTEX_POINT('',#10213); +#3496=VERTEX_POINT('',#10214); +#3497=VERTEX_POINT('',#10219); +#3498=VERTEX_POINT('',#10220); +#3499=VERTEX_POINT('',#10225); +#3500=VERTEX_POINT('',#10226); +#3501=VERTEX_POINT('',#10231); +#3502=VERTEX_POINT('',#10232); +#3503=VERTEX_POINT('',#10237); +#3504=VERTEX_POINT('',#10238); +#3505=VERTEX_POINT('',#10243); +#3506=VERTEX_POINT('',#10244); +#3507=VERTEX_POINT('',#10249); +#3508=VERTEX_POINT('',#10250); +#3509=VERTEX_POINT('',#10255); +#3510=VERTEX_POINT('',#10256); +#3511=VERTEX_POINT('',#10261); +#3512=VERTEX_POINT('',#10262); +#3513=VERTEX_POINT('',#10267); +#3514=VERTEX_POINT('',#10268); +#3515=VERTEX_POINT('',#10273); +#3516=VERTEX_POINT('',#10274); +#3517=VERTEX_POINT('',#10279); +#3518=VERTEX_POINT('',#10280); +#3519=VERTEX_POINT('',#10285); +#3520=VERTEX_POINT('',#10286); +#3521=VERTEX_POINT('',#10291); +#3522=VERTEX_POINT('',#10292); +#3523=VERTEX_POINT('',#10297); +#3524=VERTEX_POINT('',#10298); +#3525=VERTEX_POINT('',#10303); +#3526=VERTEX_POINT('',#10304); +#3527=VERTEX_POINT('',#10309); +#3528=VERTEX_POINT('',#10310); +#3529=VERTEX_POINT('',#10315); +#3530=VERTEX_POINT('',#10316); +#3531=VERTEX_POINT('',#10321); +#3532=VERTEX_POINT('',#10322); +#3533=VERTEX_POINT('',#10327); +#3534=VERTEX_POINT('',#10329); +#3535=VERTEX_POINT('',#10333); +#3536=VERTEX_POINT('',#10335); +#3537=VERTEX_POINT('',#10339); +#3538=VERTEX_POINT('',#10341); +#3539=VERTEX_POINT('',#10345); +#3540=VERTEX_POINT('',#10347); +#3541=VERTEX_POINT('',#10351); +#3542=VERTEX_POINT('',#10353); +#3543=VERTEX_POINT('',#10357); +#3544=VERTEX_POINT('',#10359); +#3545=VERTEX_POINT('',#10363); +#3546=VERTEX_POINT('',#10364); +#3547=VERTEX_POINT('',#10369); +#3548=VERTEX_POINT('',#10370); +#3549=VERTEX_POINT('',#10375); +#3550=VERTEX_POINT('',#10376); +#3551=VERTEX_POINT('',#10381); +#3552=VERTEX_POINT('',#10382); +#3553=VERTEX_POINT('',#10387); +#3554=VERTEX_POINT('',#10388); +#3555=VERTEX_POINT('',#10393); +#3556=VERTEX_POINT('',#10394); +#3557=VERTEX_POINT('',#10399); +#3558=VERTEX_POINT('',#10400); +#3559=VERTEX_POINT('',#10405); +#3560=VERTEX_POINT('',#10406); +#3561=VERTEX_POINT('',#10411); +#3562=VERTEX_POINT('',#10412); +#3563=VERTEX_POINT('',#10417); +#3564=VERTEX_POINT('',#10418); +#3565=VERTEX_POINT('',#10423); +#3566=VERTEX_POINT('',#10424); +#3567=VERTEX_POINT('',#10429); +#3568=VERTEX_POINT('',#10430); +#3569=VERTEX_POINT('',#10435); +#3570=VERTEX_POINT('',#10436); +#3571=VERTEX_POINT('',#10441); +#3572=VERTEX_POINT('',#10442); +#3573=VERTEX_POINT('',#10447); +#3574=VERTEX_POINT('',#10448); +#3575=VERTEX_POINT('',#10453); +#3576=VERTEX_POINT('',#10454); +#3577=VERTEX_POINT('',#10459); +#3578=VERTEX_POINT('',#10460); +#3579=VERTEX_POINT('',#10465); +#3580=VERTEX_POINT('',#10466); +#3581=VERTEX_POINT('',#10471); +#3582=VERTEX_POINT('',#10472); +#3583=VERTEX_POINT('',#10474); +#3584=VERTEX_POINT('',#10476); +#3585=VERTEX_POINT('',#10480); +#3586=VERTEX_POINT('',#10481); +#3587=VERTEX_POINT('',#10483); +#3588=VERTEX_POINT('',#10485); +#3589=VERTEX_POINT('',#10489); +#3590=VERTEX_POINT('',#10490); +#3591=VERTEX_POINT('',#10492); +#3592=VERTEX_POINT('',#10494); +#3593=VERTEX_POINT('',#10498); +#3594=VERTEX_POINT('',#10499); +#3595=VERTEX_POINT('',#10501); +#3596=VERTEX_POINT('',#10503); +#3597=VERTEX_POINT('',#10507); +#3598=VERTEX_POINT('',#10508); +#3599=VERTEX_POINT('',#10510); +#3600=VERTEX_POINT('',#10512); +#3601=VERTEX_POINT('',#10516); +#3602=VERTEX_POINT('',#10517); +#3603=VERTEX_POINT('',#10519); +#3604=VERTEX_POINT('',#10521); +#3605=VERTEX_POINT('',#10525); +#3606=VERTEX_POINT('',#10527); +#3607=VERTEX_POINT('',#10531); +#3608=VERTEX_POINT('',#10533); +#3609=VERTEX_POINT('',#10537); +#3610=VERTEX_POINT('',#10539); +#3611=VERTEX_POINT('',#10543); +#3612=VERTEX_POINT('',#10545); +#3613=VERTEX_POINT('',#10549); +#3614=VERTEX_POINT('',#10551); +#3615=VERTEX_POINT('',#10555); +#3616=VERTEX_POINT('',#10557); +#3617=VERTEX_POINT('',#10561); +#3618=VERTEX_POINT('',#10562); +#3619=VERTEX_POINT('',#10567); +#3620=VERTEX_POINT('',#10568); +#3621=VERTEX_POINT('',#10573); +#3622=VERTEX_POINT('',#10574); +#3623=VERTEX_POINT('',#10579); +#3624=VERTEX_POINT('',#10580); +#3625=VERTEX_POINT('',#10585); +#3626=VERTEX_POINT('',#10586); +#3627=VERTEX_POINT('',#10591); +#3628=VERTEX_POINT('',#10592); +#3629=VERTEX_POINT('',#10615); +#3630=VERTEX_POINT('',#10616); +#3631=VERTEX_POINT('',#10618); +#3632=VERTEX_POINT('',#10620); +#3633=VERTEX_POINT('',#10624); +#3634=VERTEX_POINT('',#10625); +#3635=VERTEX_POINT('',#10630); +#3636=VERTEX_POINT('',#10631); +#3637=VERTEX_POINT('',#10636); +#3638=VERTEX_POINT('',#10638); +#3639=VERTEX_POINT('',#10642); +#3640=VERTEX_POINT('',#10643); +#3641=VERTEX_POINT('',#10648); +#3642=VERTEX_POINT('',#10649); +#3643=VERTEX_POINT('',#10654); +#3644=VERTEX_POINT('',#10656); +#3645=VERTEX_POINT('',#10659); +#3646=VERTEX_POINT('',#10661); +#3647=VERTEX_POINT('',#10664); +#3648=VERTEX_POINT('',#10666); +#3649=VERTEX_POINT('',#10669); +#3650=VERTEX_POINT('',#10671); +#3651=VERTEX_POINT('',#10674); +#3652=VERTEX_POINT('',#10676); +#3653=VERTEX_POINT('',#10679); +#3654=VERTEX_POINT('',#10681); +#3655=VERTEX_POINT('',#10683); +#3656=VERTEX_POINT('',#10685); +#3657=VERTEX_POINT('',#10687); +#3658=VERTEX_POINT('',#10689); +#3659=VERTEX_POINT('',#10691); +#3660=VERTEX_POINT('',#10693); +#3661=VERTEX_POINT('',#10696); +#3662=VERTEX_POINT('',#10698); +#3663=VERTEX_POINT('',#10701); +#3664=VERTEX_POINT('',#10703); +#3665=VERTEX_POINT('',#10706); +#3666=VERTEX_POINT('',#10708); +#3667=VERTEX_POINT('',#10711); +#3668=VERTEX_POINT('',#10713); +#3669=VERTEX_POINT('',#10716); +#3670=VERTEX_POINT('',#10718); +#3671=VERTEX_POINT('',#10721); +#3672=VERTEX_POINT('',#10723); +#3673=VERTEX_POINT('',#10728); +#3674=VERTEX_POINT('',#10730); +#3675=VERTEX_POINT('',#10734); +#3676=VERTEX_POINT('',#10736); +#3677=VERTEX_POINT('',#10740); +#3678=VERTEX_POINT('',#10742); +#3679=VERTEX_POINT('',#10746); +#3680=VERTEX_POINT('',#10748); +#3681=VERTEX_POINT('',#10765); +#3682=VERTEX_POINT('',#10767); +#3683=VERTEX_POINT('',#10770); +#3684=VERTEX_POINT('',#10772); +#3685=VERTEX_POINT('',#10775); +#3686=VERTEX_POINT('',#10777); +#3687=VERTEX_POINT('',#10780); +#3688=VERTEX_POINT('',#10782); +#3689=VERTEX_POINT('',#10785); +#3690=VERTEX_POINT('',#10787); +#3691=VERTEX_POINT('',#10790); +#3692=VERTEX_POINT('',#10792); +#3693=VERTEX_POINT('',#10794); +#3694=VERTEX_POINT('',#10796); +#3695=VERTEX_POINT('',#10798); +#3696=VERTEX_POINT('',#10800); +#3697=VERTEX_POINT('',#10805); +#3698=VERTEX_POINT('',#10807); +#3699=VERTEX_POINT('',#10811); +#3700=VERTEX_POINT('',#10813); +#3701=VERTEX_POINT('',#10817); +#3702=VERTEX_POINT('',#10819); +#3703=VERTEX_POINT('',#10823); +#3704=VERTEX_POINT('',#10825); +#3705=VERTEX_POINT('',#10830); +#3706=VERTEX_POINT('',#10832); +#3707=VERTEX_POINT('',#10834); +#3708=VERTEX_POINT('',#10836); +#3709=VERTEX_POINT('',#10839); +#3710=VERTEX_POINT('',#10841); +#3711=VERTEX_POINT('',#10844); +#3712=VERTEX_POINT('',#10846); +#3713=VERTEX_POINT('',#10849); +#3714=VERTEX_POINT('',#10851); +#3715=VERTEX_POINT('',#10854); +#3716=VERTEX_POINT('',#10856); +#3717=VERTEX_POINT('',#10859); +#3718=VERTEX_POINT('',#10861); +#3719=VERTEX_POINT('',#10864); +#3720=VERTEX_POINT('',#10866); +#3721=VERTEX_POINT('',#10882); +#3722=VERTEX_POINT('',#10884); +#3723=VERTEX_POINT('',#10888); +#3724=VERTEX_POINT('',#10889); +#3725=VERTEX_POINT('',#10894); +#3726=VERTEX_POINT('',#10895); +#3727=VERTEX_POINT('',#10900); +#3728=VERTEX_POINT('',#10901); +#3729=VERTEX_POINT('',#10906); +#3730=VERTEX_POINT('',#10907); +#3731=VERTEX_POINT('',#10912); +#3732=VERTEX_POINT('',#10913); +#3733=VERTEX_POINT('',#10918); +#3734=VERTEX_POINT('',#10920); +#3735=VERTEX_POINT('',#10924); +#3736=VERTEX_POINT('',#10925); +#3737=VERTEX_POINT('',#10930); +#3738=VERTEX_POINT('',#10931); +#3739=VERTEX_POINT('',#10936); +#3740=VERTEX_POINT('',#10937); +#3741=VERTEX_POINT('',#10942); +#3742=VERTEX_POINT('',#10943); +#3743=VERTEX_POINT('',#10974); +#3744=VERTEX_POINT('',#10975); +#3745=VERTEX_POINT('',#10980); +#3746=VERTEX_POINT('',#10982); +#3747=VERTEX_POINT('',#10986); +#3748=VERTEX_POINT('',#10987); +#3749=LINE('',#9153,#4601); +#3750=LINE('',#9156,#4602); +#3751=LINE('',#9158,#4603); +#3752=LINE('',#9160,#4604); +#3753=LINE('',#9166,#4605); +#3754=LINE('',#9168,#4606); +#3755=LINE('',#9170,#4607); +#3756=LINE('',#9172,#4608); +#3757=LINE('',#9174,#4609); +#3758=LINE('',#9176,#4610); +#3759=LINE('',#9178,#4611); +#3760=LINE('',#9181,#4612); +#3761=LINE('',#9183,#4613); +#3762=LINE('',#9185,#4614); +#3763=LINE('',#9187,#4615); +#3764=LINE('',#9189,#4616); +#3765=LINE('',#9191,#4617); +#3766=LINE('',#9193,#4618); +#3767=LINE('',#9195,#4619); +#3768=LINE('',#9197,#4620); +#3769=LINE('',#9199,#4621); +#3770=LINE('',#9202,#4622); +#3771=LINE('',#9204,#4623); +#3772=LINE('',#9206,#4624); +#3773=LINE('',#9208,#4625); +#3774=LINE('',#9210,#4626); +#3775=LINE('',#9212,#4627); +#3776=LINE('',#9214,#4628); +#3777=LINE('',#9216,#4629); +#3778=LINE('',#9218,#4630); +#3779=LINE('',#9220,#4631); +#3780=LINE('',#9223,#4632); +#3781=LINE('',#9225,#4633); +#3782=LINE('',#9227,#4634); +#3783=LINE('',#9229,#4635); +#3784=LINE('',#9231,#4636); +#3785=LINE('',#9233,#4637); +#3786=LINE('',#9235,#4638); +#3787=LINE('',#9237,#4639); +#3788=LINE('',#9239,#4640); +#3789=LINE('',#9241,#4641); +#3790=LINE('',#9244,#4642); +#3791=LINE('',#9246,#4643); +#3792=LINE('',#9248,#4644); +#3793=LINE('',#9250,#4645); +#3794=LINE('',#9252,#4646); +#3795=LINE('',#9254,#4647); +#3796=LINE('',#9256,#4648); +#3797=LINE('',#9258,#4649); +#3798=LINE('',#9260,#4650); +#3799=LINE('',#9262,#4651); +#3800=LINE('',#9265,#4652); +#3801=LINE('',#9267,#4653); +#3802=LINE('',#9269,#4654); +#3803=LINE('',#9271,#4655); +#3804=LINE('',#9273,#4656); +#3805=LINE('',#9275,#4657); +#3806=LINE('',#9277,#4658); +#3807=LINE('',#9279,#4659); +#3808=LINE('',#9281,#4660); +#3809=LINE('',#9283,#4661); +#3810=LINE('',#9286,#4662); +#3811=LINE('',#9288,#4663); +#3812=LINE('',#9290,#4664); +#3813=LINE('',#9292,#4665); +#3814=LINE('',#9294,#4666); +#3815=LINE('',#9296,#4667); +#3816=LINE('',#9298,#4668); +#3817=LINE('',#9300,#4669); +#3818=LINE('',#9302,#4670); +#3819=LINE('',#9304,#4671); +#3820=LINE('',#9307,#4672); +#3821=LINE('',#9309,#4673); +#3822=LINE('',#9311,#4674); +#3823=LINE('',#9313,#4675); +#3824=LINE('',#9315,#4676); +#3825=LINE('',#9317,#4677); +#3826=LINE('',#9319,#4678); +#3827=LINE('',#9321,#4679); +#3828=LINE('',#9323,#4680); +#3829=LINE('',#9325,#4681); +#3830=LINE('',#9328,#4682); +#3831=LINE('',#9330,#4683); +#3832=LINE('',#9332,#4684); +#3833=LINE('',#9334,#4685); +#3834=LINE('',#9336,#4686); +#3835=LINE('',#9338,#4687); +#3836=LINE('',#9340,#4688); +#3837=LINE('',#9342,#4689); +#3838=LINE('',#9344,#4690); +#3839=LINE('',#9346,#4691); +#3840=LINE('',#9349,#4692); +#3841=LINE('',#9351,#4693); +#3842=LINE('',#9353,#4694); +#3843=LINE('',#9355,#4695); +#3844=LINE('',#9357,#4696); +#3845=LINE('',#9359,#4697); +#3846=LINE('',#9361,#4698); +#3847=LINE('',#9363,#4699); +#3848=LINE('',#9365,#4700); +#3849=LINE('',#9367,#4701); +#3850=LINE('',#9370,#4702); +#3851=LINE('',#9372,#4703); +#3852=LINE('',#9374,#4704); +#3853=LINE('',#9376,#4705); +#3854=LINE('',#9378,#4706); +#3855=LINE('',#9380,#4707); +#3856=LINE('',#9382,#4708); +#3857=LINE('',#9384,#4709); +#3858=LINE('',#9386,#4710); +#3859=LINE('',#9388,#4711); +#3860=LINE('',#9391,#4712); +#3861=LINE('',#9393,#4713); +#3862=LINE('',#9395,#4714); +#3863=LINE('',#9397,#4715); +#3864=LINE('',#9399,#4716); +#3865=LINE('',#9401,#4717); +#3866=LINE('',#9403,#4718); +#3867=LINE('',#9405,#4719); +#3868=LINE('',#9407,#4720); +#3869=LINE('',#9409,#4721); +#3870=LINE('',#9412,#4722); +#3871=LINE('',#9414,#4723); +#3872=LINE('',#9416,#4724); +#3873=LINE('',#9418,#4725); +#3874=LINE('',#9420,#4726); +#3875=LINE('',#9422,#4727); +#3876=LINE('',#9424,#4728); +#3877=LINE('',#9426,#4729); +#3878=LINE('',#9428,#4730); +#3879=LINE('',#9430,#4731); +#3880=LINE('',#9433,#4732); +#3881=LINE('',#9435,#4733); +#3882=LINE('',#9437,#4734); +#3883=LINE('',#9439,#4735); +#3884=LINE('',#9441,#4736); +#3885=LINE('',#9443,#4737); +#3886=LINE('',#9445,#4738); +#3887=LINE('',#9447,#4739); +#3888=LINE('',#9449,#4740); +#3889=LINE('',#9451,#4741); +#3890=LINE('',#9454,#4742); +#3891=LINE('',#9456,#4743); +#3892=LINE('',#9458,#4744); +#3893=LINE('',#9460,#4745); +#3894=LINE('',#9462,#4746); +#3895=LINE('',#9464,#4747); +#3896=LINE('',#9466,#4748); +#3897=LINE('',#9468,#4749); +#3898=LINE('',#9470,#4750); +#3899=LINE('',#9472,#4751); +#3900=LINE('',#9473,#4752); +#3901=LINE('',#9475,#4753); +#3902=LINE('',#9476,#4754); +#3903=LINE('',#9478,#4755); +#3904=LINE('',#9479,#4756); +#3905=LINE('',#9481,#4757); +#3906=LINE('',#9482,#4758); +#3907=LINE('',#9484,#4759); +#3908=LINE('',#9485,#4760); +#3909=LINE('',#9487,#4761); +#3910=LINE('',#9488,#4762); +#3911=LINE('',#9490,#4763); +#3912=LINE('',#9491,#4764); +#3913=LINE('',#9493,#4765); +#3914=LINE('',#9494,#4766); +#3915=LINE('',#9496,#4767); +#3916=LINE('',#9497,#4768); +#3917=LINE('',#9499,#4769); +#3918=LINE('',#9500,#4770); +#3919=LINE('',#9502,#4771); +#3920=LINE('',#9503,#4772); +#3921=LINE('',#9505,#4773); +#3922=LINE('',#9506,#4774); +#3923=LINE('',#9508,#4775); +#3924=LINE('',#9509,#4776); +#3925=LINE('',#9511,#4777); +#3926=LINE('',#9512,#4778); +#3927=LINE('',#9514,#4779); +#3928=LINE('',#9515,#4780); +#3929=LINE('',#9534,#4781); +#3930=LINE('',#9537,#4782); +#3931=LINE('',#9539,#4783); +#3932=LINE('',#9541,#4784); +#3933=LINE('',#9543,#4785); +#3934=LINE('',#9546,#4786); +#3935=LINE('',#9548,#4787); +#3936=LINE('',#9550,#4788); +#3937=LINE('',#9552,#4789); +#3938=LINE('',#9555,#4790); +#3939=LINE('',#9557,#4791); +#3940=LINE('',#9559,#4792); +#3941=LINE('',#9561,#4793); +#3942=LINE('',#9564,#4794); +#3943=LINE('',#9566,#4795); +#3944=LINE('',#9568,#4796); +#3945=LINE('',#9570,#4797); +#3946=LINE('',#9573,#4798); +#3947=LINE('',#9575,#4799); +#3948=LINE('',#9577,#4800); +#3949=LINE('',#9579,#4801); +#3950=LINE('',#9582,#4802); +#3951=LINE('',#9584,#4803); +#3952=LINE('',#9586,#4804); +#3953=LINE('',#9588,#4805); +#3954=LINE('',#9591,#4806); +#3955=LINE('',#9592,#4807); +#3956=LINE('',#9594,#4808); +#3957=LINE('',#9597,#4809); +#3958=LINE('',#9598,#4810); +#3959=LINE('',#9600,#4811); +#3960=LINE('',#9603,#4812); +#3961=LINE('',#9604,#4813); +#3962=LINE('',#9606,#4814); +#3963=LINE('',#9609,#4815); +#3964=LINE('',#9610,#4816); +#3965=LINE('',#9612,#4817); +#3966=LINE('',#9615,#4818); +#3967=LINE('',#9616,#4819); +#3968=LINE('',#9618,#4820); +#3969=LINE('',#9621,#4821); +#3970=LINE('',#9622,#4822); +#3971=LINE('',#9624,#4823); +#3972=LINE('',#9627,#4824); +#3973=LINE('',#9628,#4825); +#3974=LINE('',#9630,#4826); +#3975=LINE('',#9633,#4827); +#3976=LINE('',#9634,#4828); +#3977=LINE('',#9636,#4829); +#3978=LINE('',#9639,#4830); +#3979=LINE('',#9640,#4831); +#3980=LINE('',#9642,#4832); +#3981=LINE('',#9645,#4833); +#3982=LINE('',#9646,#4834); +#3983=LINE('',#9648,#4835); +#3984=LINE('',#9651,#4836); +#3985=LINE('',#9652,#4837); +#3986=LINE('',#9654,#4838); +#3987=LINE('',#9657,#4839); +#3988=LINE('',#9658,#4840); +#3989=LINE('',#9664,#4841); +#3990=LINE('',#9670,#4842); +#3991=LINE('',#9676,#4843); +#3992=LINE('',#9682,#4844); +#3993=LINE('',#9688,#4845); +#3994=LINE('',#9694,#4846); +#3995=LINE('',#9696,#4847); +#3996=LINE('',#9699,#4848); +#3997=LINE('',#9700,#4849); +#3998=LINE('',#9702,#4850); +#3999=LINE('',#9705,#4851); +#4000=LINE('',#9706,#4852); +#4001=LINE('',#9708,#4853); +#4002=LINE('',#9711,#4854); +#4003=LINE('',#9712,#4855); +#4004=LINE('',#9714,#4856); +#4005=LINE('',#9717,#4857); +#4006=LINE('',#9718,#4858); +#4007=LINE('',#9720,#4859); +#4008=LINE('',#9723,#4860); +#4009=LINE('',#9724,#4861); +#4010=LINE('',#9726,#4862); +#4011=LINE('',#9729,#4863); +#4012=LINE('',#9730,#4864); +#4013=LINE('',#9732,#4865); +#4014=LINE('',#9738,#4866); +#4015=LINE('',#9744,#4867); +#4016=LINE('',#9750,#4868); +#4017=LINE('',#9756,#4869); +#4018=LINE('',#9762,#4870); +#4019=LINE('',#9768,#4871); +#4020=LINE('',#9771,#4872); +#4021=LINE('',#9773,#4873); +#4022=LINE('',#9775,#4874); +#4023=LINE('',#9777,#4875); +#4024=LINE('',#9780,#4876); +#4025=LINE('',#9782,#4877); +#4026=LINE('',#9784,#4878); +#4027=LINE('',#9786,#4879); +#4028=LINE('',#9789,#4880); +#4029=LINE('',#9791,#4881); +#4030=LINE('',#9793,#4882); +#4031=LINE('',#9795,#4883); +#4032=LINE('',#9798,#4884); +#4033=LINE('',#9800,#4885); +#4034=LINE('',#9802,#4886); +#4035=LINE('',#9804,#4887); +#4036=LINE('',#9807,#4888); +#4037=LINE('',#9809,#4889); +#4038=LINE('',#9811,#4890); +#4039=LINE('',#9813,#4891); +#4040=LINE('',#9816,#4892); +#4041=LINE('',#9818,#4893); +#4042=LINE('',#9820,#4894); +#4043=LINE('',#9822,#4895); +#4044=LINE('',#9824,#4896); +#4045=LINE('',#9826,#4897); +#4046=LINE('',#9828,#4898); +#4047=LINE('',#9830,#4899); +#4048=LINE('',#9832,#4900); +#4049=LINE('',#9834,#4901); +#4050=LINE('',#9836,#4902); +#4051=LINE('',#9838,#4903); +#4052=LINE('',#9840,#4904); +#4053=LINE('',#9842,#4905); +#4054=LINE('',#9844,#4906); +#4055=LINE('',#9846,#4907); +#4056=LINE('',#9848,#4908); +#4057=LINE('',#9850,#4909); +#4058=LINE('',#9852,#4910); +#4059=LINE('',#9854,#4911); +#4060=LINE('',#9856,#4912); +#4061=LINE('',#9858,#4913); +#4062=LINE('',#9860,#4914); +#4063=LINE('',#9862,#4915); +#4064=LINE('',#9864,#4916); +#4065=LINE('',#9866,#4917); +#4066=LINE('',#9868,#4918); +#4067=LINE('',#9870,#4919); +#4068=LINE('',#9872,#4920); +#4069=LINE('',#9874,#4921); +#4070=LINE('',#9876,#4922); +#4071=LINE('',#9878,#4923); +#4072=LINE('',#9880,#4924); +#4073=LINE('',#9882,#4925); +#4074=LINE('',#9884,#4926); +#4075=LINE('',#9886,#4927); +#4076=LINE('',#9888,#4928); +#4077=LINE('',#9890,#4929); +#4078=LINE('',#9892,#4930); +#4079=LINE('',#9894,#4931); +#4080=LINE('',#9897,#4932); +#4081=LINE('',#9898,#4933); +#4082=LINE('',#9900,#4934); +#4083=LINE('',#9902,#4935); +#4084=LINE('',#9904,#4936); +#4085=LINE('',#9906,#4937); +#4086=LINE('',#9908,#4938); +#4087=LINE('',#9910,#4939); +#4088=LINE('',#9912,#4940); +#4089=LINE('',#9914,#4941); +#4090=LINE('',#9916,#4942); +#4091=LINE('',#9918,#4943); +#4092=LINE('',#9920,#4944); +#4093=LINE('',#9922,#4945); +#4094=LINE('',#9924,#4946); +#4095=LINE('',#9926,#4947); +#4096=LINE('',#9928,#4948); +#4097=LINE('',#9930,#4949); +#4098=LINE('',#9933,#4950); +#4099=LINE('',#9935,#4951); +#4100=LINE('',#9937,#4952); +#4101=LINE('',#9939,#4953); +#4102=LINE('',#9942,#4954); +#4103=LINE('',#9944,#4955); +#4104=LINE('',#9946,#4956); +#4105=LINE('',#9948,#4957); +#4106=LINE('',#9951,#4958); +#4107=LINE('',#9953,#4959); +#4108=LINE('',#9955,#4960); +#4109=LINE('',#9957,#4961); +#4110=LINE('',#9960,#4962); +#4111=LINE('',#9962,#4963); +#4112=LINE('',#9964,#4964); +#4113=LINE('',#9966,#4965); +#4114=LINE('',#9969,#4966); +#4115=LINE('',#9971,#4967); +#4116=LINE('',#9973,#4968); +#4117=LINE('',#9975,#4969); +#4118=LINE('',#9978,#4970); +#4119=LINE('',#9980,#4971); +#4120=LINE('',#9982,#4972); +#4121=LINE('',#9984,#4973); +#4122=LINE('',#9986,#4974); +#4123=LINE('',#9988,#4975); +#4124=LINE('',#9990,#4976); +#4125=LINE('',#9992,#4977); +#4126=LINE('',#9994,#4978); +#4127=LINE('',#9996,#4979); +#4128=LINE('',#9998,#4980); +#4129=LINE('',#10000,#4981); +#4130=LINE('',#10002,#4982); +#4131=LINE('',#10004,#4983); +#4132=LINE('',#10006,#4984); +#4133=LINE('',#10008,#4985); +#4134=LINE('',#10010,#4986); +#4135=LINE('',#10012,#4987); +#4136=LINE('',#10014,#4988); +#4137=LINE('',#10016,#4989); +#4138=LINE('',#10018,#4990); +#4139=LINE('',#10020,#4991); +#4140=LINE('',#10022,#4992); +#4141=LINE('',#10024,#4993); +#4142=LINE('',#10026,#4994); +#4143=LINE('',#10028,#4995); +#4144=LINE('',#10030,#4996); +#4145=LINE('',#10032,#4997); +#4146=LINE('',#10034,#4998); +#4147=LINE('',#10036,#4999); +#4148=LINE('',#10038,#5000); +#4149=LINE('',#10040,#5001); +#4150=LINE('',#10042,#5002); +#4151=LINE('',#10044,#5003); +#4152=LINE('',#10046,#5004); +#4153=LINE('',#10048,#5005); +#4154=LINE('',#10050,#5006); +#4155=LINE('',#10052,#5007); +#4156=LINE('',#10054,#5008); +#4157=LINE('',#10056,#5009); +#4158=LINE('',#10058,#5010); +#4159=LINE('',#10060,#5011); +#4160=LINE('',#10062,#5012); +#4161=LINE('',#10064,#5013); +#4162=LINE('',#10066,#5014); +#4163=LINE('',#10068,#5015); +#4164=LINE('',#10070,#5016); +#4165=LINE('',#10072,#5017); +#4166=LINE('',#10074,#5018); +#4167=LINE('',#10076,#5019); +#4168=LINE('',#10078,#5020); +#4169=LINE('',#10080,#5021); +#4170=LINE('',#10082,#5022); +#4171=LINE('',#10084,#5023); +#4172=LINE('',#10086,#5024); +#4173=LINE('',#10088,#5025); +#4174=LINE('',#10090,#5026); +#4175=LINE('',#10095,#5027); +#4176=LINE('',#10099,#5028); +#4177=LINE('',#10104,#5029); +#4178=LINE('',#10108,#5030); +#4179=LINE('',#10113,#5031); +#4180=LINE('',#10117,#5032); +#4181=LINE('',#10122,#5033); +#4182=LINE('',#10126,#5034); +#4183=LINE('',#10131,#5035); +#4184=LINE('',#10135,#5036); +#4185=LINE('',#10140,#5037); +#4186=LINE('',#10144,#5038); +#4187=LINE('',#10146,#5039); +#4188=LINE('',#10149,#5040); +#4189=LINE('',#10150,#5041); +#4190=LINE('',#10152,#5042); +#4191=LINE('',#10155,#5043); +#4192=LINE('',#10156,#5044); +#4193=LINE('',#10158,#5045); +#4194=LINE('',#10161,#5046); +#4195=LINE('',#10162,#5047); +#4196=LINE('',#10164,#5048); +#4197=LINE('',#10167,#5049); +#4198=LINE('',#10168,#5050); +#4199=LINE('',#10170,#5051); +#4200=LINE('',#10173,#5052); +#4201=LINE('',#10174,#5053); +#4202=LINE('',#10176,#5054); +#4203=LINE('',#10179,#5055); +#4204=LINE('',#10180,#5056); +#4205=LINE('',#10182,#5057); +#4206=LINE('',#10188,#5058); +#4207=LINE('',#10194,#5059); +#4208=LINE('',#10200,#5060); +#4209=LINE('',#10206,#5061); +#4210=LINE('',#10212,#5062); +#4211=LINE('',#10218,#5063); +#4212=LINE('',#10221,#5064); +#4213=LINE('',#10222,#5065); +#4214=LINE('',#10224,#5066); +#4215=LINE('',#10227,#5067); +#4216=LINE('',#10228,#5068); +#4217=LINE('',#10230,#5069); +#4218=LINE('',#10233,#5070); +#4219=LINE('',#10234,#5071); +#4220=LINE('',#10236,#5072); +#4221=LINE('',#10239,#5073); +#4222=LINE('',#10240,#5074); +#4223=LINE('',#10242,#5075); +#4224=LINE('',#10245,#5076); +#4225=LINE('',#10246,#5077); +#4226=LINE('',#10248,#5078); +#4227=LINE('',#10251,#5079); +#4228=LINE('',#10252,#5080); +#4229=LINE('',#10254,#5081); +#4230=LINE('',#10257,#5082); +#4231=LINE('',#10258,#5083); +#4232=LINE('',#10260,#5084); +#4233=LINE('',#10263,#5085); +#4234=LINE('',#10264,#5086); +#4235=LINE('',#10266,#5087); +#4236=LINE('',#10269,#5088); +#4237=LINE('',#10270,#5089); +#4238=LINE('',#10272,#5090); +#4239=LINE('',#10275,#5091); +#4240=LINE('',#10276,#5092); +#4241=LINE('',#10278,#5093); +#4242=LINE('',#10281,#5094); +#4243=LINE('',#10282,#5095); +#4244=LINE('',#10284,#5096); +#4245=LINE('',#10287,#5097); +#4246=LINE('',#10288,#5098); +#4247=LINE('',#10290,#5099); +#4248=LINE('',#10293,#5100); +#4249=LINE('',#10294,#5101); +#4250=LINE('',#10296,#5102); +#4251=LINE('',#10299,#5103); +#4252=LINE('',#10300,#5104); +#4253=LINE('',#10302,#5105); +#4254=LINE('',#10305,#5106); +#4255=LINE('',#10306,#5107); +#4256=LINE('',#10308,#5108); +#4257=LINE('',#10311,#5109); +#4258=LINE('',#10312,#5110); +#4259=LINE('',#10314,#5111); +#4260=LINE('',#10317,#5112); +#4261=LINE('',#10318,#5113); +#4262=LINE('',#10320,#5114); +#4263=LINE('',#10323,#5115); +#4264=LINE('',#10324,#5116); +#4265=LINE('',#10330,#5117); +#4266=LINE('',#10336,#5118); +#4267=LINE('',#10342,#5119); +#4268=LINE('',#10348,#5120); +#4269=LINE('',#10354,#5121); +#4270=LINE('',#10360,#5122); +#4271=LINE('',#10362,#5123); +#4272=LINE('',#10365,#5124); +#4273=LINE('',#10366,#5125); +#4274=LINE('',#10368,#5126); +#4275=LINE('',#10371,#5127); +#4276=LINE('',#10372,#5128); +#4277=LINE('',#10374,#5129); +#4278=LINE('',#10377,#5130); +#4279=LINE('',#10378,#5131); +#4280=LINE('',#10380,#5132); +#4281=LINE('',#10383,#5133); +#4282=LINE('',#10384,#5134); +#4283=LINE('',#10386,#5135); +#4284=LINE('',#10389,#5136); +#4285=LINE('',#10390,#5137); +#4286=LINE('',#10392,#5138); +#4287=LINE('',#10395,#5139); +#4288=LINE('',#10396,#5140); +#4289=LINE('',#10398,#5141); +#4290=LINE('',#10404,#5142); +#4291=LINE('',#10410,#5143); +#4292=LINE('',#10416,#5144); +#4293=LINE('',#10422,#5145); +#4294=LINE('',#10428,#5146); +#4295=LINE('',#10434,#5147); +#4296=LINE('',#10437,#5148); +#4297=LINE('',#10438,#5149); +#4298=LINE('',#10440,#5150); +#4299=LINE('',#10443,#5151); +#4300=LINE('',#10444,#5152); +#4301=LINE('',#10446,#5153); +#4302=LINE('',#10449,#5154); +#4303=LINE('',#10450,#5155); +#4304=LINE('',#10452,#5156); +#4305=LINE('',#10455,#5157); +#4306=LINE('',#10456,#5158); +#4307=LINE('',#10458,#5159); +#4308=LINE('',#10461,#5160); +#4309=LINE('',#10462,#5161); +#4310=LINE('',#10464,#5162); +#4311=LINE('',#10467,#5163); +#4312=LINE('',#10468,#5164); +#4313=LINE('',#10470,#5165); +#4314=LINE('',#10473,#5166); +#4315=LINE('',#10475,#5167); +#4316=LINE('',#10477,#5168); +#4317=LINE('',#10479,#5169); +#4318=LINE('',#10482,#5170); +#4319=LINE('',#10484,#5171); +#4320=LINE('',#10486,#5172); +#4321=LINE('',#10488,#5173); +#4322=LINE('',#10491,#5174); +#4323=LINE('',#10493,#5175); +#4324=LINE('',#10495,#5176); +#4325=LINE('',#10497,#5177); +#4326=LINE('',#10500,#5178); +#4327=LINE('',#10502,#5179); +#4328=LINE('',#10504,#5180); +#4329=LINE('',#10506,#5181); +#4330=LINE('',#10509,#5182); +#4331=LINE('',#10511,#5183); +#4332=LINE('',#10513,#5184); +#4333=LINE('',#10515,#5185); +#4334=LINE('',#10518,#5186); +#4335=LINE('',#10520,#5187); +#4336=LINE('',#10522,#5188); +#4337=LINE('',#10528,#5189); +#4338=LINE('',#10534,#5190); +#4339=LINE('',#10540,#5191); +#4340=LINE('',#10546,#5192); +#4341=LINE('',#10552,#5193); +#4342=LINE('',#10558,#5194); +#4343=LINE('',#10560,#5195); +#4344=LINE('',#10563,#5196); +#4345=LINE('',#10564,#5197); +#4346=LINE('',#10566,#5198); +#4347=LINE('',#10569,#5199); +#4348=LINE('',#10570,#5200); +#4349=LINE('',#10572,#5201); +#4350=LINE('',#10575,#5202); +#4351=LINE('',#10576,#5203); +#4352=LINE('',#10578,#5204); +#4353=LINE('',#10581,#5205); +#4354=LINE('',#10582,#5206); +#4355=LINE('',#10584,#5207); +#4356=LINE('',#10587,#5208); +#4357=LINE('',#10588,#5209); +#4358=LINE('',#10590,#5210); +#4359=LINE('',#10593,#5211); +#4360=LINE('',#10594,#5212); +#4361=LINE('',#10614,#5213); +#4362=LINE('',#10617,#5214); +#4363=LINE('',#10619,#5215); +#4364=LINE('',#10621,#5216); +#4365=LINE('',#10623,#5217); +#4366=LINE('',#10626,#5218); +#4367=LINE('',#10627,#5219); +#4368=LINE('',#10629,#5220); +#4369=LINE('',#10632,#5221); +#4370=LINE('',#10633,#5222); +#4371=LINE('',#10639,#5223); +#4372=LINE('',#10641,#5224); +#4373=LINE('',#10644,#5225); +#4374=LINE('',#10645,#5226); +#4375=LINE('',#10647,#5227); +#4376=LINE('',#10653,#5228); +#4377=LINE('',#10655,#5229); +#4378=LINE('',#10657,#5230); +#4379=LINE('',#10658,#5231); +#4380=LINE('',#10660,#5232); +#4381=LINE('',#10662,#5233); +#4382=LINE('',#10663,#5234); +#4383=LINE('',#10665,#5235); +#4384=LINE('',#10667,#5236); +#4385=LINE('',#10668,#5237); +#4386=LINE('',#10670,#5238); +#4387=LINE('',#10672,#5239); +#4388=LINE('',#10673,#5240); +#4389=LINE('',#10675,#5241); +#4390=LINE('',#10677,#5242); +#4391=LINE('',#10678,#5243); +#4392=LINE('',#10680,#5244); +#4393=LINE('',#10682,#5245); +#4394=LINE('',#10684,#5246); +#4395=LINE('',#10686,#5247); +#4396=LINE('',#10688,#5248); +#4397=LINE('',#10690,#5249); +#4398=LINE('',#10692,#5250); +#4399=LINE('',#10694,#5251); +#4400=LINE('',#10695,#5252); +#4401=LINE('',#10697,#5253); +#4402=LINE('',#10699,#5254); +#4403=LINE('',#10700,#5255); +#4404=LINE('',#10702,#5256); +#4405=LINE('',#10704,#5257); +#4406=LINE('',#10705,#5258); +#4407=LINE('',#10707,#5259); +#4408=LINE('',#10709,#5260); +#4409=LINE('',#10710,#5261); +#4410=LINE('',#10712,#5262); +#4411=LINE('',#10714,#5263); +#4412=LINE('',#10715,#5264); +#4413=LINE('',#10717,#5265); +#4414=LINE('',#10719,#5266); +#4415=LINE('',#10720,#5267); +#4416=LINE('',#10722,#5268); +#4417=LINE('',#10724,#5269); +#4418=LINE('',#10725,#5270); +#4419=LINE('',#10727,#5271); +#4420=LINE('',#10729,#5272); +#4421=LINE('',#10731,#5273); +#4422=LINE('',#10733,#5274); +#4423=LINE('',#10735,#5275); +#4424=LINE('',#10737,#5276); +#4425=LINE('',#10739,#5277); +#4426=LINE('',#10741,#5278); +#4427=LINE('',#10743,#5279); +#4428=LINE('',#10745,#5280); +#4429=LINE('',#10747,#5281); +#4430=LINE('',#10749,#5282); +#4431=LINE('',#10751,#5283); +#4432=LINE('',#10752,#5284); +#4433=LINE('',#10753,#5285); +#4434=LINE('',#10754,#5286); +#4435=LINE('',#10755,#5287); +#4436=LINE('',#10756,#5288); +#4437=LINE('',#10757,#5289); +#4438=LINE('',#10758,#5290); +#4439=LINE('',#10759,#5291); +#4440=LINE('',#10760,#5292); +#4441=LINE('',#10761,#5293); +#4442=LINE('',#10762,#5294); +#4443=LINE('',#10763,#5295); +#4444=LINE('',#10764,#5296); +#4445=LINE('',#10766,#5297); +#4446=LINE('',#10768,#5298); +#4447=LINE('',#10769,#5299); +#4448=LINE('',#10771,#5300); +#4449=LINE('',#10773,#5301); +#4450=LINE('',#10774,#5302); +#4451=LINE('',#10776,#5303); +#4452=LINE('',#10778,#5304); +#4453=LINE('',#10779,#5305); +#4454=LINE('',#10781,#5306); +#4455=LINE('',#10783,#5307); +#4456=LINE('',#10784,#5308); +#4457=LINE('',#10786,#5309); +#4458=LINE('',#10788,#5310); +#4459=LINE('',#10789,#5311); +#4460=LINE('',#10791,#5312); +#4461=LINE('',#10793,#5313); +#4462=LINE('',#10795,#5314); +#4463=LINE('',#10797,#5315); +#4464=LINE('',#10799,#5316); +#4465=LINE('',#10801,#5317); +#4466=LINE('',#10802,#5318); +#4467=LINE('',#10804,#5319); +#4468=LINE('',#10806,#5320); +#4469=LINE('',#10808,#5321); +#4470=LINE('',#10810,#5322); +#4471=LINE('',#10812,#5323); +#4472=LINE('',#10814,#5324); +#4473=LINE('',#10816,#5325); +#4474=LINE('',#10818,#5326); +#4475=LINE('',#10820,#5327); +#4476=LINE('',#10822,#5328); +#4477=LINE('',#10824,#5329); +#4478=LINE('',#10826,#5330); +#4479=LINE('',#10828,#5331); +#4480=LINE('',#10829,#5332); +#4481=LINE('',#10831,#5333); +#4482=LINE('',#10833,#5334); +#4483=LINE('',#10835,#5335); +#4484=LINE('',#10837,#5336); +#4485=LINE('',#10838,#5337); +#4486=LINE('',#10840,#5338); +#4487=LINE('',#10842,#5339); +#4488=LINE('',#10843,#5340); +#4489=LINE('',#10845,#5341); +#4490=LINE('',#10847,#5342); +#4491=LINE('',#10848,#5343); +#4492=LINE('',#10850,#5344); +#4493=LINE('',#10852,#5345); +#4494=LINE('',#10853,#5346); +#4495=LINE('',#10855,#5347); +#4496=LINE('',#10857,#5348); +#4497=LINE('',#10858,#5349); +#4498=LINE('',#10860,#5350); +#4499=LINE('',#10862,#5351); +#4500=LINE('',#10863,#5352); +#4501=LINE('',#10865,#5353); +#4502=LINE('',#10867,#5354); +#4503=LINE('',#10868,#5355); +#4504=LINE('',#10869,#5356); +#4505=LINE('',#10870,#5357); +#4506=LINE('',#10871,#5358); +#4507=LINE('',#10872,#5359); +#4508=LINE('',#10873,#5360); +#4509=LINE('',#10874,#5361); +#4510=LINE('',#10875,#5362); +#4511=LINE('',#10876,#5363); +#4512=LINE('',#10877,#5364); +#4513=LINE('',#10878,#5365); +#4514=LINE('',#10879,#5366); +#4515=LINE('',#10885,#5367); +#4516=LINE('',#10887,#5368); +#4517=LINE('',#10890,#5369); +#4518=LINE('',#10891,#5370); +#4519=LINE('',#10893,#5371); +#4520=LINE('',#10899,#5372); +#4521=LINE('',#10902,#5373); +#4522=LINE('',#10903,#5374); +#4523=LINE('',#10905,#5375); +#4524=LINE('',#10908,#5376); +#4525=LINE('',#10909,#5377); +#4526=LINE('',#10911,#5378); +#4527=LINE('',#10914,#5379); +#4528=LINE('',#10915,#5380); +#4529=LINE('',#10921,#5381); +#4530=LINE('',#10923,#5382); +#4531=LINE('',#10926,#5383); +#4532=LINE('',#10927,#5384); +#4533=LINE('',#10929,#5385); +#4534=LINE('',#10935,#5386); +#4535=LINE('',#10938,#5387); +#4536=LINE('',#10939,#5388); +#4537=LINE('',#10941,#5389); +#4538=LINE('',#10944,#5390); +#4539=LINE('',#10945,#5391); +#4540=LINE('',#10946,#5392); +#4541=LINE('',#10947,#5393); +#4542=LINE('',#10948,#5394); +#4543=LINE('',#10949,#5395); +#4544=LINE('',#10950,#5396); +#4545=LINE('',#10951,#5397); +#4546=LINE('',#10952,#5398); +#4547=LINE('',#10953,#5399); +#4548=LINE('',#10954,#5400); +#4549=LINE('',#10955,#5401); +#4550=LINE('',#10956,#5402); +#4551=LINE('',#10957,#5403); +#4552=LINE('',#10958,#5404); +#4553=LINE('',#10959,#5405); +#4554=LINE('',#10960,#5406); +#4555=LINE('',#10961,#5407); +#4556=LINE('',#10962,#5408); +#4557=LINE('',#10963,#5409); +#4558=LINE('',#10964,#5410); +#4559=LINE('',#10965,#5411); +#4560=LINE('',#10966,#5412); +#4561=LINE('',#10967,#5413); +#4562=LINE('',#10968,#5414); +#4563=LINE('',#10969,#5415); +#4564=LINE('',#10970,#5416); +#4565=LINE('',#10971,#5417); +#4566=LINE('',#10973,#5418); +#4567=LINE('',#10976,#5419); +#4568=LINE('',#10977,#5420); +#4569=LINE('',#10983,#5421); +#4570=LINE('',#10985,#5422); +#4571=LINE('',#10988,#5423); +#4572=LINE('',#10989,#5424); +#4573=LINE('',#10995,#5425); +#4574=LINE('',#10996,#5426); +#4575=LINE('',#11002,#5427); +#4576=LINE('',#11005,#5428); +#4577=LINE('',#11032,#5429); +#4578=LINE('',#11034,#5430); +#4579=LINE('',#11038,#5431); +#4580=LINE('',#11040,#5432); +#4581=LINE('',#11044,#5433); +#4582=LINE('',#11046,#5434); +#4583=LINE('',#11050,#5435); +#4584=LINE('',#11052,#5436); +#4585=LINE('',#11056,#5437); +#4586=LINE('',#11058,#5438); +#4587=LINE('',#11062,#5439); +#4588=LINE('',#11064,#5440); +#4589=LINE('',#11068,#5441); +#4590=LINE('',#11070,#5442); +#4591=LINE('',#11074,#5443); +#4592=LINE('',#11076,#5444); +#4593=LINE('',#11080,#5445); +#4594=LINE('',#11082,#5446); +#4595=LINE('',#11086,#5447); +#4596=LINE('',#11088,#5448); +#4597=LINE('',#11092,#5449); +#4598=LINE('',#11094,#5450); +#4599=LINE('',#11098,#5451); +#4600=LINE('',#11100,#5452); +#4601=VECTOR('',#7361,39.3700787401575); +#4602=VECTOR('',#7362,39.3700787401575); +#4603=VECTOR('',#7363,39.3700787401575); +#4604=VECTOR('',#7364,39.3700787401575); +#4605=VECTOR('',#7371,39.3700787401575); +#4606=VECTOR('',#7372,39.3700787401575); +#4607=VECTOR('',#7373,39.3700787401575); +#4608=VECTOR('',#7376,39.3700787401575); +#4609=VECTOR('',#7377,39.3700787401575); +#4610=VECTOR('',#7378,39.3700787401575); +#4611=VECTOR('',#7381,39.3700787401575); +#4612=VECTOR('',#7382,39.3700787401575); +#4613=VECTOR('',#7383,39.3700787401575); +#4614=VECTOR('',#7384,39.3700787401575); +#4615=VECTOR('',#7387,39.3700787401575); +#4616=VECTOR('',#7388,39.3700787401575); +#4617=VECTOR('',#7389,39.3700787401575); +#4618=VECTOR('',#7392,39.3700787401575); +#4619=VECTOR('',#7393,39.3700787401575); +#4620=VECTOR('',#7394,39.3700787401575); +#4621=VECTOR('',#7397,39.3700787401575); +#4622=VECTOR('',#7398,39.3700787401575); +#4623=VECTOR('',#7399,39.3700787401575); +#4624=VECTOR('',#7400,39.3700787401575); +#4625=VECTOR('',#7403,39.3700787401575); +#4626=VECTOR('',#7404,39.3700787401575); +#4627=VECTOR('',#7405,39.3700787401575); +#4628=VECTOR('',#7408,39.3700787401575); +#4629=VECTOR('',#7409,39.3700787401575); +#4630=VECTOR('',#7410,39.3700787401575); +#4631=VECTOR('',#7413,39.3700787401575); +#4632=VECTOR('',#7414,39.3700787401575); +#4633=VECTOR('',#7415,39.3700787401575); +#4634=VECTOR('',#7416,39.3700787401575); +#4635=VECTOR('',#7419,39.3700787401575); +#4636=VECTOR('',#7420,39.3700787401575); +#4637=VECTOR('',#7421,39.3700787401575); +#4638=VECTOR('',#7424,39.3700787401575); +#4639=VECTOR('',#7425,39.3700787401575); +#4640=VECTOR('',#7426,39.3700787401575); +#4641=VECTOR('',#7429,39.3700787401575); +#4642=VECTOR('',#7430,39.3700787401575); +#4643=VECTOR('',#7431,39.3700787401575); +#4644=VECTOR('',#7432,39.3700787401575); +#4645=VECTOR('',#7435,39.3700787401575); +#4646=VECTOR('',#7436,39.3700787401575); +#4647=VECTOR('',#7437,39.3700787401575); +#4648=VECTOR('',#7440,39.3700787401575); +#4649=VECTOR('',#7441,39.3700787401575); +#4650=VECTOR('',#7442,39.3700787401575); +#4651=VECTOR('',#7445,39.3700787401575); +#4652=VECTOR('',#7446,39.3700787401575); +#4653=VECTOR('',#7447,39.3700787401575); +#4654=VECTOR('',#7448,39.3700787401575); +#4655=VECTOR('',#7451,39.3700787401575); +#4656=VECTOR('',#7452,39.3700787401575); +#4657=VECTOR('',#7453,39.3700787401575); +#4658=VECTOR('',#7456,39.3700787401575); +#4659=VECTOR('',#7457,39.3700787401575); +#4660=VECTOR('',#7458,39.3700787401575); +#4661=VECTOR('',#7461,39.3700787401575); +#4662=VECTOR('',#7462,39.3700787401575); +#4663=VECTOR('',#7463,39.3700787401575); +#4664=VECTOR('',#7464,39.3700787401575); +#4665=VECTOR('',#7467,39.3700787401575); +#4666=VECTOR('',#7468,39.3700787401575); +#4667=VECTOR('',#7469,39.3700787401575); +#4668=VECTOR('',#7472,39.3700787401575); +#4669=VECTOR('',#7473,39.3700787401575); +#4670=VECTOR('',#7474,39.3700787401575); +#4671=VECTOR('',#7477,39.3700787401575); +#4672=VECTOR('',#7478,39.3700787401575); +#4673=VECTOR('',#7479,39.3700787401575); +#4674=VECTOR('',#7480,39.3700787401575); +#4675=VECTOR('',#7483,39.3700787401575); +#4676=VECTOR('',#7484,39.3700787401575); +#4677=VECTOR('',#7485,39.3700787401575); +#4678=VECTOR('',#7488,39.3700787401575); +#4679=VECTOR('',#7489,39.3700787401575); +#4680=VECTOR('',#7490,39.3700787401575); +#4681=VECTOR('',#7493,39.3700787401575); +#4682=VECTOR('',#7494,39.3700787401575); +#4683=VECTOR('',#7495,39.3700787401575); +#4684=VECTOR('',#7496,39.3700787401575); +#4685=VECTOR('',#7499,39.3700787401575); +#4686=VECTOR('',#7500,39.3700787401575); +#4687=VECTOR('',#7501,39.3700787401575); +#4688=VECTOR('',#7504,39.3700787401575); +#4689=VECTOR('',#7505,39.3700787401575); +#4690=VECTOR('',#7506,39.3700787401575); +#4691=VECTOR('',#7509,39.3700787401575); +#4692=VECTOR('',#7510,39.3700787401575); +#4693=VECTOR('',#7511,39.3700787401575); +#4694=VECTOR('',#7512,39.3700787401575); +#4695=VECTOR('',#7515,39.3700787401575); +#4696=VECTOR('',#7516,39.3700787401575); +#4697=VECTOR('',#7517,39.3700787401575); +#4698=VECTOR('',#7520,39.3700787401575); +#4699=VECTOR('',#7521,39.3700787401575); +#4700=VECTOR('',#7522,39.3700787401575); +#4701=VECTOR('',#7525,39.3700787401575); +#4702=VECTOR('',#7526,39.3700787401575); +#4703=VECTOR('',#7527,39.3700787401575); +#4704=VECTOR('',#7528,39.3700787401575); +#4705=VECTOR('',#7531,39.3700787401575); +#4706=VECTOR('',#7532,39.3700787401575); +#4707=VECTOR('',#7533,39.3700787401575); +#4708=VECTOR('',#7536,39.3700787401575); +#4709=VECTOR('',#7537,39.3700787401575); +#4710=VECTOR('',#7538,39.3700787401575); +#4711=VECTOR('',#7541,39.3700787401575); +#4712=VECTOR('',#7542,39.3700787401575); +#4713=VECTOR('',#7543,39.3700787401575); +#4714=VECTOR('',#7544,39.3700787401575); +#4715=VECTOR('',#7547,39.3700787401575); +#4716=VECTOR('',#7548,39.3700787401575); +#4717=VECTOR('',#7549,39.3700787401575); +#4718=VECTOR('',#7552,39.3700787401575); +#4719=VECTOR('',#7553,39.3700787401575); +#4720=VECTOR('',#7554,39.3700787401575); +#4721=VECTOR('',#7557,39.3700787401575); +#4722=VECTOR('',#7558,39.3700787401575); +#4723=VECTOR('',#7559,39.3700787401575); +#4724=VECTOR('',#7560,39.3700787401575); +#4725=VECTOR('',#7563,39.3700787401575); +#4726=VECTOR('',#7564,39.3700787401575); +#4727=VECTOR('',#7565,39.3700787401575); +#4728=VECTOR('',#7568,39.3700787401575); +#4729=VECTOR('',#7569,39.3700787401575); +#4730=VECTOR('',#7570,39.3700787401575); +#4731=VECTOR('',#7573,39.3700787401575); +#4732=VECTOR('',#7574,39.3700787401575); +#4733=VECTOR('',#7575,39.3700787401575); +#4734=VECTOR('',#7576,39.3700787401575); +#4735=VECTOR('',#7579,39.3700787401575); +#4736=VECTOR('',#7580,39.3700787401575); +#4737=VECTOR('',#7581,39.3700787401575); +#4738=VECTOR('',#7584,39.3700787401575); +#4739=VECTOR('',#7585,39.3700787401575); +#4740=VECTOR('',#7586,39.3700787401575); +#4741=VECTOR('',#7589,39.3700787401575); +#4742=VECTOR('',#7590,39.3700787401575); +#4743=VECTOR('',#7591,39.3700787401575); +#4744=VECTOR('',#7592,39.3700787401575); +#4745=VECTOR('',#7595,39.3700787401575); +#4746=VECTOR('',#7596,39.3700787401575); +#4747=VECTOR('',#7597,39.3700787401575); +#4748=VECTOR('',#7600,39.3700787401575); +#4749=VECTOR('',#7601,39.3700787401575); +#4750=VECTOR('',#7602,39.3700787401575); +#4751=VECTOR('',#7605,39.3700787401575); +#4752=VECTOR('',#7606,39.3700787401575); +#4753=VECTOR('',#7609,39.3700787401575); +#4754=VECTOR('',#7610,39.3700787401575); +#4755=VECTOR('',#7613,39.3700787401575); +#4756=VECTOR('',#7614,39.3700787401575); +#4757=VECTOR('',#7617,39.3700787401575); +#4758=VECTOR('',#7618,39.3700787401575); +#4759=VECTOR('',#7621,39.3700787401575); +#4760=VECTOR('',#7622,39.3700787401575); +#4761=VECTOR('',#7625,39.3700787401575); +#4762=VECTOR('',#7626,39.3700787401575); +#4763=VECTOR('',#7629,39.3700787401575); +#4764=VECTOR('',#7630,39.3700787401575); +#4765=VECTOR('',#7633,39.3700787401575); +#4766=VECTOR('',#7634,39.3700787401575); +#4767=VECTOR('',#7637,39.3700787401575); +#4768=VECTOR('',#7638,39.3700787401575); +#4769=VECTOR('',#7641,39.3700787401575); +#4770=VECTOR('',#7642,39.3700787401575); +#4771=VECTOR('',#7645,39.3700787401575); +#4772=VECTOR('',#7646,39.3700787401575); +#4773=VECTOR('',#7649,39.3700787401575); +#4774=VECTOR('',#7650,39.3700787401575); +#4775=VECTOR('',#7653,39.3700787401575); +#4776=VECTOR('',#7654,39.3700787401575); +#4777=VECTOR('',#7657,39.3700787401575); +#4778=VECTOR('',#7658,39.3700787401575); +#4779=VECTOR('',#7661,39.3700787401575); +#4780=VECTOR('',#7662,39.3700787401575); +#4781=VECTOR('',#7691,39.3700787401575); +#4782=VECTOR('',#7692,39.3700787401575); +#4783=VECTOR('',#7693,39.3700787401575); +#4784=VECTOR('',#7694,39.3700787401575); +#4785=VECTOR('',#7697,39.3700787401575); +#4786=VECTOR('',#7698,39.3700787401575); +#4787=VECTOR('',#7699,39.3700787401575); +#4788=VECTOR('',#7700,39.3700787401575); +#4789=VECTOR('',#7703,39.3700787401575); +#4790=VECTOR('',#7704,39.3700787401575); +#4791=VECTOR('',#7705,39.3700787401575); +#4792=VECTOR('',#7706,39.3700787401575); +#4793=VECTOR('',#7709,39.3700787401575); +#4794=VECTOR('',#7710,39.3700787401575); +#4795=VECTOR('',#7711,39.3700787401575); +#4796=VECTOR('',#7712,39.3700787401575); +#4797=VECTOR('',#7715,39.3700787401575); +#4798=VECTOR('',#7716,39.3700787401575); +#4799=VECTOR('',#7717,39.3700787401575); +#4800=VECTOR('',#7718,39.3700787401575); +#4801=VECTOR('',#7721,39.3700787401575); +#4802=VECTOR('',#7722,39.3700787401575); +#4803=VECTOR('',#7723,39.3700787401575); +#4804=VECTOR('',#7724,39.3700787401575); +#4805=VECTOR('',#7727,39.3700787401575); +#4806=VECTOR('',#7728,39.3700787401575); +#4807=VECTOR('',#7729,39.3700787401575); +#4808=VECTOR('',#7732,39.3700787401575); +#4809=VECTOR('',#7733,39.3700787401575); +#4810=VECTOR('',#7734,39.3700787401575); +#4811=VECTOR('',#7737,39.3700787401575); +#4812=VECTOR('',#7738,39.3700787401575); +#4813=VECTOR('',#7739,39.3700787401575); +#4814=VECTOR('',#7742,39.3700787401575); +#4815=VECTOR('',#7743,39.3700787401575); +#4816=VECTOR('',#7744,39.3700787401575); +#4817=VECTOR('',#7747,39.3700787401575); +#4818=VECTOR('',#7748,39.3700787401575); +#4819=VECTOR('',#7749,39.3700787401575); +#4820=VECTOR('',#7752,39.3700787401575); +#4821=VECTOR('',#7753,39.3700787401575); +#4822=VECTOR('',#7754,39.3700787401575); +#4823=VECTOR('',#7757,39.3700787401575); +#4824=VECTOR('',#7758,39.3700787401575); +#4825=VECTOR('',#7759,39.3700787401575); +#4826=VECTOR('',#7762,39.3700787401575); +#4827=VECTOR('',#7763,39.3700787401575); +#4828=VECTOR('',#7764,39.3700787401575); +#4829=VECTOR('',#7767,39.3700787401575); +#4830=VECTOR('',#7768,39.3700787401575); +#4831=VECTOR('',#7769,39.3700787401575); +#4832=VECTOR('',#7772,39.3700787401575); +#4833=VECTOR('',#7773,39.3700787401575); +#4834=VECTOR('',#7774,39.3700787401575); +#4835=VECTOR('',#7777,39.3700787401575); +#4836=VECTOR('',#7778,39.3700787401575); +#4837=VECTOR('',#7779,39.3700787401575); +#4838=VECTOR('',#7782,39.3700787401575); +#4839=VECTOR('',#7783,39.3700787401575); +#4840=VECTOR('',#7784,39.3700787401575); +#4841=VECTOR('',#7791,39.3700787401575); +#4842=VECTOR('',#7798,39.3700787401575); +#4843=VECTOR('',#7805,39.3700787401575); +#4844=VECTOR('',#7812,39.3700787401575); +#4845=VECTOR('',#7819,39.3700787401575); +#4846=VECTOR('',#7826,39.3700787401575); +#4847=VECTOR('',#7829,39.3700787401575); +#4848=VECTOR('',#7830,39.3700787401575); +#4849=VECTOR('',#7831,39.3700787401575); +#4850=VECTOR('',#7834,39.3700787401575); +#4851=VECTOR('',#7835,39.3700787401575); +#4852=VECTOR('',#7836,39.3700787401575); +#4853=VECTOR('',#7839,39.3700787401575); +#4854=VECTOR('',#7840,39.3700787401575); +#4855=VECTOR('',#7841,39.3700787401575); +#4856=VECTOR('',#7844,39.3700787401575); +#4857=VECTOR('',#7845,39.3700787401575); +#4858=VECTOR('',#7846,39.3700787401575); +#4859=VECTOR('',#7849,39.3700787401575); +#4860=VECTOR('',#7850,39.3700787401575); +#4861=VECTOR('',#7851,39.3700787401575); +#4862=VECTOR('',#7854,39.3700787401575); +#4863=VECTOR('',#7855,39.3700787401575); +#4864=VECTOR('',#7856,39.3700787401575); +#4865=VECTOR('',#7859,39.3700787401575); +#4866=VECTOR('',#7866,39.3700787401575); +#4867=VECTOR('',#7873,39.3700787401575); +#4868=VECTOR('',#7880,39.3700787401575); +#4869=VECTOR('',#7887,39.3700787401575); +#4870=VECTOR('',#7894,39.3700787401575); +#4871=VECTOR('',#7901,39.3700787401575); +#4872=VECTOR('',#7902,39.3700787401575); +#4873=VECTOR('',#7903,39.3700787401575); +#4874=VECTOR('',#7904,39.3700787401575); +#4875=VECTOR('',#7907,39.3700787401575); +#4876=VECTOR('',#7908,39.3700787401575); +#4877=VECTOR('',#7909,39.3700787401575); +#4878=VECTOR('',#7910,39.3700787401575); +#4879=VECTOR('',#7913,39.3700787401575); +#4880=VECTOR('',#7914,39.3700787401575); +#4881=VECTOR('',#7915,39.3700787401575); +#4882=VECTOR('',#7916,39.3700787401575); +#4883=VECTOR('',#7919,39.3700787401575); +#4884=VECTOR('',#7920,39.3700787401575); +#4885=VECTOR('',#7921,39.3700787401575); +#4886=VECTOR('',#7922,39.3700787401575); +#4887=VECTOR('',#7925,39.3700787401575); +#4888=VECTOR('',#7926,39.3700787401575); +#4889=VECTOR('',#7927,39.3700787401575); +#4890=VECTOR('',#7928,39.3700787401575); +#4891=VECTOR('',#7931,39.3700787401575); +#4892=VECTOR('',#7932,39.3700787401575); +#4893=VECTOR('',#7933,39.3700787401575); +#4894=VECTOR('',#7934,39.3700787401575); +#4895=VECTOR('',#7937,39.3700787401575); +#4896=VECTOR('',#7938,39.3700787401575); +#4897=VECTOR('',#7939,39.3700787401575); +#4898=VECTOR('',#7942,39.3700787401575); +#4899=VECTOR('',#7943,39.3700787401575); +#4900=VECTOR('',#7944,39.3700787401575); +#4901=VECTOR('',#7947,39.3700787401575); +#4902=VECTOR('',#7948,39.3700787401575); +#4903=VECTOR('',#7949,39.3700787401575); +#4904=VECTOR('',#7952,39.3700787401575); +#4905=VECTOR('',#7953,39.3700787401575); +#4906=VECTOR('',#7954,39.3700787401575); +#4907=VECTOR('',#7957,39.3700787401575); +#4908=VECTOR('',#7958,39.3700787401575); +#4909=VECTOR('',#7959,39.3700787401575); +#4910=VECTOR('',#7962,39.3700787401575); +#4911=VECTOR('',#7963,39.3700787401575); +#4912=VECTOR('',#7964,39.3700787401575); +#4913=VECTOR('',#7967,39.3700787401575); +#4914=VECTOR('',#7968,39.3700787401575); +#4915=VECTOR('',#7969,39.3700787401575); +#4916=VECTOR('',#7972,39.3700787401575); +#4917=VECTOR('',#7973,39.3700787401575); +#4918=VECTOR('',#7974,39.3700787401575); +#4919=VECTOR('',#7977,39.3700787401575); +#4920=VECTOR('',#7978,39.3700787401575); +#4921=VECTOR('',#7979,39.3700787401575); +#4922=VECTOR('',#7982,39.3700787401575); +#4923=VECTOR('',#7983,39.3700787401575); +#4924=VECTOR('',#7984,39.3700787401575); +#4925=VECTOR('',#7987,39.3700787401575); +#4926=VECTOR('',#7988,39.3700787401575); +#4927=VECTOR('',#7989,39.3700787401575); +#4928=VECTOR('',#7992,39.3700787401575); +#4929=VECTOR('',#7993,39.3700787401575); +#4930=VECTOR('',#7994,39.3700787401575); +#4931=VECTOR('',#7997,39.3700787401575); +#4932=VECTOR('',#7998,39.3700787401575); +#4933=VECTOR('',#7999,39.3700787401575); +#4934=VECTOR('',#8002,39.3700787401575); +#4935=VECTOR('',#8003,39.3700787401575); +#4936=VECTOR('',#8004,39.3700787401575); +#4937=VECTOR('',#8007,39.3700787401575); +#4938=VECTOR('',#8008,39.3700787401575); +#4939=VECTOR('',#8009,39.3700787401575); +#4940=VECTOR('',#8012,39.3700787401575); +#4941=VECTOR('',#8013,39.3700787401575); +#4942=VECTOR('',#8014,39.3700787401575); +#4943=VECTOR('',#8017,39.3700787401575); +#4944=VECTOR('',#8018,39.3700787401575); +#4945=VECTOR('',#8019,39.3700787401575); +#4946=VECTOR('',#8022,39.3700787401575); +#4947=VECTOR('',#8023,39.3700787401575); +#4948=VECTOR('',#8024,39.3700787401575); +#4949=VECTOR('',#8027,39.3700787401575); +#4950=VECTOR('',#8028,39.3700787401575); +#4951=VECTOR('',#8029,39.3700787401575); +#4952=VECTOR('',#8030,39.3700787401575); +#4953=VECTOR('',#8033,39.3700787401575); +#4954=VECTOR('',#8034,39.3700787401575); +#4955=VECTOR('',#8035,39.3700787401575); +#4956=VECTOR('',#8036,39.3700787401575); +#4957=VECTOR('',#8039,39.3700787401575); +#4958=VECTOR('',#8040,39.3700787401575); +#4959=VECTOR('',#8041,39.3700787401575); +#4960=VECTOR('',#8042,39.3700787401575); +#4961=VECTOR('',#8045,39.3700787401575); +#4962=VECTOR('',#8046,39.3700787401575); +#4963=VECTOR('',#8047,39.3700787401575); +#4964=VECTOR('',#8048,39.3700787401575); +#4965=VECTOR('',#8051,39.3700787401575); +#4966=VECTOR('',#8052,39.3700787401575); +#4967=VECTOR('',#8053,39.3700787401575); +#4968=VECTOR('',#8054,39.3700787401575); +#4969=VECTOR('',#8057,39.3700787401575); +#4970=VECTOR('',#8058,39.3700787401575); +#4971=VECTOR('',#8059,39.3700787401575); +#4972=VECTOR('',#8060,39.3700787401575); +#4973=VECTOR('',#8063,39.3700787401575); +#4974=VECTOR('',#8064,39.3700787401575); +#4975=VECTOR('',#8065,39.3700787401575); +#4976=VECTOR('',#8068,39.3700787401575); +#4977=VECTOR('',#8069,39.3700787401575); +#4978=VECTOR('',#8070,39.3700787401575); +#4979=VECTOR('',#8073,39.3700787401575); +#4980=VECTOR('',#8074,39.3700787401575); +#4981=VECTOR('',#8075,39.3700787401575); +#4982=VECTOR('',#8078,39.3700787401575); +#4983=VECTOR('',#8079,39.3700787401575); +#4984=VECTOR('',#8080,39.3700787401575); +#4985=VECTOR('',#8083,39.3700787401575); +#4986=VECTOR('',#8084,39.3700787401575); +#4987=VECTOR('',#8085,39.3700787401575); +#4988=VECTOR('',#8088,39.3700787401575); +#4989=VECTOR('',#8089,39.3700787401575); +#4990=VECTOR('',#8090,39.3700787401575); +#4991=VECTOR('',#8093,39.3700787401575); +#4992=VECTOR('',#8094,39.3700787401575); +#4993=VECTOR('',#8095,39.3700787401575); +#4994=VECTOR('',#8098,39.3700787401575); +#4995=VECTOR('',#8099,39.3700787401575); +#4996=VECTOR('',#8100,39.3700787401575); +#4997=VECTOR('',#8103,39.3700787401575); +#4998=VECTOR('',#8104,39.3700787401575); +#4999=VECTOR('',#8105,39.3700787401575); +#5000=VECTOR('',#8108,39.3700787401575); +#5001=VECTOR('',#8109,39.3700787401575); +#5002=VECTOR('',#8110,39.3700787401575); +#5003=VECTOR('',#8113,39.3700787401575); +#5004=VECTOR('',#8114,39.3700787401575); +#5005=VECTOR('',#8115,39.3700787401575); +#5006=VECTOR('',#8118,39.3700787401575); +#5007=VECTOR('',#8119,39.3700787401575); +#5008=VECTOR('',#8120,39.3700787401575); +#5009=VECTOR('',#8123,39.3700787401575); +#5010=VECTOR('',#8124,39.3700787401575); +#5011=VECTOR('',#8125,39.3700787401575); +#5012=VECTOR('',#8128,39.3700787401575); +#5013=VECTOR('',#8129,39.3700787401575); +#5014=VECTOR('',#8130,39.3700787401575); +#5015=VECTOR('',#8133,39.3700787401575); +#5016=VECTOR('',#8134,39.3700787401575); +#5017=VECTOR('',#8135,39.3700787401575); +#5018=VECTOR('',#8138,39.3700787401575); +#5019=VECTOR('',#8139,39.3700787401575); +#5020=VECTOR('',#8140,39.3700787401575); +#5021=VECTOR('',#8143,39.3700787401575); +#5022=VECTOR('',#8144,39.3700787401575); +#5023=VECTOR('',#8145,39.3700787401575); +#5024=VECTOR('',#8148,39.3700787401575); +#5025=VECTOR('',#8149,39.3700787401575); +#5026=VECTOR('',#8150,39.3700787401575); +#5027=VECTOR('',#8155,39.3700787401575); +#5028=VECTOR('',#8158,39.3700787401575); +#5029=VECTOR('',#8163,39.3700787401575); +#5030=VECTOR('',#8166,39.3700787401575); +#5031=VECTOR('',#8171,39.3700787401575); +#5032=VECTOR('',#8174,39.3700787401575); +#5033=VECTOR('',#8179,39.3700787401575); +#5034=VECTOR('',#8182,39.3700787401575); +#5035=VECTOR('',#8187,39.3700787401575); +#5036=VECTOR('',#8190,39.3700787401575); +#5037=VECTOR('',#8195,39.3700787401575); +#5038=VECTOR('',#8198,39.3700787401575); +#5039=VECTOR('',#8201,39.3700787401575); +#5040=VECTOR('',#8202,39.3700787401575); +#5041=VECTOR('',#8203,39.3700787401575); +#5042=VECTOR('',#8206,39.3700787401575); +#5043=VECTOR('',#8207,39.3700787401575); +#5044=VECTOR('',#8208,39.3700787401575); +#5045=VECTOR('',#8211,39.3700787401575); +#5046=VECTOR('',#8212,39.3700787401575); +#5047=VECTOR('',#8213,39.3700787401575); +#5048=VECTOR('',#8216,39.3700787401575); +#5049=VECTOR('',#8217,39.3700787401575); +#5050=VECTOR('',#8218,39.3700787401575); +#5051=VECTOR('',#8221,39.3700787401575); +#5052=VECTOR('',#8222,39.3700787401575); +#5053=VECTOR('',#8223,39.3700787401575); +#5054=VECTOR('',#8226,39.3700787401575); +#5055=VECTOR('',#8227,39.3700787401575); +#5056=VECTOR('',#8228,39.3700787401575); +#5057=VECTOR('',#8231,39.3700787401575); +#5058=VECTOR('',#8238,39.3700787401575); +#5059=VECTOR('',#8245,39.3700787401575); +#5060=VECTOR('',#8252,39.3700787401575); +#5061=VECTOR('',#8259,39.3700787401575); +#5062=VECTOR('',#8266,39.3700787401575); +#5063=VECTOR('',#8273,39.3700787401575); +#5064=VECTOR('',#8274,39.3700787401575); +#5065=VECTOR('',#8275,39.3700787401575); +#5066=VECTOR('',#8278,39.3700787401575); +#5067=VECTOR('',#8279,39.3700787401575); +#5068=VECTOR('',#8280,39.3700787401575); +#5069=VECTOR('',#8283,39.3700787401575); +#5070=VECTOR('',#8284,39.3700787401575); +#5071=VECTOR('',#8285,39.3700787401575); +#5072=VECTOR('',#8288,39.3700787401575); +#5073=VECTOR('',#8289,39.3700787401575); +#5074=VECTOR('',#8290,39.3700787401575); +#5075=VECTOR('',#8293,39.3700787401575); +#5076=VECTOR('',#8294,39.3700787401575); +#5077=VECTOR('',#8295,39.3700787401575); +#5078=VECTOR('',#8298,39.3700787401575); +#5079=VECTOR('',#8299,39.3700787401575); +#5080=VECTOR('',#8300,39.3700787401575); +#5081=VECTOR('',#8303,39.3700787401575); +#5082=VECTOR('',#8304,39.3700787401575); +#5083=VECTOR('',#8305,39.3700787401575); +#5084=VECTOR('',#8308,39.3700787401575); +#5085=VECTOR('',#8309,39.3700787401575); +#5086=VECTOR('',#8310,39.3700787401575); +#5087=VECTOR('',#8313,39.3700787401575); +#5088=VECTOR('',#8314,39.3700787401575); +#5089=VECTOR('',#8315,39.3700787401575); +#5090=VECTOR('',#8318,39.3700787401575); +#5091=VECTOR('',#8319,39.3700787401575); +#5092=VECTOR('',#8320,39.3700787401575); +#5093=VECTOR('',#8323,39.3700787401575); +#5094=VECTOR('',#8324,39.3700787401575); +#5095=VECTOR('',#8325,39.3700787401575); +#5096=VECTOR('',#8328,39.3700787401575); +#5097=VECTOR('',#8329,39.3700787401575); +#5098=VECTOR('',#8330,39.3700787401575); +#5099=VECTOR('',#8333,39.3700787401575); +#5100=VECTOR('',#8334,39.3700787401575); +#5101=VECTOR('',#8335,39.3700787401575); +#5102=VECTOR('',#8338,39.3700787401575); +#5103=VECTOR('',#8339,39.3700787401575); +#5104=VECTOR('',#8340,39.3700787401575); +#5105=VECTOR('',#8343,39.3700787401575); +#5106=VECTOR('',#8344,39.3700787401575); +#5107=VECTOR('',#8345,39.3700787401575); +#5108=VECTOR('',#8348,39.3700787401575); +#5109=VECTOR('',#8349,39.3700787401575); +#5110=VECTOR('',#8350,39.3700787401575); +#5111=VECTOR('',#8353,39.3700787401575); +#5112=VECTOR('',#8354,39.3700787401575); +#5113=VECTOR('',#8355,39.3700787401575); +#5114=VECTOR('',#8358,39.3700787401575); +#5115=VECTOR('',#8359,39.3700787401575); +#5116=VECTOR('',#8360,39.3700787401575); +#5117=VECTOR('',#8367,39.3700787401575); +#5118=VECTOR('',#8374,39.3700787401575); +#5119=VECTOR('',#8381,39.3700787401575); +#5120=VECTOR('',#8388,39.3700787401575); +#5121=VECTOR('',#8395,39.3700787401575); +#5122=VECTOR('',#8402,39.3700787401575); +#5123=VECTOR('',#8405,39.3700787401575); +#5124=VECTOR('',#8406,39.3700787401575); +#5125=VECTOR('',#8407,39.3700787401575); +#5126=VECTOR('',#8410,39.3700787401575); +#5127=VECTOR('',#8411,39.3700787401575); +#5128=VECTOR('',#8412,39.3700787401575); +#5129=VECTOR('',#8415,39.3700787401575); +#5130=VECTOR('',#8416,39.3700787401575); +#5131=VECTOR('',#8417,39.3700787401575); +#5132=VECTOR('',#8420,39.3700787401575); +#5133=VECTOR('',#8421,39.3700787401575); +#5134=VECTOR('',#8422,39.3700787401575); +#5135=VECTOR('',#8425,39.3700787401575); +#5136=VECTOR('',#8426,39.3700787401575); +#5137=VECTOR('',#8427,39.3700787401575); +#5138=VECTOR('',#8430,39.3700787401575); +#5139=VECTOR('',#8431,39.3700787401575); +#5140=VECTOR('',#8432,39.3700787401575); +#5141=VECTOR('',#8435,39.3700787401575); +#5142=VECTOR('',#8442,39.3700787401575); +#5143=VECTOR('',#8449,39.3700787401575); +#5144=VECTOR('',#8456,39.3700787401575); +#5145=VECTOR('',#8463,39.3700787401575); +#5146=VECTOR('',#8470,39.3700787401575); +#5147=VECTOR('',#8477,39.3700787401575); +#5148=VECTOR('',#8478,39.3700787401575); +#5149=VECTOR('',#8479,39.3700787401575); +#5150=VECTOR('',#8482,39.3700787401575); +#5151=VECTOR('',#8483,39.3700787401575); +#5152=VECTOR('',#8484,39.3700787401575); +#5153=VECTOR('',#8487,39.3700787401575); +#5154=VECTOR('',#8488,39.3700787401575); +#5155=VECTOR('',#8489,39.3700787401575); +#5156=VECTOR('',#8492,39.3700787401575); +#5157=VECTOR('',#8493,39.3700787401575); +#5158=VECTOR('',#8494,39.3700787401575); +#5159=VECTOR('',#8497,39.3700787401575); +#5160=VECTOR('',#8498,39.3700787401575); +#5161=VECTOR('',#8499,39.3700787401575); +#5162=VECTOR('',#8502,39.3700787401575); +#5163=VECTOR('',#8503,39.3700787401575); +#5164=VECTOR('',#8504,39.3700787401575); +#5165=VECTOR('',#8507,39.3700787401575); +#5166=VECTOR('',#8508,39.3700787401575); +#5167=VECTOR('',#8509,39.3700787401575); +#5168=VECTOR('',#8510,39.3700787401575); +#5169=VECTOR('',#8513,39.3700787401575); +#5170=VECTOR('',#8514,39.3700787401575); +#5171=VECTOR('',#8515,39.3700787401575); +#5172=VECTOR('',#8516,39.3700787401575); +#5173=VECTOR('',#8519,39.3700787401575); +#5174=VECTOR('',#8520,39.3700787401575); +#5175=VECTOR('',#8521,39.3700787401575); +#5176=VECTOR('',#8522,39.3700787401575); +#5177=VECTOR('',#8525,39.3700787401575); +#5178=VECTOR('',#8526,39.3700787401575); +#5179=VECTOR('',#8527,39.3700787401575); +#5180=VECTOR('',#8528,39.3700787401575); +#5181=VECTOR('',#8531,39.3700787401575); +#5182=VECTOR('',#8532,39.3700787401575); +#5183=VECTOR('',#8533,39.3700787401575); +#5184=VECTOR('',#8534,39.3700787401575); +#5185=VECTOR('',#8537,39.3700787401575); +#5186=VECTOR('',#8538,39.3700787401575); +#5187=VECTOR('',#8539,39.3700787401575); +#5188=VECTOR('',#8540,39.3700787401575); +#5189=VECTOR('',#8547,39.3700787401575); +#5190=VECTOR('',#8554,39.3700787401575); +#5191=VECTOR('',#8561,39.3700787401575); +#5192=VECTOR('',#8568,39.3700787401575); +#5193=VECTOR('',#8575,39.3700787401575); +#5194=VECTOR('',#8582,39.3700787401575); +#5195=VECTOR('',#8585,39.3700787401575); +#5196=VECTOR('',#8586,39.3700787401575); +#5197=VECTOR('',#8587,39.3700787401575); +#5198=VECTOR('',#8590,39.3700787401575); +#5199=VECTOR('',#8591,39.3700787401575); +#5200=VECTOR('',#8592,39.3700787401575); +#5201=VECTOR('',#8595,39.3700787401575); +#5202=VECTOR('',#8596,39.3700787401575); +#5203=VECTOR('',#8597,39.3700787401575); +#5204=VECTOR('',#8600,39.3700787401575); +#5205=VECTOR('',#8601,39.3700787401575); +#5206=VECTOR('',#8602,39.3700787401575); +#5207=VECTOR('',#8605,39.3700787401575); +#5208=VECTOR('',#8606,39.3700787401575); +#5209=VECTOR('',#8607,39.3700787401575); +#5210=VECTOR('',#8610,39.3700787401575); +#5211=VECTOR('',#8611,39.3700787401575); +#5212=VECTOR('',#8612,39.3700787401575); +#5213=VECTOR('',#8651,39.3700787401575); +#5214=VECTOR('',#8652,39.3700787401575); +#5215=VECTOR('',#8653,39.3700787401575); +#5216=VECTOR('',#8654,39.3700787401575); +#5217=VECTOR('',#8657,39.3700787401575); +#5218=VECTOR('',#8658,39.3700787401575); +#5219=VECTOR('',#8659,39.3700787401575); +#5220=VECTOR('',#8662,39.3700787401575); +#5221=VECTOR('',#8663,39.3700787401575); +#5222=VECTOR('',#8664,39.3700787401575); +#5223=VECTOR('',#8671,39.3700787401575); +#5224=VECTOR('',#8674,39.3700787401575); +#5225=VECTOR('',#8675,39.3700787401575); +#5226=VECTOR('',#8676,39.3700787401575); +#5227=VECTOR('',#8679,39.3700787401575); +#5228=VECTOR('',#8686,39.3700787401575); +#5229=VECTOR('',#8687,39.3700787401575); +#5230=VECTOR('',#8688,39.3700787401575); +#5231=VECTOR('',#8689,39.3700787401575); +#5232=VECTOR('',#8690,39.3700787401575); +#5233=VECTOR('',#8691,39.3700787401575); +#5234=VECTOR('',#8692,39.3700787401575); +#5235=VECTOR('',#8693,39.3700787401575); +#5236=VECTOR('',#8694,39.3700787401575); +#5237=VECTOR('',#8695,39.3700787401575); +#5238=VECTOR('',#8696,39.3700787401575); +#5239=VECTOR('',#8697,39.3700787401575); +#5240=VECTOR('',#8698,39.3700787401575); +#5241=VECTOR('',#8699,39.3700787401575); +#5242=VECTOR('',#8700,39.3700787401575); +#5243=VECTOR('',#8701,39.3700787401575); +#5244=VECTOR('',#8702,39.3700787401575); +#5245=VECTOR('',#8703,39.3700787401575); +#5246=VECTOR('',#8704,39.3700787401575); +#5247=VECTOR('',#8705,39.3700787401575); +#5248=VECTOR('',#8706,39.3700787401575); +#5249=VECTOR('',#8707,39.3700787401575); +#5250=VECTOR('',#8708,39.3700787401575); +#5251=VECTOR('',#8709,39.3700787401575); +#5252=VECTOR('',#8710,39.3700787401575); +#5253=VECTOR('',#8711,39.3700787401575); +#5254=VECTOR('',#8712,39.3700787401575); +#5255=VECTOR('',#8713,39.3700787401575); +#5256=VECTOR('',#8714,39.3700787401575); +#5257=VECTOR('',#8715,39.3700787401575); +#5258=VECTOR('',#8716,39.3700787401575); +#5259=VECTOR('',#8717,39.3700787401575); +#5260=VECTOR('',#8718,39.3700787401575); +#5261=VECTOR('',#8719,39.3700787401575); +#5262=VECTOR('',#8720,39.3700787401575); +#5263=VECTOR('',#8721,39.3700787401575); +#5264=VECTOR('',#8722,39.3700787401575); +#5265=VECTOR('',#8723,39.3700787401575); +#5266=VECTOR('',#8724,39.3700787401575); +#5267=VECTOR('',#8725,39.3700787401575); +#5268=VECTOR('',#8726,39.3700787401575); +#5269=VECTOR('',#8727,39.3700787401575); +#5270=VECTOR('',#8728,39.3700787401575); +#5271=VECTOR('',#8731,39.3700787401575); +#5272=VECTOR('',#8732,39.3700787401575); +#5273=VECTOR('',#8733,39.3700787401575); +#5274=VECTOR('',#8736,39.3700787401575); +#5275=VECTOR('',#8737,39.3700787401575); +#5276=VECTOR('',#8738,39.3700787401575); +#5277=VECTOR('',#8741,39.3700787401575); +#5278=VECTOR('',#8742,39.3700787401575); +#5279=VECTOR('',#8743,39.3700787401575); +#5280=VECTOR('',#8746,39.3700787401575); +#5281=VECTOR('',#8747,39.3700787401575); +#5282=VECTOR('',#8748,39.3700787401575); +#5283=VECTOR('',#8751,39.3700787401575); +#5284=VECTOR('',#8752,39.3700787401575); +#5285=VECTOR('',#8753,39.3700787401575); +#5286=VECTOR('',#8754,39.3700787401575); +#5287=VECTOR('',#8755,39.3700787401575); +#5288=VECTOR('',#8756,39.3700787401575); +#5289=VECTOR('',#8757,39.3700787401575); +#5290=VECTOR('',#8758,39.3700787401575); +#5291=VECTOR('',#8759,39.3700787401575); +#5292=VECTOR('',#8760,39.3700787401575); +#5293=VECTOR('',#8761,39.3700787401575); +#5294=VECTOR('',#8762,39.3700787401575); +#5295=VECTOR('',#8763,39.3700787401575); +#5296=VECTOR('',#8764,39.3700787401575); +#5297=VECTOR('',#8765,39.3700787401575); +#5298=VECTOR('',#8766,39.3700787401575); +#5299=VECTOR('',#8767,39.3700787401575); +#5300=VECTOR('',#8768,39.3700787401575); +#5301=VECTOR('',#8769,39.3700787401575); +#5302=VECTOR('',#8770,39.3700787401575); +#5303=VECTOR('',#8771,39.3700787401575); +#5304=VECTOR('',#8772,39.3700787401575); +#5305=VECTOR('',#8773,39.3700787401575); +#5306=VECTOR('',#8774,39.3700787401575); +#5307=VECTOR('',#8775,39.3700787401575); +#5308=VECTOR('',#8776,39.3700787401575); +#5309=VECTOR('',#8777,39.3700787401575); +#5310=VECTOR('',#8778,39.3700787401575); +#5311=VECTOR('',#8779,39.3700787401575); +#5312=VECTOR('',#8780,39.3700787401575); +#5313=VECTOR('',#8781,39.3700787401575); +#5314=VECTOR('',#8782,39.3700787401575); +#5315=VECTOR('',#8783,39.3700787401575); +#5316=VECTOR('',#8784,39.3700787401575); +#5317=VECTOR('',#8785,39.3700787401575); +#5318=VECTOR('',#8786,39.3700787401575); +#5319=VECTOR('',#8789,39.3700787401575); +#5320=VECTOR('',#8790,39.3700787401575); +#5321=VECTOR('',#8791,39.3700787401575); +#5322=VECTOR('',#8794,39.3700787401575); +#5323=VECTOR('',#8795,39.3700787401575); +#5324=VECTOR('',#8796,39.3700787401575); +#5325=VECTOR('',#8799,39.3700787401575); +#5326=VECTOR('',#8800,39.3700787401575); +#5327=VECTOR('',#8801,39.3700787401575); +#5328=VECTOR('',#8804,39.3700787401575); +#5329=VECTOR('',#8805,39.3700787401575); +#5330=VECTOR('',#8806,39.3700787401575); +#5331=VECTOR('',#8809,39.3700787401575); +#5332=VECTOR('',#8810,39.3700787401575); +#5333=VECTOR('',#8811,39.3700787401575); +#5334=VECTOR('',#8812,39.3700787401575); +#5335=VECTOR('',#8813,39.3700787401575); +#5336=VECTOR('',#8814,39.3700787401575); +#5337=VECTOR('',#8815,39.3700787401575); +#5338=VECTOR('',#8816,39.3700787401575); +#5339=VECTOR('',#8817,39.3700787401575); +#5340=VECTOR('',#8818,39.3700787401575); +#5341=VECTOR('',#8819,39.3700787401575); +#5342=VECTOR('',#8820,39.3700787401575); +#5343=VECTOR('',#8821,39.3700787401575); +#5344=VECTOR('',#8822,39.3700787401575); +#5345=VECTOR('',#8823,39.3700787401575); +#5346=VECTOR('',#8824,39.3700787401575); +#5347=VECTOR('',#8825,39.3700787401575); +#5348=VECTOR('',#8826,39.3700787401575); +#5349=VECTOR('',#8827,39.3700787401575); +#5350=VECTOR('',#8828,39.3700787401575); +#5351=VECTOR('',#8829,39.3700787401575); +#5352=VECTOR('',#8830,39.3700787401575); +#5353=VECTOR('',#8831,39.3700787401575); +#5354=VECTOR('',#8832,39.3700787401575); +#5355=VECTOR('',#8833,39.3700787401575); +#5356=VECTOR('',#8834,39.3700787401575); +#5357=VECTOR('',#8835,39.3700787401575); +#5358=VECTOR('',#8836,39.3700787401575); +#5359=VECTOR('',#8837,39.3700787401575); +#5360=VECTOR('',#8838,39.3700787401575); +#5361=VECTOR('',#8839,39.3700787401575); +#5362=VECTOR('',#8840,39.3700787401575); +#5363=VECTOR('',#8841,39.3700787401575); +#5364=VECTOR('',#8842,39.3700787401575); +#5365=VECTOR('',#8843,39.3700787401575); +#5366=VECTOR('',#8844,39.3700787401575); +#5367=VECTOR('',#8851,39.3700787401575); +#5368=VECTOR('',#8854,39.3700787401575); +#5369=VECTOR('',#8855,39.3700787401575); +#5370=VECTOR('',#8856,39.3700787401575); +#5371=VECTOR('',#8859,39.3700787401575); +#5372=VECTOR('',#8866,39.3700787401575); +#5373=VECTOR('',#8867,39.3700787401575); +#5374=VECTOR('',#8868,39.3700787401575); +#5375=VECTOR('',#8871,39.3700787401575); +#5376=VECTOR('',#8872,39.3700787401575); +#5377=VECTOR('',#8873,39.3700787401575); +#5378=VECTOR('',#8876,39.3700787401575); +#5379=VECTOR('',#8877,39.3700787401575); +#5380=VECTOR('',#8878,39.3700787401575); +#5381=VECTOR('',#8885,39.3700787401575); +#5382=VECTOR('',#8888,39.3700787401575); +#5383=VECTOR('',#8889,39.3700787401575); +#5384=VECTOR('',#8890,39.3700787401575); +#5385=VECTOR('',#8893,39.3700787401575); +#5386=VECTOR('',#8900,39.3700787401575); +#5387=VECTOR('',#8901,39.3700787401575); +#5388=VECTOR('',#8902,39.3700787401575); +#5389=VECTOR('',#8905,39.3700787401575); +#5390=VECTOR('',#8906,39.3700787401575); +#5391=VECTOR('',#8907,39.3700787401575); +#5392=VECTOR('',#8908,39.3700787401575); +#5393=VECTOR('',#8909,39.3700787401575); +#5394=VECTOR('',#8910,39.3700787401575); +#5395=VECTOR('',#8911,39.3700787401575); +#5396=VECTOR('',#8912,39.3700787401575); +#5397=VECTOR('',#8913,39.3700787401575); +#5398=VECTOR('',#8914,39.3700787401575); +#5399=VECTOR('',#8915,39.3700787401575); +#5400=VECTOR('',#8916,39.3700787401575); +#5401=VECTOR('',#8917,39.3700787401575); +#5402=VECTOR('',#8918,39.3700787401575); +#5403=VECTOR('',#8919,39.3700787401575); +#5404=VECTOR('',#8920,39.3700787401575); +#5405=VECTOR('',#8921,39.3700787401575); +#5406=VECTOR('',#8922,39.3700787401575); +#5407=VECTOR('',#8923,39.3700787401575); +#5408=VECTOR('',#8924,39.3700787401575); +#5409=VECTOR('',#8925,39.3700787401575); +#5410=VECTOR('',#8926,39.3700787401575); +#5411=VECTOR('',#8927,39.3700787401575); +#5412=VECTOR('',#8928,39.3700787401575); +#5413=VECTOR('',#8929,39.3700787401575); +#5414=VECTOR('',#8930,39.3700787401575); +#5415=VECTOR('',#8931,39.3700787401575); +#5416=VECTOR('',#8932,39.3700787401575); +#5417=VECTOR('',#8933,39.3700787401575); +#5418=VECTOR('',#8936,39.3700787401575); +#5419=VECTOR('',#8937,39.3700787401575); +#5420=VECTOR('',#8938,39.3700787401575); +#5421=VECTOR('',#8945,39.3700787401575); +#5422=VECTOR('',#8948,39.3700787401575); +#5423=VECTOR('',#8949,39.3700787401575); +#5424=VECTOR('',#8950,39.3700787401575); +#5425=VECTOR('',#8961,39.3700787401575); +#5426=VECTOR('',#8962,39.3700787401575); +#5427=VECTOR('',#8973,39.3700787401575); +#5428=VECTOR('',#8978,39.3700787401575); +#5429=VECTOR('',#9031,39.3700787401575); +#5430=VECTOR('',#9034,39.3700787401575); +#5431=VECTOR('',#9041,39.3700787401575); +#5432=VECTOR('',#9044,39.3700787401575); +#5433=VECTOR('',#9051,39.3700787401575); +#5434=VECTOR('',#9054,39.3700787401575); +#5435=VECTOR('',#9061,39.3700787401575); +#5436=VECTOR('',#9064,39.3700787401575); +#5437=VECTOR('',#9071,39.3700787401575); +#5438=VECTOR('',#9074,39.3700787401575); +#5439=VECTOR('',#9081,39.3700787401575); +#5440=VECTOR('',#9084,39.3700787401575); +#5441=VECTOR('',#9091,39.3700787401575); +#5442=VECTOR('',#9094,39.3700787401575); +#5443=VECTOR('',#9101,39.3700787401575); +#5444=VECTOR('',#9104,39.3700787401575); +#5445=VECTOR('',#9111,39.3700787401575); +#5446=VECTOR('',#9114,39.3700787401575); +#5447=VECTOR('',#9121,39.3700787401575); +#5448=VECTOR('',#9124,39.3700787401575); +#5449=VECTOR('',#9131,39.3700787401575); +#5450=VECTOR('',#9134,39.3700787401575); +#5451=VECTOR('',#9141,39.3700787401575); +#5452=VECTOR('',#9144,39.3700787401575); +#5453=EDGE_LOOP('',(#209,#210,#211,#212)); +#5454=EDGE_LOOP('',(#213)); +#5455=EDGE_LOOP('',(#214)); +#5456=EDGE_LOOP('',(#215,#216,#217,#218)); +#5457=EDGE_LOOP('',(#219,#220,#221,#222)); +#5458=EDGE_LOOP('',(#223,#224,#225,#226)); +#5459=EDGE_LOOP('',(#227,#228,#229,#230)); +#5460=EDGE_LOOP('',(#231,#232,#233,#234)); +#5461=EDGE_LOOP('',(#235,#236,#237,#238)); +#5462=EDGE_LOOP('',(#239,#240,#241,#242)); +#5463=EDGE_LOOP('',(#243,#244,#245,#246)); +#5464=EDGE_LOOP('',(#247,#248,#249,#250)); +#5465=EDGE_LOOP('',(#251,#252,#253,#254)); +#5466=EDGE_LOOP('',(#255,#256,#257,#258)); +#5467=EDGE_LOOP('',(#259,#260,#261,#262)); +#5468=EDGE_LOOP('',(#263,#264,#265,#266)); +#5469=EDGE_LOOP('',(#267,#268,#269,#270)); +#5470=EDGE_LOOP('',(#271,#272,#273,#274)); +#5471=EDGE_LOOP('',(#275,#276,#277,#278)); +#5472=EDGE_LOOP('',(#279,#280,#281,#282)); +#5473=EDGE_LOOP('',(#283,#284,#285,#286)); +#5474=EDGE_LOOP('',(#287,#288,#289,#290)); +#5475=EDGE_LOOP('',(#291,#292,#293,#294)); +#5476=EDGE_LOOP('',(#295,#296,#297,#298)); +#5477=EDGE_LOOP('',(#299,#300,#301,#302)); +#5478=EDGE_LOOP('',(#303,#304,#305,#306)); +#5479=EDGE_LOOP('',(#307,#308,#309,#310)); +#5480=EDGE_LOOP('',(#311,#312,#313,#314)); +#5481=EDGE_LOOP('',(#315,#316,#317,#318)); +#5482=EDGE_LOOP('',(#319,#320,#321,#322)); +#5483=EDGE_LOOP('',(#323,#324,#325,#326)); +#5484=EDGE_LOOP('',(#327,#328,#329,#330)); +#5485=EDGE_LOOP('',(#331,#332,#333,#334)); +#5486=EDGE_LOOP('',(#335,#336,#337,#338)); +#5487=EDGE_LOOP('',(#339,#340,#341,#342)); +#5488=EDGE_LOOP('',(#343,#344,#345,#346)); +#5489=EDGE_LOOP('',(#347,#348,#349,#350)); +#5490=EDGE_LOOP('',(#351,#352,#353,#354)); +#5491=EDGE_LOOP('',(#355,#356,#357,#358)); +#5492=EDGE_LOOP('',(#359,#360,#361,#362)); +#5493=EDGE_LOOP('',(#363,#364,#365,#366)); +#5494=EDGE_LOOP('',(#367,#368,#369,#370)); +#5495=EDGE_LOOP('',(#371,#372,#373,#374)); +#5496=EDGE_LOOP('',(#375,#376,#377,#378)); +#5497=EDGE_LOOP('',(#379,#380,#381,#382)); +#5498=EDGE_LOOP('',(#383,#384,#385,#386)); +#5499=EDGE_LOOP('',(#387,#388,#389,#390)); +#5500=EDGE_LOOP('',(#391,#392,#393,#394)); +#5501=EDGE_LOOP('',(#395,#396,#397,#398)); +#5502=EDGE_LOOP('',(#399,#400,#401,#402)); +#5503=EDGE_LOOP('',(#403,#404,#405,#406)); +#5504=EDGE_LOOP('',(#407,#408,#409,#410)); +#5505=EDGE_LOOP('',(#411,#412,#413,#414)); +#5506=EDGE_LOOP('',(#415,#416,#417,#418)); +#5507=EDGE_LOOP('',(#419,#420,#421,#422)); +#5508=EDGE_LOOP('',(#423,#424,#425,#426)); +#5509=EDGE_LOOP('',(#427,#428,#429,#430)); +#5510=EDGE_LOOP('',(#431,#432,#433,#434)); +#5511=EDGE_LOOP('',(#435,#436,#437,#438)); +#5512=EDGE_LOOP('',(#439,#440,#441,#442)); +#5513=EDGE_LOOP('',(#443,#444,#445,#446)); +#5514=EDGE_LOOP('',(#447,#448,#449,#450)); +#5515=EDGE_LOOP('',(#451,#452,#453,#454)); +#5516=EDGE_LOOP('',(#455,#456,#457,#458)); +#5517=EDGE_LOOP('',(#459,#460,#461,#462)); +#5518=EDGE_LOOP('',(#463,#464,#465,#466)); +#5519=EDGE_LOOP('',(#467,#468,#469,#470)); +#5520=EDGE_LOOP('',(#471,#472,#473,#474)); +#5521=EDGE_LOOP('',(#475,#476,#477,#478)); +#5522=EDGE_LOOP('',(#479,#480,#481,#482)); +#5523=EDGE_LOOP('',(#483,#484,#485,#486)); +#5524=EDGE_LOOP('',(#487,#488,#489,#490)); +#5525=EDGE_LOOP('',(#491,#492,#493,#494)); +#5526=EDGE_LOOP('',(#495,#496,#497,#498)); +#5527=EDGE_LOOP('',(#499,#500,#501,#502)); +#5528=EDGE_LOOP('',(#503,#504,#505,#506)); +#5529=EDGE_LOOP('',(#507,#508,#509,#510)); +#5530=EDGE_LOOP('',(#511,#512,#513,#514)); +#5531=EDGE_LOOP('',(#515,#516,#517,#518)); +#5532=EDGE_LOOP('',(#519,#520,#521,#522)); +#5533=EDGE_LOOP('',(#523,#524,#525,#526)); +#5534=EDGE_LOOP('',(#527,#528,#529,#530)); +#5535=EDGE_LOOP('',(#531,#532,#533,#534)); +#5536=EDGE_LOOP('',(#535,#536,#537,#538)); +#5537=EDGE_LOOP('',(#539,#540,#541,#542)); +#5538=EDGE_LOOP('',(#543,#544,#545,#546)); +#5539=EDGE_LOOP('',(#547,#548,#549,#550)); +#5540=EDGE_LOOP('',(#551,#552,#553,#554)); +#5541=EDGE_LOOP('',(#555,#556,#557,#558)); +#5542=EDGE_LOOP('',(#559,#560,#561,#562)); +#5543=EDGE_LOOP('',(#563,#564,#565,#566)); +#5544=EDGE_LOOP('',(#567,#568,#569,#570)); +#5545=EDGE_LOOP('',(#571)); +#5546=EDGE_LOOP('',(#572)); +#5547=EDGE_LOOP('',(#573)); +#5548=EDGE_LOOP('',(#574)); +#5549=EDGE_LOOP('',(#575)); +#5550=EDGE_LOOP('',(#576)); +#5551=EDGE_LOOP('',(#577)); +#5552=EDGE_LOOP('',(#578)); +#5553=EDGE_LOOP('',(#579)); +#5554=EDGE_LOOP('',(#580)); +#5555=EDGE_LOOP('',(#581,#582,#583,#584)); +#5556=EDGE_LOOP('',(#585,#586,#587,#588)); +#5557=EDGE_LOOP('',(#589,#590,#591,#592)); +#5558=EDGE_LOOP('',(#593,#594,#595,#596)); +#5559=EDGE_LOOP('',(#597,#598,#599,#600)); +#5560=EDGE_LOOP('',(#601,#602,#603,#604)); +#5561=EDGE_LOOP('',(#605,#606,#607,#608)); +#5562=EDGE_LOOP('',(#609,#610,#611,#612)); +#5563=EDGE_LOOP('',(#613,#614,#615,#616)); +#5564=EDGE_LOOP('',(#617,#618,#619,#620)); +#5565=EDGE_LOOP('',(#621,#622,#623,#624)); +#5566=EDGE_LOOP('',(#625,#626,#627,#628)); +#5567=EDGE_LOOP('',(#629,#630,#631,#632)); +#5568=EDGE_LOOP('',(#633,#634,#635,#636)); +#5569=EDGE_LOOP('',(#637,#638,#639,#640)); +#5570=EDGE_LOOP('',(#641,#642,#643,#644)); +#5571=EDGE_LOOP('',(#645,#646,#647,#648)); +#5572=EDGE_LOOP('',(#649,#650,#651,#652)); +#5573=EDGE_LOOP('',(#653,#654,#655,#656)); +#5574=EDGE_LOOP('',(#657,#658,#659,#660)); +#5575=EDGE_LOOP('',(#661,#662,#663,#664)); +#5576=EDGE_LOOP('',(#665,#666,#667,#668)); +#5577=EDGE_LOOP('',(#669,#670,#671,#672)); +#5578=EDGE_LOOP('',(#673,#674,#675,#676)); +#5579=EDGE_LOOP('',(#677,#678,#679,#680)); +#5580=EDGE_LOOP('',(#681,#682,#683,#684)); +#5581=EDGE_LOOP('',(#685,#686,#687,#688)); +#5582=EDGE_LOOP('',(#689,#690,#691,#692)); +#5583=EDGE_LOOP('',(#693,#694,#695,#696)); +#5584=EDGE_LOOP('',(#697,#698,#699,#700)); +#5585=EDGE_LOOP('',(#701,#702,#703,#704)); +#5586=EDGE_LOOP('',(#705,#706,#707,#708)); +#5587=EDGE_LOOP('',(#709,#710,#711,#712)); +#5588=EDGE_LOOP('',(#713,#714,#715,#716)); +#5589=EDGE_LOOP('',(#717,#718,#719,#720)); +#5590=EDGE_LOOP('',(#721,#722,#723,#724)); +#5591=EDGE_LOOP('',(#725,#726,#727,#728)); +#5592=EDGE_LOOP('',(#729,#730,#731,#732)); +#5593=EDGE_LOOP('',(#733,#734,#735,#736)); +#5594=EDGE_LOOP('',(#737,#738,#739,#740)); +#5595=EDGE_LOOP('',(#741,#742,#743,#744)); +#5596=EDGE_LOOP('',(#745,#746,#747,#748)); +#5597=EDGE_LOOP('',(#749,#750,#751,#752)); +#5598=EDGE_LOOP('',(#753,#754,#755,#756)); +#5599=EDGE_LOOP('',(#757,#758,#759,#760)); +#5600=EDGE_LOOP('',(#761,#762,#763,#764)); +#5601=EDGE_LOOP('',(#765,#766,#767,#768)); +#5602=EDGE_LOOP('',(#769,#770,#771,#772)); +#5603=EDGE_LOOP('',(#773,#774,#775,#776)); +#5604=EDGE_LOOP('',(#777,#778,#779,#780)); +#5605=EDGE_LOOP('',(#781,#782,#783,#784)); +#5606=EDGE_LOOP('',(#785,#786,#787,#788)); +#5607=EDGE_LOOP('',(#789,#790,#791,#792)); +#5608=EDGE_LOOP('',(#793,#794,#795,#796)); +#5609=EDGE_LOOP('',(#797,#798,#799,#800)); +#5610=EDGE_LOOP('',(#801,#802,#803,#804)); +#5611=EDGE_LOOP('',(#805,#806,#807,#808)); +#5612=EDGE_LOOP('',(#809,#810,#811,#812)); +#5613=EDGE_LOOP('',(#813,#814,#815,#816)); +#5614=EDGE_LOOP('',(#817,#818,#819,#820)); +#5615=EDGE_LOOP('',(#821,#822,#823,#824)); +#5616=EDGE_LOOP('',(#825,#826,#827,#828)); +#5617=EDGE_LOOP('',(#829,#830,#831,#832)); +#5618=EDGE_LOOP('',(#833,#834,#835,#836)); +#5619=EDGE_LOOP('',(#837,#838,#839,#840)); +#5620=EDGE_LOOP('',(#841,#842,#843,#844)); +#5621=EDGE_LOOP('',(#845,#846,#847,#848)); +#5622=EDGE_LOOP('',(#849,#850,#851,#852)); +#5623=EDGE_LOOP('',(#853,#854,#855,#856)); +#5624=EDGE_LOOP('',(#857,#858,#859,#860)); +#5625=EDGE_LOOP('',(#861,#862,#863,#864)); +#5626=EDGE_LOOP('',(#865,#866,#867,#868)); +#5627=EDGE_LOOP('',(#869,#870,#871,#872)); +#5628=EDGE_LOOP('',(#873,#874,#875,#876)); +#5629=EDGE_LOOP('',(#877,#878,#879,#880)); +#5630=EDGE_LOOP('',(#881,#882,#883,#884)); +#5631=EDGE_LOOP('',(#885,#886,#887,#888)); +#5632=EDGE_LOOP('',(#889,#890,#891,#892)); +#5633=EDGE_LOOP('',(#893,#894,#895,#896)); +#5634=EDGE_LOOP('',(#897,#898,#899,#900)); +#5635=EDGE_LOOP('',(#901,#902,#903,#904)); +#5636=EDGE_LOOP('',(#905,#906,#907,#908)); +#5637=EDGE_LOOP('',(#909,#910,#911,#912)); +#5638=EDGE_LOOP('',(#913,#914,#915,#916)); +#5639=EDGE_LOOP('',(#917,#918,#919,#920)); +#5640=EDGE_LOOP('',(#921,#922,#923,#924)); +#5641=EDGE_LOOP('',(#925,#926,#927,#928)); +#5642=EDGE_LOOP('',(#929,#930,#931,#932)); +#5643=EDGE_LOOP('',(#933,#934,#935,#936)); +#5644=EDGE_LOOP('',(#937,#938,#939,#940)); +#5645=EDGE_LOOP('',(#941,#942,#943,#944)); +#5646=EDGE_LOOP('',(#945,#946,#947,#948)); +#5647=EDGE_LOOP('',(#949,#950,#951,#952)); +#5648=EDGE_LOOP('',(#953,#954,#955,#956)); +#5649=EDGE_LOOP('',(#957,#958,#959,#960)); +#5650=EDGE_LOOP('',(#961,#962,#963,#964)); +#5651=EDGE_LOOP('',(#965,#966,#967,#968)); +#5652=EDGE_LOOP('',(#969,#970,#971,#972)); +#5653=EDGE_LOOP('',(#973,#974,#975,#976)); +#5654=EDGE_LOOP('',(#977,#978,#979,#980)); +#5655=EDGE_LOOP('',(#981,#982,#983,#984)); +#5656=EDGE_LOOP('',(#985,#986,#987,#988)); +#5657=EDGE_LOOP('',(#989,#990,#991,#992)); +#5658=EDGE_LOOP('',(#993,#994,#995,#996)); +#5659=EDGE_LOOP('',(#997,#998,#999,#1000)); +#5660=EDGE_LOOP('',(#1001,#1002,#1003,#1004)); +#5661=EDGE_LOOP('',(#1005,#1006,#1007,#1008)); +#5662=EDGE_LOOP('',(#1009,#1010,#1011,#1012)); +#5663=EDGE_LOOP('',(#1013,#1014,#1015,#1016)); +#5664=EDGE_LOOP('',(#1017,#1018,#1019,#1020)); +#5665=EDGE_LOOP('',(#1021,#1022,#1023,#1024)); +#5666=EDGE_LOOP('',(#1025,#1026,#1027,#1028)); +#5667=EDGE_LOOP('',(#1029,#1030,#1031,#1032)); +#5668=EDGE_LOOP('',(#1033,#1034,#1035,#1036)); +#5669=EDGE_LOOP('',(#1037,#1038,#1039,#1040)); +#5670=EDGE_LOOP('',(#1041,#1042,#1043,#1044)); +#5671=EDGE_LOOP('',(#1045,#1046,#1047,#1048)); +#5672=EDGE_LOOP('',(#1049,#1050,#1051,#1052)); +#5673=EDGE_LOOP('',(#1053,#1054,#1055,#1056)); +#5674=EDGE_LOOP('',(#1057,#1058,#1059,#1060)); +#5675=EDGE_LOOP('',(#1061,#1062,#1063,#1064)); +#5676=EDGE_LOOP('',(#1065,#1066,#1067,#1068)); +#5677=EDGE_LOOP('',(#1069,#1070,#1071,#1072)); +#5678=EDGE_LOOP('',(#1073,#1074,#1075,#1076)); +#5679=EDGE_LOOP('',(#1077,#1078,#1079,#1080)); +#5680=EDGE_LOOP('',(#1081,#1082,#1083,#1084)); +#5681=EDGE_LOOP('',(#1085,#1086,#1087,#1088)); +#5682=EDGE_LOOP('',(#1089,#1090,#1091,#1092)); +#5683=EDGE_LOOP('',(#1093,#1094,#1095,#1096)); +#5684=EDGE_LOOP('',(#1097,#1098,#1099,#1100)); +#5685=EDGE_LOOP('',(#1101,#1102,#1103,#1104)); +#5686=EDGE_LOOP('',(#1105,#1106,#1107,#1108)); +#5687=EDGE_LOOP('',(#1109,#1110,#1111,#1112)); +#5688=EDGE_LOOP('',(#1113,#1114,#1115,#1116)); +#5689=EDGE_LOOP('',(#1117,#1118,#1119,#1120)); +#5690=EDGE_LOOP('',(#1121,#1122,#1123,#1124)); +#5691=EDGE_LOOP('',(#1125,#1126,#1127,#1128)); +#5692=EDGE_LOOP('',(#1129,#1130,#1131,#1132)); +#5693=EDGE_LOOP('',(#1133,#1134,#1135,#1136)); +#5694=EDGE_LOOP('',(#1137,#1138,#1139,#1140)); +#5695=EDGE_LOOP('',(#1141,#1142,#1143,#1144)); +#5696=EDGE_LOOP('',(#1145,#1146,#1147,#1148)); +#5697=EDGE_LOOP('',(#1149,#1150,#1151,#1152)); +#5698=EDGE_LOOP('',(#1153,#1154,#1155,#1156)); +#5699=EDGE_LOOP('',(#1157,#1158,#1159,#1160)); +#5700=EDGE_LOOP('',(#1161,#1162,#1163,#1164)); +#5701=EDGE_LOOP('',(#1165,#1166,#1167,#1168)); +#5702=EDGE_LOOP('',(#1169,#1170,#1171,#1172)); +#5703=EDGE_LOOP('',(#1173,#1174,#1175,#1176)); +#5704=EDGE_LOOP('',(#1177,#1178,#1179,#1180)); +#5705=EDGE_LOOP('',(#1181,#1182,#1183,#1184)); +#5706=EDGE_LOOP('',(#1185,#1186,#1187,#1188)); +#5707=EDGE_LOOP('',(#1189,#1190,#1191,#1192)); +#5708=EDGE_LOOP('',(#1193,#1194,#1195,#1196)); +#5709=EDGE_LOOP('',(#1197,#1198,#1199,#1200)); +#5710=EDGE_LOOP('',(#1201,#1202,#1203,#1204)); +#5711=EDGE_LOOP('',(#1205,#1206,#1207,#1208)); +#5712=EDGE_LOOP('',(#1209,#1210,#1211,#1212)); +#5713=EDGE_LOOP('',(#1213,#1214,#1215,#1216)); +#5714=EDGE_LOOP('',(#1217,#1218,#1219,#1220)); +#5715=EDGE_LOOP('',(#1221,#1222,#1223,#1224)); +#5716=EDGE_LOOP('',(#1225,#1226,#1227,#1228)); +#5717=EDGE_LOOP('',(#1229,#1230,#1231,#1232)); +#5718=EDGE_LOOP('',(#1233,#1234,#1235,#1236)); +#5719=EDGE_LOOP('',(#1237,#1238,#1239,#1240)); +#5720=EDGE_LOOP('',(#1241,#1242,#1243,#1244)); +#5721=EDGE_LOOP('',(#1245,#1246,#1247,#1248)); +#5722=EDGE_LOOP('',(#1249,#1250,#1251,#1252)); +#5723=EDGE_LOOP('',(#1253,#1254,#1255,#1256)); +#5724=EDGE_LOOP('',(#1257,#1258,#1259,#1260)); +#5725=EDGE_LOOP('',(#1261,#1262,#1263,#1264)); +#5726=EDGE_LOOP('',(#1265,#1266,#1267,#1268)); +#5727=EDGE_LOOP('',(#1269,#1270,#1271,#1272)); +#5728=EDGE_LOOP('',(#1273,#1274,#1275,#1276)); +#5729=EDGE_LOOP('',(#1277,#1278,#1279,#1280,#1281,#1282,#1283,#1284,#1285, +#1286,#1287,#1288,#1289,#1290,#1291,#1292,#1293,#1294,#1295,#1296,#1297, +#1298,#1299,#1300,#1301,#1302,#1303,#1304,#1305,#1306,#1307,#1308,#1309, +#1310,#1311,#1312,#1313,#1314,#1315,#1316,#1317,#1318,#1319,#1320,#1321, +#1322,#1323,#1324,#1325,#1326,#1327,#1328,#1329,#1330,#1331,#1332)); +#5730=EDGE_LOOP('',(#1333,#1334,#1335,#1336)); +#5731=EDGE_LOOP('',(#1337,#1338,#1339,#1340)); +#5732=EDGE_LOOP('',(#1341,#1342,#1343,#1344)); +#5733=EDGE_LOOP('',(#1345,#1346,#1347,#1348)); +#5734=EDGE_LOOP('',(#1349,#1350,#1351,#1352,#1353,#1354,#1355,#1356,#1357, +#1358,#1359,#1360,#1361,#1362,#1363,#1364,#1365,#1366,#1367,#1368,#1369, +#1370,#1371,#1372,#1373,#1374,#1375,#1376,#1377,#1378,#1379,#1380,#1381, +#1382,#1383,#1384,#1385,#1386,#1387,#1388,#1389,#1390,#1391,#1392,#1393, +#1394,#1395,#1396,#1397,#1398,#1399,#1400,#1401,#1402,#1403,#1404)); +#5735=EDGE_LOOP('',(#1405,#1406,#1407,#1408)); +#5736=EDGE_LOOP('',(#1409,#1410,#1411,#1412)); +#5737=EDGE_LOOP('',(#1413,#1414,#1415,#1416)); +#5738=EDGE_LOOP('',(#1417,#1418,#1419,#1420)); +#5739=EDGE_LOOP('',(#1421,#1422,#1423,#1424,#1425,#1426,#1427,#1428,#1429, +#1430,#1431,#1432,#1433,#1434,#1435,#1436,#1437,#1438,#1439,#1440,#1441, +#1442,#1443,#1444,#1445,#1446,#1447,#1448,#1449,#1450,#1451,#1452,#1453, +#1454,#1455,#1456,#1457,#1458,#1459,#1460,#1461,#1462,#1463,#1464,#1465, +#1466,#1467,#1468,#1469,#1470,#1471,#1472,#1473,#1474,#1475,#1476)); +#5740=EDGE_LOOP('',(#1477,#1478,#1479,#1480)); +#5741=EDGE_LOOP('',(#1481,#1482,#1483,#1484)); +#5742=EDGE_LOOP('',(#1485,#1486,#1487,#1488)); +#5743=EDGE_LOOP('',(#1489,#1490,#1491,#1492)); +#5744=EDGE_LOOP('',(#1493,#1494,#1495,#1496)); +#5745=EDGE_LOOP('',(#1497,#1498,#1499,#1500)); +#5746=EDGE_LOOP('',(#1501,#1502,#1503,#1504)); +#5747=EDGE_LOOP('',(#1505,#1506,#1507,#1508)); +#5748=EDGE_LOOP('',(#1509,#1510,#1511,#1512)); +#5749=EDGE_LOOP('',(#1513,#1514,#1515,#1516)); +#5750=EDGE_LOOP('',(#1517,#1518,#1519,#1520,#1521,#1522,#1523,#1524,#1525, +#1526,#1527,#1528,#1529,#1530,#1531,#1532,#1533,#1534,#1535,#1536,#1537, +#1538,#1539,#1540,#1541,#1542,#1543,#1544,#1545,#1546,#1547,#1548,#1549, +#1550,#1551,#1552,#1553,#1554,#1555,#1556,#1557,#1558,#1559,#1560,#1561, +#1562,#1563,#1564,#1565,#1566,#1567,#1568,#1569,#1570,#1571,#1572)); +#5751=EDGE_LOOP('',(#1573,#1574,#1575,#1576)); +#5752=EDGE_LOOP('',(#1577,#1578,#1579,#1580)); +#5753=EDGE_LOOP('',(#1581,#1582,#1583,#1584)); +#5754=EDGE_LOOP('',(#1585,#1586,#1587,#1588)); +#5755=EDGE_LOOP('',(#1589,#1590,#1591,#1592)); +#5756=EDGE_LOOP('',(#1593,#1594,#1595,#1596,#1597,#1598,#1599,#1600,#1601, +#1602,#1603,#1604,#1605,#1606,#1607,#1608,#1609,#1610,#1611,#1612,#1613, +#1614,#1615,#1616,#1617,#1618,#1619,#1620)); +#5757=EDGE_LOOP('',(#1621,#1622,#1623,#1624)); +#5758=EDGE_LOOP('',(#1625,#1626,#1627,#1628)); +#5759=EDGE_LOOP('',(#1629,#1630,#1631,#1632,#1633,#1634,#1635,#1636,#1637, +#1638,#1639,#1640)); +#5760=EDGE_LOOP('',(#1641,#1642,#1643,#1644,#1645,#1646,#1647,#1648,#1649, +#1650,#1651,#1652)); +#5761=EDGE_LOOP('',(#1653,#1654,#1655,#1656)); +#5762=EDGE_LOOP('',(#1657,#1658,#1659,#1660)); +#5763=EDGE_LOOP('',(#1661,#1662,#1663,#1664)); +#5764=EDGE_LOOP('',(#1665,#1666,#1667,#1668)); +#5765=EDGE_LOOP('',(#1669,#1670,#1671,#1672,#1673,#1674,#1675,#1676,#1677, +#1678,#1679,#1680)); +#5766=EDGE_LOOP('',(#1681,#1682,#1683,#1684,#1685,#1686,#1687,#1688,#1689, +#1690,#1691,#1692)); +#5767=EDGE_LOOP('',(#1693,#1694,#1695,#1696,#1697,#1698,#1699,#1700,#1701, +#1702,#1703,#1704)); +#5768=EDGE_LOOP('',(#1705,#1706,#1707,#1708,#1709,#1710,#1711,#1712,#1713, +#1714,#1715,#1716)); +#5769=EDGE_LOOP('',(#1717,#1718,#1719,#1720,#1721,#1722,#1723,#1724,#1725, +#1726,#1727,#1728)); +#5770=EDGE_LOOP('',(#1729,#1730,#1731,#1732,#1733,#1734,#1735,#1736,#1737, +#1738,#1739,#1740)); +#5771=EDGE_LOOP('',(#1741,#1742,#1743,#1744,#1745,#1746,#1747,#1748,#1749, +#1750,#1751,#1752)); +#5772=EDGE_LOOP('',(#1753,#1754,#1755,#1756,#1757,#1758,#1759,#1760,#1761, +#1762,#1763,#1764)); +#5773=EDGE_LOOP('',(#1765,#1766,#1767,#1768,#1769,#1770,#1771,#1772,#1773, +#1774,#1775,#1776)); +#5774=EDGE_LOOP('',(#1777,#1778,#1779,#1780,#1781,#1782,#1783,#1784,#1785, +#1786,#1787,#1788)); +#5775=EDGE_LOOP('',(#1789,#1790,#1791,#1792,#1793,#1794,#1795,#1796,#1797, +#1798,#1799,#1800)); +#5776=EDGE_LOOP('',(#1801,#1802,#1803,#1804,#1805,#1806,#1807,#1808,#1809, +#1810,#1811,#1812)); +#5777=EDGE_LOOP('',(#1813,#1814,#1815,#1816,#1817,#1818,#1819,#1820,#1821, +#1822,#1823,#1824)); +#5778=EDGE_LOOP('',(#1825,#1826,#1827,#1828,#1829,#1830,#1831,#1832,#1833, +#1834,#1835,#1836)); +#5779=EDGE_LOOP('',(#1837,#1838,#1839,#1840,#1841,#1842,#1843,#1844,#1845, +#1846,#1847,#1848)); +#5780=EDGE_LOOP('',(#1849,#1850,#1851,#1852,#1853,#1854,#1855,#1856,#1857, +#1858,#1859,#1860)); +#5781=EDGE_LOOP('',(#1861,#1862,#1863,#1864,#1865,#1866,#1867,#1868,#1869, +#1870,#1871,#1872)); +#5782=EDGE_LOOP('',(#1873,#1874,#1875,#1876,#1877,#1878,#1879,#1880,#1881, +#1882,#1883,#1884)); +#5783=EDGE_LOOP('',(#1885,#1886,#1887,#1888,#1889,#1890,#1891,#1892,#1893, +#1894,#1895,#1896)); +#5784=EDGE_LOOP('',(#1897,#1898,#1899,#1900,#1901,#1902,#1903,#1904,#1905, +#1906,#1907,#1908)); +#5785=EDGE_LOOP('',(#1909,#1910,#1911,#1912,#1913,#1914,#1915,#1916,#1917, +#1918,#1919,#1920)); +#5786=EDGE_LOOP('',(#1921,#1922,#1923,#1924,#1925,#1926,#1927,#1928,#1929, +#1930,#1931,#1932)); +#5787=EDGE_LOOP('',(#1933,#1934,#1935,#1936,#1937,#1938,#1939,#1940,#1941, +#1942,#1943,#1944)); +#5788=EDGE_LOOP('',(#1945,#1946,#1947,#1948,#1949,#1950,#1951,#1952,#1953, +#1954,#1955,#1956)); +#5789=EDGE_LOOP('',(#1957,#1958,#1959,#1960)); +#5790=EDGE_LOOP('',(#1961,#1962,#1963,#1964)); +#5791=EDGE_LOOP('',(#1965,#1966,#1967,#1968)); +#5792=EDGE_LOOP('',(#1969,#1970,#1971,#1972)); +#5793=EDGE_LOOP('',(#1973,#1974,#1975,#1976)); +#5794=EDGE_LOOP('',(#1977,#1978,#1979,#1980)); +#5795=EDGE_LOOP('',(#1981,#1982,#1983,#1984)); +#5796=EDGE_LOOP('',(#1985,#1986,#1987,#1988)); +#5797=EDGE_LOOP('',(#1989,#1990,#1991,#1992)); +#5798=EDGE_LOOP('',(#1993,#1994,#1995,#1996)); +#5799=EDGE_LOOP('',(#1997,#1998,#1999,#2000)); +#5800=EDGE_LOOP('',(#2001,#2002,#2003,#2004)); +#5801=EDGE_LOOP('',(#2005,#2006,#2007,#2008)); +#5802=EDGE_LOOP('',(#2009,#2010,#2011,#2012)); +#5803=EDGE_LOOP('',(#2013,#2014,#2015,#2016)); +#5804=EDGE_LOOP('',(#2017,#2018,#2019,#2020)); +#5805=EDGE_LOOP('',(#2021,#2022,#2023,#2024)); +#5806=EDGE_LOOP('',(#2025,#2026,#2027,#2028)); +#5807=EDGE_LOOP('',(#2029,#2030,#2031,#2032)); +#5808=EDGE_LOOP('',(#2033,#2034,#2035,#2036)); +#5809=EDGE_LOOP('',(#2037,#2038,#2039,#2040)); +#5810=EDGE_LOOP('',(#2041,#2042,#2043,#2044)); +#5811=EDGE_LOOP('',(#2045,#2046,#2047,#2048)); +#5812=EDGE_LOOP('',(#2049,#2050,#2051,#2052)); +#5813=EDGE_LOOP('',(#2053,#2054,#2055,#2056)); +#5814=EDGE_LOOP('',(#2057,#2058,#2059,#2060)); +#5815=EDGE_LOOP('',(#2061,#2062,#2063,#2064)); +#5816=EDGE_LOOP('',(#2065,#2066,#2067,#2068)); +#5817=EDGE_LOOP('',(#2069,#2070,#2071,#2072)); +#5818=EDGE_LOOP('',(#2073,#2074,#2075,#2076)); +#5819=EDGE_LOOP('',(#2077,#2078,#2079,#2080)); +#5820=EDGE_LOOP('',(#2081,#2082,#2083,#2084)); +#5821=EDGE_LOOP('',(#2085,#2086,#2087,#2088)); +#5822=EDGE_LOOP('',(#2089,#2090,#2091,#2092)); +#5823=EDGE_LOOP('',(#2093,#2094,#2095,#2096)); +#5824=EDGE_LOOP('',(#2097,#2098,#2099,#2100)); +#5825=EDGE_LOOP('',(#2101,#2102,#2103,#2104)); +#5826=EDGE_LOOP('',(#2105,#2106,#2107,#2108)); +#5827=EDGE_LOOP('',(#2109,#2110,#2111,#2112)); +#5828=EDGE_LOOP('',(#2113,#2114,#2115,#2116)); +#5829=EDGE_LOOP('',(#2117,#2118,#2119,#2120)); +#5830=EDGE_LOOP('',(#2121,#2122,#2123,#2124)); +#5831=EDGE_LOOP('',(#2125,#2126,#2127,#2128)); +#5832=EDGE_LOOP('',(#2129,#2130,#2131,#2132)); +#5833=EDGE_LOOP('',(#2133,#2134,#2135,#2136)); +#5834=EDGE_LOOP('',(#2137,#2138,#2139,#2140)); +#5835=EDGE_LOOP('',(#2141,#2142,#2143,#2144)); +#5836=EDGE_LOOP('',(#2145,#2146,#2147,#2148)); +#5837=FACE_BOUND('',#5453,.T.); +#5838=FACE_BOUND('',#5454,.T.); +#5839=FACE_BOUND('',#5455,.T.); +#5840=FACE_BOUND('',#5456,.T.); +#5841=FACE_BOUND('',#5457,.T.); +#5842=FACE_BOUND('',#5458,.T.); +#5843=FACE_BOUND('',#5459,.T.); +#5844=FACE_BOUND('',#5460,.T.); +#5845=FACE_BOUND('',#5461,.T.); +#5846=FACE_BOUND('',#5462,.T.); +#5847=FACE_BOUND('',#5463,.T.); +#5848=FACE_BOUND('',#5464,.T.); +#5849=FACE_BOUND('',#5465,.T.); +#5850=FACE_BOUND('',#5466,.T.); +#5851=FACE_BOUND('',#5467,.T.); +#5852=FACE_BOUND('',#5468,.T.); +#5853=FACE_BOUND('',#5469,.T.); +#5854=FACE_BOUND('',#5470,.T.); +#5855=FACE_BOUND('',#5471,.T.); +#5856=FACE_BOUND('',#5472,.T.); +#5857=FACE_BOUND('',#5473,.T.); +#5858=FACE_BOUND('',#5474,.T.); +#5859=FACE_BOUND('',#5475,.T.); +#5860=FACE_BOUND('',#5476,.T.); +#5861=FACE_BOUND('',#5477,.T.); +#5862=FACE_BOUND('',#5478,.T.); +#5863=FACE_BOUND('',#5479,.T.); +#5864=FACE_BOUND('',#5480,.T.); +#5865=FACE_BOUND('',#5481,.T.); +#5866=FACE_BOUND('',#5482,.T.); +#5867=FACE_BOUND('',#5483,.T.); +#5868=FACE_BOUND('',#5484,.T.); +#5869=FACE_BOUND('',#5485,.T.); +#5870=FACE_BOUND('',#5486,.T.); +#5871=FACE_BOUND('',#5487,.T.); +#5872=FACE_BOUND('',#5488,.T.); +#5873=FACE_BOUND('',#5489,.T.); +#5874=FACE_BOUND('',#5490,.T.); +#5875=FACE_BOUND('',#5491,.T.); +#5876=FACE_BOUND('',#5492,.T.); +#5877=FACE_BOUND('',#5493,.T.); +#5878=FACE_BOUND('',#5494,.T.); +#5879=FACE_BOUND('',#5495,.T.); +#5880=FACE_BOUND('',#5496,.T.); +#5881=FACE_BOUND('',#5497,.T.); +#5882=FACE_BOUND('',#5498,.T.); +#5883=FACE_BOUND('',#5499,.T.); +#5884=FACE_BOUND('',#5500,.T.); +#5885=FACE_BOUND('',#5501,.T.); +#5886=FACE_BOUND('',#5502,.T.); +#5887=FACE_BOUND('',#5503,.T.); +#5888=FACE_BOUND('',#5504,.T.); +#5889=FACE_BOUND('',#5505,.T.); +#5890=FACE_BOUND('',#5506,.T.); +#5891=FACE_BOUND('',#5507,.T.); +#5892=FACE_BOUND('',#5508,.T.); +#5893=FACE_BOUND('',#5509,.T.); +#5894=FACE_BOUND('',#5510,.T.); +#5895=FACE_BOUND('',#5511,.T.); +#5896=FACE_BOUND('',#5512,.T.); +#5897=FACE_BOUND('',#5513,.T.); +#5898=FACE_BOUND('',#5514,.T.); +#5899=FACE_BOUND('',#5515,.T.); +#5900=FACE_BOUND('',#5516,.T.); +#5901=FACE_BOUND('',#5517,.T.); +#5902=FACE_BOUND('',#5518,.T.); +#5903=FACE_BOUND('',#5519,.T.); +#5904=FACE_BOUND('',#5520,.T.); +#5905=FACE_BOUND('',#5521,.T.); +#5906=FACE_BOUND('',#5522,.T.); +#5907=FACE_BOUND('',#5523,.T.); +#5908=FACE_BOUND('',#5524,.T.); +#5909=FACE_BOUND('',#5525,.T.); +#5910=FACE_BOUND('',#5526,.T.); +#5911=FACE_BOUND('',#5527,.T.); +#5912=FACE_BOUND('',#5528,.T.); +#5913=FACE_BOUND('',#5529,.T.); +#5914=FACE_BOUND('',#5530,.T.); +#5915=FACE_BOUND('',#5531,.T.); +#5916=FACE_BOUND('',#5532,.T.); +#5917=FACE_BOUND('',#5533,.T.); +#5918=FACE_BOUND('',#5534,.T.); +#5919=FACE_BOUND('',#5535,.T.); +#5920=FACE_BOUND('',#5536,.T.); +#5921=FACE_BOUND('',#5537,.T.); +#5922=FACE_BOUND('',#5538,.T.); +#5923=FACE_BOUND('',#5539,.T.); +#5924=FACE_BOUND('',#5540,.T.); +#5925=FACE_BOUND('',#5541,.T.); +#5926=FACE_BOUND('',#5542,.T.); +#5927=FACE_BOUND('',#5543,.T.); +#5928=FACE_BOUND('',#5544,.T.); +#5929=FACE_BOUND('',#5545,.T.); +#5930=FACE_BOUND('',#5546,.T.); +#5931=FACE_BOUND('',#5547,.T.); +#5932=FACE_BOUND('',#5548,.T.); +#5933=FACE_BOUND('',#5549,.T.); +#5934=FACE_BOUND('',#5550,.T.); +#5935=FACE_BOUND('',#5551,.T.); +#5936=FACE_BOUND('',#5552,.T.); +#5937=FACE_BOUND('',#5553,.T.); +#5938=FACE_BOUND('',#5554,.T.); +#5939=FACE_BOUND('',#5555,.T.); +#5940=FACE_BOUND('',#5556,.T.); +#5941=FACE_BOUND('',#5557,.T.); +#5942=FACE_BOUND('',#5558,.T.); +#5943=FACE_BOUND('',#5559,.T.); +#5944=FACE_BOUND('',#5560,.T.); +#5945=FACE_BOUND('',#5561,.T.); +#5946=FACE_BOUND('',#5562,.T.); +#5947=FACE_BOUND('',#5563,.T.); +#5948=FACE_BOUND('',#5564,.T.); +#5949=FACE_BOUND('',#5565,.T.); +#5950=FACE_BOUND('',#5566,.T.); +#5951=FACE_BOUND('',#5567,.T.); +#5952=FACE_BOUND('',#5568,.T.); +#5953=FACE_BOUND('',#5569,.T.); +#5954=FACE_BOUND('',#5570,.T.); +#5955=FACE_BOUND('',#5571,.T.); +#5956=FACE_BOUND('',#5572,.T.); +#5957=FACE_BOUND('',#5573,.T.); +#5958=FACE_BOUND('',#5574,.T.); +#5959=FACE_BOUND('',#5575,.T.); +#5960=FACE_BOUND('',#5576,.T.); +#5961=FACE_BOUND('',#5577,.T.); +#5962=FACE_BOUND('',#5578,.T.); +#5963=FACE_BOUND('',#5579,.T.); +#5964=FACE_BOUND('',#5580,.T.); +#5965=FACE_BOUND('',#5581,.T.); +#5966=FACE_BOUND('',#5582,.T.); +#5967=FACE_BOUND('',#5583,.T.); +#5968=FACE_BOUND('',#5584,.T.); +#5969=FACE_BOUND('',#5585,.T.); +#5970=FACE_BOUND('',#5586,.T.); +#5971=FACE_BOUND('',#5587,.T.); +#5972=FACE_BOUND('',#5588,.T.); +#5973=FACE_BOUND('',#5589,.T.); +#5974=FACE_BOUND('',#5590,.T.); +#5975=FACE_BOUND('',#5591,.T.); +#5976=FACE_BOUND('',#5592,.T.); +#5977=FACE_BOUND('',#5593,.T.); +#5978=FACE_BOUND('',#5594,.T.); +#5979=FACE_BOUND('',#5595,.T.); +#5980=FACE_BOUND('',#5596,.T.); +#5981=FACE_BOUND('',#5597,.T.); +#5982=FACE_BOUND('',#5598,.T.); +#5983=FACE_BOUND('',#5599,.T.); +#5984=FACE_BOUND('',#5600,.T.); +#5985=FACE_BOUND('',#5601,.T.); +#5986=FACE_BOUND('',#5602,.T.); +#5987=FACE_BOUND('',#5603,.T.); +#5988=FACE_BOUND('',#5604,.T.); +#5989=FACE_BOUND('',#5605,.T.); +#5990=FACE_BOUND('',#5606,.T.); +#5991=FACE_BOUND('',#5607,.T.); +#5992=FACE_BOUND('',#5608,.T.); +#5993=FACE_BOUND('',#5609,.T.); +#5994=FACE_BOUND('',#5610,.T.); +#5995=FACE_BOUND('',#5611,.T.); +#5996=FACE_BOUND('',#5612,.T.); +#5997=FACE_BOUND('',#5613,.T.); +#5998=FACE_BOUND('',#5614,.T.); +#5999=FACE_BOUND('',#5615,.T.); +#6000=FACE_BOUND('',#5616,.T.); +#6001=FACE_BOUND('',#5617,.T.); +#6002=FACE_BOUND('',#5618,.T.); +#6003=FACE_BOUND('',#5619,.T.); +#6004=FACE_BOUND('',#5620,.T.); +#6005=FACE_BOUND('',#5621,.T.); +#6006=FACE_BOUND('',#5622,.T.); +#6007=FACE_BOUND('',#5623,.T.); +#6008=FACE_BOUND('',#5624,.T.); +#6009=FACE_BOUND('',#5625,.T.); +#6010=FACE_BOUND('',#5626,.T.); +#6011=FACE_BOUND('',#5627,.T.); +#6012=FACE_BOUND('',#5628,.T.); +#6013=FACE_BOUND('',#5629,.T.); +#6014=FACE_BOUND('',#5630,.T.); +#6015=FACE_BOUND('',#5631,.T.); +#6016=FACE_BOUND('',#5632,.T.); +#6017=FACE_BOUND('',#5633,.T.); +#6018=FACE_BOUND('',#5634,.T.); +#6019=FACE_BOUND('',#5635,.T.); +#6020=FACE_BOUND('',#5636,.T.); +#6021=FACE_BOUND('',#5637,.T.); +#6022=FACE_BOUND('',#5638,.T.); +#6023=FACE_BOUND('',#5639,.T.); +#6024=FACE_BOUND('',#5640,.T.); +#6025=FACE_BOUND('',#5641,.T.); +#6026=FACE_BOUND('',#5642,.T.); +#6027=FACE_BOUND('',#5643,.T.); +#6028=FACE_BOUND('',#5644,.T.); +#6029=FACE_BOUND('',#5645,.T.); +#6030=FACE_BOUND('',#5646,.T.); +#6031=FACE_BOUND('',#5647,.T.); +#6032=FACE_BOUND('',#5648,.T.); +#6033=FACE_BOUND('',#5649,.T.); +#6034=FACE_BOUND('',#5650,.T.); +#6035=FACE_BOUND('',#5651,.T.); +#6036=FACE_BOUND('',#5652,.T.); +#6037=FACE_BOUND('',#5653,.T.); +#6038=FACE_BOUND('',#5654,.T.); +#6039=FACE_BOUND('',#5655,.T.); +#6040=FACE_BOUND('',#5656,.T.); +#6041=FACE_BOUND('',#5657,.T.); +#6042=FACE_BOUND('',#5658,.T.); +#6043=FACE_BOUND('',#5659,.T.); +#6044=FACE_BOUND('',#5660,.T.); +#6045=FACE_BOUND('',#5661,.T.); +#6046=FACE_BOUND('',#5662,.T.); +#6047=FACE_BOUND('',#5663,.T.); +#6048=FACE_BOUND('',#5664,.T.); +#6049=FACE_BOUND('',#5665,.T.); +#6050=FACE_BOUND('',#5666,.T.); +#6051=FACE_BOUND('',#5667,.T.); +#6052=FACE_BOUND('',#5668,.T.); +#6053=FACE_BOUND('',#5669,.T.); +#6054=FACE_BOUND('',#5670,.T.); +#6055=FACE_BOUND('',#5671,.T.); +#6056=FACE_BOUND('',#5672,.T.); +#6057=FACE_BOUND('',#5673,.T.); +#6058=FACE_BOUND('',#5674,.T.); +#6059=FACE_BOUND('',#5675,.T.); +#6060=FACE_BOUND('',#5676,.T.); +#6061=FACE_BOUND('',#5677,.T.); +#6062=FACE_BOUND('',#5678,.T.); +#6063=FACE_BOUND('',#5679,.T.); +#6064=FACE_BOUND('',#5680,.T.); +#6065=FACE_BOUND('',#5681,.T.); +#6066=FACE_BOUND('',#5682,.T.); +#6067=FACE_BOUND('',#5683,.T.); +#6068=FACE_BOUND('',#5684,.T.); +#6069=FACE_BOUND('',#5685,.T.); +#6070=FACE_BOUND('',#5686,.T.); +#6071=FACE_BOUND('',#5687,.T.); +#6072=FACE_BOUND('',#5688,.T.); +#6073=FACE_BOUND('',#5689,.T.); +#6074=FACE_BOUND('',#5690,.T.); +#6075=FACE_BOUND('',#5691,.T.); +#6076=FACE_BOUND('',#5692,.T.); +#6077=FACE_BOUND('',#5693,.T.); +#6078=FACE_BOUND('',#5694,.T.); +#6079=FACE_BOUND('',#5695,.T.); +#6080=FACE_BOUND('',#5696,.T.); +#6081=FACE_BOUND('',#5697,.T.); +#6082=FACE_BOUND('',#5698,.T.); +#6083=FACE_BOUND('',#5699,.T.); +#6084=FACE_BOUND('',#5700,.T.); +#6085=FACE_BOUND('',#5701,.T.); +#6086=FACE_BOUND('',#5702,.T.); +#6087=FACE_BOUND('',#5703,.T.); +#6088=FACE_BOUND('',#5704,.T.); +#6089=FACE_BOUND('',#5705,.T.); +#6090=FACE_BOUND('',#5706,.T.); +#6091=FACE_BOUND('',#5707,.T.); +#6092=FACE_BOUND('',#5708,.T.); +#6093=FACE_BOUND('',#5709,.T.); +#6094=FACE_BOUND('',#5710,.T.); +#6095=FACE_BOUND('',#5711,.T.); +#6096=FACE_BOUND('',#5712,.T.); +#6097=FACE_BOUND('',#5713,.T.); +#6098=FACE_BOUND('',#5714,.T.); +#6099=FACE_BOUND('',#5715,.T.); +#6100=FACE_BOUND('',#5716,.T.); +#6101=FACE_BOUND('',#5717,.T.); +#6102=FACE_BOUND('',#5718,.T.); +#6103=FACE_BOUND('',#5719,.T.); +#6104=FACE_BOUND('',#5720,.T.); +#6105=FACE_BOUND('',#5721,.T.); +#6106=FACE_BOUND('',#5722,.T.); +#6107=FACE_BOUND('',#5723,.T.); +#6108=FACE_BOUND('',#5724,.T.); +#6109=FACE_BOUND('',#5725,.T.); +#6110=FACE_BOUND('',#5726,.T.); +#6111=FACE_BOUND('',#5727,.T.); +#6112=FACE_BOUND('',#5728,.T.); +#6113=FACE_BOUND('',#5729,.T.); +#6114=FACE_BOUND('',#5730,.T.); +#6115=FACE_BOUND('',#5731,.T.); +#6116=FACE_BOUND('',#5732,.T.); +#6117=FACE_BOUND('',#5733,.T.); +#6118=FACE_BOUND('',#5734,.T.); +#6119=FACE_BOUND('',#5735,.T.); +#6120=FACE_BOUND('',#5736,.T.); +#6121=FACE_BOUND('',#5737,.T.); +#6122=FACE_BOUND('',#5738,.T.); +#6123=FACE_BOUND('',#5739,.T.); +#6124=FACE_BOUND('',#5740,.T.); +#6125=FACE_BOUND('',#5741,.T.); +#6126=FACE_BOUND('',#5742,.T.); +#6127=FACE_BOUND('',#5743,.T.); +#6128=FACE_BOUND('',#5744,.T.); +#6129=FACE_BOUND('',#5745,.T.); +#6130=FACE_BOUND('',#5746,.T.); +#6131=FACE_BOUND('',#5747,.T.); +#6132=FACE_BOUND('',#5748,.T.); +#6133=FACE_BOUND('',#5749,.T.); +#6134=FACE_BOUND('',#5750,.T.); +#6135=FACE_BOUND('',#5751,.T.); +#6136=FACE_BOUND('',#5752,.T.); +#6137=FACE_BOUND('',#5753,.T.); +#6138=FACE_BOUND('',#5754,.T.); +#6139=FACE_BOUND('',#5755,.T.); +#6140=FACE_BOUND('',#5756,.T.); +#6141=FACE_BOUND('',#5757,.T.); +#6142=FACE_BOUND('',#5758,.T.); +#6143=FACE_BOUND('',#5759,.T.); +#6144=FACE_BOUND('',#5760,.T.); +#6145=FACE_BOUND('',#5761,.T.); +#6146=FACE_BOUND('',#5762,.T.); +#6147=FACE_BOUND('',#5763,.T.); +#6148=FACE_BOUND('',#5764,.T.); +#6149=FACE_BOUND('',#5765,.T.); +#6150=FACE_BOUND('',#5766,.T.); +#6151=FACE_BOUND('',#5767,.T.); +#6152=FACE_BOUND('',#5768,.T.); +#6153=FACE_BOUND('',#5769,.T.); +#6154=FACE_BOUND('',#5770,.T.); +#6155=FACE_BOUND('',#5771,.T.); +#6156=FACE_BOUND('',#5772,.T.); +#6157=FACE_BOUND('',#5773,.T.); +#6158=FACE_BOUND('',#5774,.T.); +#6159=FACE_BOUND('',#5775,.T.); +#6160=FACE_BOUND('',#5776,.T.); +#6161=FACE_BOUND('',#5777,.T.); +#6162=FACE_BOUND('',#5778,.T.); +#6163=FACE_BOUND('',#5779,.T.); +#6164=FACE_BOUND('',#5780,.T.); +#6165=FACE_BOUND('',#5781,.T.); +#6166=FACE_BOUND('',#5782,.T.); +#6167=FACE_BOUND('',#5783,.T.); +#6168=FACE_BOUND('',#5784,.T.); +#6169=FACE_BOUND('',#5785,.T.); +#6170=FACE_BOUND('',#5786,.T.); +#6171=FACE_BOUND('',#5787,.T.); +#6172=FACE_BOUND('',#5788,.T.); +#6173=FACE_BOUND('',#5789,.T.); +#6174=FACE_BOUND('',#5790,.T.); +#6175=FACE_BOUND('',#5791,.T.); +#6176=FACE_BOUND('',#5792,.T.); +#6177=FACE_BOUND('',#5793,.T.); +#6178=FACE_BOUND('',#5794,.T.); +#6179=FACE_BOUND('',#5795,.T.); +#6180=FACE_BOUND('',#5796,.T.); +#6181=FACE_BOUND('',#5797,.T.); +#6182=FACE_BOUND('',#5798,.T.); +#6183=FACE_BOUND('',#5799,.T.); +#6184=FACE_BOUND('',#5800,.T.); +#6185=FACE_BOUND('',#5801,.T.); +#6186=FACE_BOUND('',#5802,.T.); +#6187=FACE_BOUND('',#5803,.T.); +#6188=FACE_BOUND('',#5804,.T.); +#6189=FACE_BOUND('',#5805,.T.); +#6190=FACE_BOUND('',#5806,.T.); +#6191=FACE_BOUND('',#5807,.T.); +#6192=FACE_BOUND('',#5808,.T.); +#6193=FACE_BOUND('',#5809,.T.); +#6194=FACE_BOUND('',#5810,.T.); +#6195=FACE_BOUND('',#5811,.T.); +#6196=FACE_BOUND('',#5812,.T.); +#6197=FACE_BOUND('',#5813,.T.); +#6198=FACE_BOUND('',#5814,.T.); +#6199=FACE_BOUND('',#5815,.T.); +#6200=FACE_BOUND('',#5816,.T.); +#6201=FACE_BOUND('',#5817,.T.); +#6202=FACE_BOUND('',#5818,.T.); +#6203=FACE_BOUND('',#5819,.T.); +#6204=FACE_BOUND('',#5820,.T.); +#6205=FACE_BOUND('',#5821,.T.); +#6206=FACE_BOUND('',#5822,.T.); +#6207=FACE_BOUND('',#5823,.T.); +#6208=FACE_BOUND('',#5824,.T.); +#6209=FACE_BOUND('',#5825,.T.); +#6210=FACE_BOUND('',#5826,.T.); +#6211=FACE_BOUND('',#5827,.T.); +#6212=FACE_BOUND('',#5828,.T.); +#6213=FACE_BOUND('',#5829,.T.); +#6214=FACE_BOUND('',#5830,.T.); +#6215=FACE_BOUND('',#5831,.T.); +#6216=FACE_BOUND('',#5832,.T.); +#6217=FACE_BOUND('',#5833,.T.); +#6218=FACE_BOUND('',#5834,.T.); +#6219=FACE_BOUND('',#5835,.T.); +#6220=FACE_BOUND('',#5836,.T.); +#6221=PLANE('',#6887); +#6222=PLANE('',#6888); +#6223=PLANE('',#6891); +#6224=PLANE('',#6892); +#6225=PLANE('',#6893); +#6226=PLANE('',#6894); +#6227=PLANE('',#6895); +#6228=PLANE('',#6896); +#6229=PLANE('',#6897); +#6230=PLANE('',#6898); +#6231=PLANE('',#6899); +#6232=PLANE('',#6900); +#6233=PLANE('',#6901); +#6234=PLANE('',#6902); +#6235=PLANE('',#6903); +#6236=PLANE('',#6904); +#6237=PLANE('',#6905); +#6238=PLANE('',#6906); +#6239=PLANE('',#6907); +#6240=PLANE('',#6908); +#6241=PLANE('',#6909); +#6242=PLANE('',#6910); +#6243=PLANE('',#6911); +#6244=PLANE('',#6912); +#6245=PLANE('',#6913); +#6246=PLANE('',#6914); +#6247=PLANE('',#6915); +#6248=PLANE('',#6916); +#6249=PLANE('',#6917); +#6250=PLANE('',#6918); +#6251=PLANE('',#6919); +#6252=PLANE('',#6920); +#6253=PLANE('',#6921); +#6254=PLANE('',#6922); +#6255=PLANE('',#6923); +#6256=PLANE('',#6924); +#6257=PLANE('',#6925); +#6258=PLANE('',#6926); +#6259=PLANE('',#6927); +#6260=PLANE('',#6928); +#6261=PLANE('',#6929); +#6262=PLANE('',#6930); +#6263=PLANE('',#6931); +#6264=PLANE('',#6932); +#6265=PLANE('',#6933); +#6266=PLANE('',#6934); +#6267=PLANE('',#6935); +#6268=PLANE('',#6936); +#6269=PLANE('',#6937); +#6270=PLANE('',#6938); +#6271=PLANE('',#6939); +#6272=PLANE('',#6940); +#6273=PLANE('',#6941); +#6274=PLANE('',#6942); +#6275=PLANE('',#6943); +#6276=PLANE('',#6944); +#6277=PLANE('',#6945); +#6278=PLANE('',#6946); +#6279=PLANE('',#6947); +#6280=PLANE('',#6948); +#6281=PLANE('',#6949); +#6282=PLANE('',#6950); +#6283=PLANE('',#6955); +#6284=PLANE('',#6960); +#6285=PLANE('',#6962); +#6286=PLANE('',#6963); +#6287=PLANE('',#6964); +#6288=PLANE('',#6965); +#6289=PLANE('',#6966); +#6290=PLANE('',#6967); +#6291=PLANE('',#6968); +#6292=PLANE('',#6969); +#6293=PLANE('',#6970); +#6294=PLANE('',#6971); +#6295=PLANE('',#6972); +#6296=PLANE('',#6973); +#6297=PLANE('',#6974); +#6298=PLANE('',#6975); +#6299=PLANE('',#6976); +#6300=PLANE('',#6977); +#6301=PLANE('',#6978); +#6302=PLANE('',#6979); +#6303=PLANE('',#6998); +#6304=PLANE('',#6999); +#6305=PLANE('',#7000); +#6306=PLANE('',#7001); +#6307=PLANE('',#7002); +#6308=PLANE('',#7003); +#6309=PLANE('',#7022); +#6310=PLANE('',#7023); +#6311=PLANE('',#7024); +#6312=PLANE('',#7025); +#6313=PLANE('',#7026); +#6314=PLANE('',#7027); +#6315=PLANE('',#7028); +#6316=PLANE('',#7029); +#6317=PLANE('',#7030); +#6318=PLANE('',#7031); +#6319=PLANE('',#7032); +#6320=PLANE('',#7033); +#6321=PLANE('',#7034); +#6322=PLANE('',#7035); +#6323=PLANE('',#7036); +#6324=PLANE('',#7037); +#6325=PLANE('',#7038); +#6326=PLANE('',#7039); +#6327=PLANE('',#7040); +#6328=PLANE('',#7041); +#6329=PLANE('',#7042); +#6330=PLANE('',#7043); +#6331=PLANE('',#7044); +#6332=PLANE('',#7045); +#6333=PLANE('',#7046); +#6334=PLANE('',#7047); +#6335=PLANE('',#7048); +#6336=PLANE('',#7049); +#6337=PLANE('',#7050); +#6338=PLANE('',#7051); +#6339=PLANE('',#7052); +#6340=PLANE('',#7053); +#6341=PLANE('',#7054); +#6342=PLANE('',#7055); +#6343=PLANE('',#7056); +#6344=PLANE('',#7057); +#6345=PLANE('',#7058); +#6346=PLANE('',#7059); +#6347=PLANE('',#7060); +#6348=PLANE('',#7061); +#6349=PLANE('',#7062); +#6350=PLANE('',#7063); +#6351=PLANE('',#7064); +#6352=PLANE('',#7065); +#6353=PLANE('',#7066); +#6354=PLANE('',#7067); +#6355=PLANE('',#7068); +#6356=PLANE('',#7069); +#6357=PLANE('',#7088); +#6358=PLANE('',#7089); +#6359=PLANE('',#7090); +#6360=PLANE('',#7091); +#6361=PLANE('',#7092); +#6362=PLANE('',#7093); +#6363=PLANE('',#7112); +#6364=PLANE('',#7113); +#6365=PLANE('',#7114); +#6366=PLANE('',#7115); +#6367=PLANE('',#7116); +#6368=PLANE('',#7117); +#6369=PLANE('',#7118); +#6370=PLANE('',#7119); +#6371=PLANE('',#7120); +#6372=PLANE('',#7121); +#6373=PLANE('',#7122); +#6374=PLANE('',#7123); +#6375=PLANE('',#7124); +#6376=PLANE('',#7125); +#6377=PLANE('',#7126); +#6378=PLANE('',#7127); +#6379=PLANE('',#7128); +#6380=PLANE('',#7129); +#6381=PLANE('',#7148); +#6382=PLANE('',#7149); +#6383=PLANE('',#7150); +#6384=PLANE('',#7151); +#6385=PLANE('',#7152); +#6386=PLANE('',#7153); +#6387=PLANE('',#7172); +#6388=PLANE('',#7173); +#6389=PLANE('',#7174); +#6390=PLANE('',#7175); +#6391=PLANE('',#7176); +#6392=PLANE('',#7177); +#6393=PLANE('',#7178); +#6394=PLANE('',#7179); +#6395=PLANE('',#7180); +#6396=PLANE('',#7181); +#6397=PLANE('',#7182); +#6398=PLANE('',#7183); +#6399=PLANE('',#7202); +#6400=PLANE('',#7203); +#6401=PLANE('',#7204); +#6402=PLANE('',#7205); +#6403=PLANE('',#7206); +#6404=PLANE('',#7207); +#6405=PLANE('',#7226); +#6406=PLANE('',#7227); +#6407=PLANE('',#7228); +#6408=PLANE('',#7232); +#6409=PLANE('',#7236); +#6410=PLANE('',#7237); +#6411=PLANE('',#7238); +#6412=PLANE('',#7239); +#6413=PLANE('',#7240); +#6414=PLANE('',#7241); +#6415=PLANE('',#7242); +#6416=PLANE('',#7243); +#6417=PLANE('',#7244); +#6418=PLANE('',#7245); +#6419=PLANE('',#7246); +#6420=PLANE('',#7250); +#6421=PLANE('',#7254); +#6422=PLANE('',#7255); +#6423=PLANE('',#7256); +#6424=PLANE('',#7260); +#6425=PLANE('',#7264); +#6426=PLANE('',#7265); +#6427=PLANE('',#7266); +#6428=PLANE('',#7270); +#6429=PLANE('',#7274); +#6430=PLANE('',#7275); +#6431=PLANE('',#7276); +#6432=PLANE('',#7277); +#6433=PLANE('',#7278); +#6434=PLANE('',#7279); +#6435=PLANE('',#7280); +#6436=PLANE('',#7281); +#6437=PLANE('',#7282); +#6438=PLANE('',#7283); +#6439=PLANE('',#7284); +#6440=PLANE('',#7285); +#6441=PLANE('',#7286); +#6442=PLANE('',#7287); +#6443=PLANE('',#7288); +#6444=PLANE('',#7289); +#6445=PLANE('',#7290); +#6446=PLANE('',#7291); +#6447=PLANE('',#7292); +#6448=PLANE('',#7293); +#6449=PLANE('',#7294); +#6450=PLANE('',#7295); +#6451=PLANE('',#7296); +#6452=PLANE('',#7297); +#6453=PLANE('',#7298); +#6454=PLANE('',#7299); +#6455=PLANE('',#7300); +#6456=PLANE('',#7301); +#6457=PLANE('',#7302); +#6458=PLANE('',#7303); +#6459=PLANE('',#7304); +#6460=PLANE('',#7305); +#6461=PLANE('',#7306); +#6462=PLANE('',#7307); +#6463=PLANE('',#7308); +#6464=PLANE('',#7309); +#6465=PLANE('',#7310); +#6466=PLANE('',#7311); +#6467=PLANE('',#7312); +#6468=PLANE('',#7313); +#6469=PLANE('',#7314); +#6470=PLANE('',#7315); +#6471=PLANE('',#7316); +#6472=PLANE('',#7317); +#6473=PLANE('',#7318); +#6474=PLANE('',#7319); +#6475=PLANE('',#7320); +#6476=PLANE('',#7321); +#6477=PLANE('',#7322); +#6478=PLANE('',#7323); +#6479=PLANE('',#7324); +#6480=PLANE('',#7325); +#6481=PLANE('',#7326); +#6482=PLANE('',#7327); +#6483=PLANE('',#7328); +#6484=PLANE('',#7329); +#6485=PLANE('',#7330); +#6486=PLANE('',#7331); +#6487=PLANE('',#7332); +#6488=PLANE('',#7333); +#6489=PLANE('',#7334); +#6490=PLANE('',#7335); +#6491=PLANE('',#7336); +#6492=PLANE('',#7337); +#6493=PLANE('',#7338); +#6494=PLANE('',#7339); +#6495=PLANE('',#7340); +#6496=PLANE('',#7341); +#6497=PLANE('',#7342); +#6498=PLANE('',#7343); +#6499=PLANE('',#7344); +#6500=PLANE('',#7345); +#6501=PLANE('',#7346); +#6502=PLANE('',#7347); +#6503=PLANE('',#7348); +#6504=PLANE('',#7349); +#6505=PLANE('',#7350); +#6506=PLANE('',#7351); +#6507=PLANE('',#7352); +#6508=PLANE('',#7353); +#6509=PLANE('',#7354); +#6510=PLANE('',#7355); +#6511=ADVANCED_FACE('',(#5837),#6221,.F.); +#6512=ADVANCED_FACE('',(#5838,#5839,#5840),#6222,.F.); +#6513=ADVANCED_FACE('',(#5841),#6223,.F.); +#6514=ADVANCED_FACE('',(#5842),#6224,.T.); +#6515=ADVANCED_FACE('',(#5843),#6225,.T.); +#6516=ADVANCED_FACE('',(#5844),#6226,.T.); +#6517=ADVANCED_FACE('',(#5845),#6227,.T.); +#6518=ADVANCED_FACE('',(#5846),#6228,.T.); +#6519=ADVANCED_FACE('',(#5847),#6229,.T.); +#6520=ADVANCED_FACE('',(#5848),#6230,.T.); +#6521=ADVANCED_FACE('',(#5849),#6231,.T.); +#6522=ADVANCED_FACE('',(#5850),#6232,.T.); +#6523=ADVANCED_FACE('',(#5851),#6233,.T.); +#6524=ADVANCED_FACE('',(#5852),#6234,.T.); +#6525=ADVANCED_FACE('',(#5853),#6235,.T.); +#6526=ADVANCED_FACE('',(#5854),#6236,.T.); +#6527=ADVANCED_FACE('',(#5855),#6237,.T.); +#6528=ADVANCED_FACE('',(#5856),#6238,.T.); +#6529=ADVANCED_FACE('',(#5857),#6239,.T.); +#6530=ADVANCED_FACE('',(#5858),#6240,.T.); +#6531=ADVANCED_FACE('',(#5859),#6241,.T.); +#6532=ADVANCED_FACE('',(#5860),#6242,.T.); +#6533=ADVANCED_FACE('',(#5861),#6243,.T.); +#6534=ADVANCED_FACE('',(#5862),#6244,.T.); +#6535=ADVANCED_FACE('',(#5863),#6245,.T.); +#6536=ADVANCED_FACE('',(#5864),#6246,.T.); +#6537=ADVANCED_FACE('',(#5865),#6247,.T.); +#6538=ADVANCED_FACE('',(#5866),#6248,.T.); +#6539=ADVANCED_FACE('',(#5867),#6249,.T.); +#6540=ADVANCED_FACE('',(#5868),#6250,.T.); +#6541=ADVANCED_FACE('',(#5869),#6251,.T.); +#6542=ADVANCED_FACE('',(#5870),#6252,.T.); +#6543=ADVANCED_FACE('',(#5871),#6253,.T.); +#6544=ADVANCED_FACE('',(#5872),#6254,.T.); +#6545=ADVANCED_FACE('',(#5873),#6255,.T.); +#6546=ADVANCED_FACE('',(#5874),#6256,.T.); +#6547=ADVANCED_FACE('',(#5875),#6257,.T.); +#6548=ADVANCED_FACE('',(#5876),#6258,.T.); +#6549=ADVANCED_FACE('',(#5877),#6259,.T.); +#6550=ADVANCED_FACE('',(#5878),#6260,.T.); +#6551=ADVANCED_FACE('',(#5879),#6261,.T.); +#6552=ADVANCED_FACE('',(#5880),#6262,.T.); +#6553=ADVANCED_FACE('',(#5881),#6263,.T.); +#6554=ADVANCED_FACE('',(#5882),#6264,.T.); +#6555=ADVANCED_FACE('',(#5883),#6265,.T.); +#6556=ADVANCED_FACE('',(#5884),#6266,.T.); +#6557=ADVANCED_FACE('',(#5885),#6267,.T.); +#6558=ADVANCED_FACE('',(#5886),#6268,.T.); +#6559=ADVANCED_FACE('',(#5887),#6269,.T.); +#6560=ADVANCED_FACE('',(#5888),#6270,.T.); +#6561=ADVANCED_FACE('',(#5889),#6271,.T.); +#6562=ADVANCED_FACE('',(#5890),#6272,.T.); +#6563=ADVANCED_FACE('',(#5891),#6273,.T.); +#6564=ADVANCED_FACE('',(#5892),#6274,.T.); +#6565=ADVANCED_FACE('',(#5893),#6275,.T.); +#6566=ADVANCED_FACE('',(#5894),#6276,.T.); +#6567=ADVANCED_FACE('',(#5895),#6277,.T.); +#6568=ADVANCED_FACE('',(#5896),#6278,.T.); +#6569=ADVANCED_FACE('',(#5897),#6279,.T.); +#6570=ADVANCED_FACE('',(#5898),#6280,.F.); +#6571=ADVANCED_FACE('',(#5899,#5900,#5901,#5902,#5903,#5904,#5905,#5906, +#5907,#5908,#5909,#5910,#5911,#5912,#5913),#6281,.T.); +#6572=ADVANCED_FACE('',(#5914,#5915,#5916,#5917,#5918,#5919,#5920,#5921, +#5922,#5923,#5924,#5925,#5926,#5927,#5928),#6282,.F.); +#6573=ADVANCED_FACE('',(#5929,#5930),#33,.T.); +#6574=ADVANCED_FACE('',(#5931,#5932),#31,.T.); +#6575=ADVANCED_FACE('',(#5933),#6283,.F.); +#6576=ADVANCED_FACE('',(#5934,#5935),#34,.T.); +#6577=ADVANCED_FACE('',(#5936,#5937),#32,.T.); +#6578=ADVANCED_FACE('',(#5938),#6284,.F.); +#6579=ADVANCED_FACE('',(#5939),#6285,.F.); +#6580=ADVANCED_FACE('',(#5940),#6286,.F.); +#6581=ADVANCED_FACE('',(#5941),#6287,.F.); +#6582=ADVANCED_FACE('',(#5942),#6288,.F.); +#6583=ADVANCED_FACE('',(#5943),#6289,.F.); +#6584=ADVANCED_FACE('',(#5944),#6290,.F.); +#6585=ADVANCED_FACE('',(#5945),#6291,.F.); +#6586=ADVANCED_FACE('',(#5946),#6292,.F.); +#6587=ADVANCED_FACE('',(#5947),#6293,.F.); +#6588=ADVANCED_FACE('',(#5948),#6294,.F.); +#6589=ADVANCED_FACE('',(#5949),#6295,.F.); +#6590=ADVANCED_FACE('',(#5950),#6296,.F.); +#6591=ADVANCED_FACE('',(#5951),#6297,.F.); +#6592=ADVANCED_FACE('',(#5952),#6298,.F.); +#6593=ADVANCED_FACE('',(#5953),#6299,.F.); +#6594=ADVANCED_FACE('',(#5954),#6300,.F.); +#6595=ADVANCED_FACE('',(#5955),#6301,.F.); +#6596=ADVANCED_FACE('',(#5956),#6302,.F.); +#6597=ADVANCED_FACE('',(#5957),#35,.F.); +#6598=ADVANCED_FACE('',(#5958),#36,.F.); +#6599=ADVANCED_FACE('',(#5959),#37,.F.); +#6600=ADVANCED_FACE('',(#5960),#38,.F.); +#6601=ADVANCED_FACE('',(#5961),#39,.F.); +#6602=ADVANCED_FACE('',(#5962),#40,.F.); +#6603=ADVANCED_FACE('',(#5963),#6303,.F.); +#6604=ADVANCED_FACE('',(#5964),#6304,.F.); +#6605=ADVANCED_FACE('',(#5965),#6305,.F.); +#6606=ADVANCED_FACE('',(#5966),#6306,.F.); +#6607=ADVANCED_FACE('',(#5967),#6307,.F.); +#6608=ADVANCED_FACE('',(#5968),#6308,.F.); +#6609=ADVANCED_FACE('',(#5969),#41,.T.); +#6610=ADVANCED_FACE('',(#5970),#42,.T.); +#6611=ADVANCED_FACE('',(#5971),#43,.T.); +#6612=ADVANCED_FACE('',(#5972),#44,.T.); +#6613=ADVANCED_FACE('',(#5973),#45,.T.); +#6614=ADVANCED_FACE('',(#5974),#46,.T.); +#6615=ADVANCED_FACE('',(#5975),#6309,.F.); +#6616=ADVANCED_FACE('',(#5976),#6310,.F.); +#6617=ADVANCED_FACE('',(#5977),#6311,.F.); +#6618=ADVANCED_FACE('',(#5978),#6312,.F.); +#6619=ADVANCED_FACE('',(#5979),#6313,.F.); +#6620=ADVANCED_FACE('',(#5980),#6314,.F.); +#6621=ADVANCED_FACE('',(#5981),#6315,.F.); +#6622=ADVANCED_FACE('',(#5982),#6316,.F.); +#6623=ADVANCED_FACE('',(#5983),#6317,.F.); +#6624=ADVANCED_FACE('',(#5984),#6318,.F.); +#6625=ADVANCED_FACE('',(#5985),#6319,.F.); +#6626=ADVANCED_FACE('',(#5986),#6320,.F.); +#6627=ADVANCED_FACE('',(#5987),#6321,.F.); +#6628=ADVANCED_FACE('',(#5988),#6322,.F.); +#6629=ADVANCED_FACE('',(#5989),#6323,.F.); +#6630=ADVANCED_FACE('',(#5990),#6324,.F.); +#6631=ADVANCED_FACE('',(#5991),#6325,.F.); +#6632=ADVANCED_FACE('',(#5992),#6326,.F.); +#6633=ADVANCED_FACE('',(#5993),#6327,.F.); +#6634=ADVANCED_FACE('',(#5994),#6328,.F.); +#6635=ADVANCED_FACE('',(#5995),#6329,.F.); +#6636=ADVANCED_FACE('',(#5996),#6330,.F.); +#6637=ADVANCED_FACE('',(#5997),#6331,.F.); +#6638=ADVANCED_FACE('',(#5998),#6332,.F.); +#6639=ADVANCED_FACE('',(#5999),#6333,.F.); +#6640=ADVANCED_FACE('',(#6000),#6334,.F.); +#6641=ADVANCED_FACE('',(#6001),#6335,.F.); +#6642=ADVANCED_FACE('',(#6002),#6336,.F.); +#6643=ADVANCED_FACE('',(#6003),#6337,.F.); +#6644=ADVANCED_FACE('',(#6004),#6338,.F.); +#6645=ADVANCED_FACE('',(#6005),#6339,.F.); +#6646=ADVANCED_FACE('',(#6006),#6340,.F.); +#6647=ADVANCED_FACE('',(#6007),#6341,.F.); +#6648=ADVANCED_FACE('',(#6008),#6342,.F.); +#6649=ADVANCED_FACE('',(#6009),#6343,.F.); +#6650=ADVANCED_FACE('',(#6010),#6344,.F.); +#6651=ADVANCED_FACE('',(#6011),#6345,.F.); +#6652=ADVANCED_FACE('',(#6012),#6346,.F.); +#6653=ADVANCED_FACE('',(#6013),#6347,.F.); +#6654=ADVANCED_FACE('',(#6014),#6348,.F.); +#6655=ADVANCED_FACE('',(#6015),#6349,.F.); +#6656=ADVANCED_FACE('',(#6016),#6350,.F.); +#6657=ADVANCED_FACE('',(#6017),#6351,.F.); +#6658=ADVANCED_FACE('',(#6018),#6352,.F.); +#6659=ADVANCED_FACE('',(#6019),#6353,.F.); +#6660=ADVANCED_FACE('',(#6020),#6354,.F.); +#6661=ADVANCED_FACE('',(#6021),#6355,.F.); +#6662=ADVANCED_FACE('',(#6022),#6356,.F.); +#6663=ADVANCED_FACE('',(#6023),#47,.F.); +#6664=ADVANCED_FACE('',(#6024),#48,.F.); +#6665=ADVANCED_FACE('',(#6025),#49,.F.); +#6666=ADVANCED_FACE('',(#6026),#50,.F.); +#6667=ADVANCED_FACE('',(#6027),#51,.F.); +#6668=ADVANCED_FACE('',(#6028),#52,.F.); +#6669=ADVANCED_FACE('',(#6029),#6357,.F.); +#6670=ADVANCED_FACE('',(#6030),#6358,.F.); +#6671=ADVANCED_FACE('',(#6031),#6359,.F.); +#6672=ADVANCED_FACE('',(#6032),#6360,.F.); +#6673=ADVANCED_FACE('',(#6033),#6361,.F.); +#6674=ADVANCED_FACE('',(#6034),#6362,.F.); +#6675=ADVANCED_FACE('',(#6035),#53,.T.); +#6676=ADVANCED_FACE('',(#6036),#54,.T.); +#6677=ADVANCED_FACE('',(#6037),#55,.T.); +#6678=ADVANCED_FACE('',(#6038),#56,.T.); +#6679=ADVANCED_FACE('',(#6039),#57,.T.); +#6680=ADVANCED_FACE('',(#6040),#58,.T.); +#6681=ADVANCED_FACE('',(#6041),#6363,.F.); +#6682=ADVANCED_FACE('',(#6042),#6364,.F.); +#6683=ADVANCED_FACE('',(#6043),#6365,.F.); +#6684=ADVANCED_FACE('',(#6044),#6366,.F.); +#6685=ADVANCED_FACE('',(#6045),#6367,.F.); +#6686=ADVANCED_FACE('',(#6046),#6368,.F.); +#6687=ADVANCED_FACE('',(#6047),#6369,.F.); +#6688=ADVANCED_FACE('',(#6048),#6370,.F.); +#6689=ADVANCED_FACE('',(#6049),#6371,.F.); +#6690=ADVANCED_FACE('',(#6050),#6372,.F.); +#6691=ADVANCED_FACE('',(#6051),#6373,.F.); +#6692=ADVANCED_FACE('',(#6052),#6374,.F.); +#6693=ADVANCED_FACE('',(#6053),#6375,.F.); +#6694=ADVANCED_FACE('',(#6054),#6376,.F.); +#6695=ADVANCED_FACE('',(#6055),#6377,.F.); +#6696=ADVANCED_FACE('',(#6056),#6378,.F.); +#6697=ADVANCED_FACE('',(#6057),#6379,.F.); +#6698=ADVANCED_FACE('',(#6058),#6380,.F.); +#6699=ADVANCED_FACE('',(#6059),#59,.F.); +#6700=ADVANCED_FACE('',(#6060),#60,.F.); +#6701=ADVANCED_FACE('',(#6061),#61,.F.); +#6702=ADVANCED_FACE('',(#6062),#62,.F.); +#6703=ADVANCED_FACE('',(#6063),#63,.F.); +#6704=ADVANCED_FACE('',(#6064),#64,.F.); +#6705=ADVANCED_FACE('',(#6065),#6381,.F.); +#6706=ADVANCED_FACE('',(#6066),#6382,.F.); +#6707=ADVANCED_FACE('',(#6067),#6383,.F.); +#6708=ADVANCED_FACE('',(#6068),#6384,.F.); +#6709=ADVANCED_FACE('',(#6069),#6385,.F.); +#6710=ADVANCED_FACE('',(#6070),#6386,.F.); +#6711=ADVANCED_FACE('',(#6071),#65,.T.); +#6712=ADVANCED_FACE('',(#6072),#66,.T.); +#6713=ADVANCED_FACE('',(#6073),#67,.T.); +#6714=ADVANCED_FACE('',(#6074),#68,.T.); +#6715=ADVANCED_FACE('',(#6075),#69,.T.); +#6716=ADVANCED_FACE('',(#6076),#70,.T.); +#6717=ADVANCED_FACE('',(#6077),#6387,.F.); +#6718=ADVANCED_FACE('',(#6078),#6388,.F.); +#6719=ADVANCED_FACE('',(#6079),#6389,.F.); +#6720=ADVANCED_FACE('',(#6080),#6390,.F.); +#6721=ADVANCED_FACE('',(#6081),#6391,.F.); +#6722=ADVANCED_FACE('',(#6082),#6392,.F.); +#6723=ADVANCED_FACE('',(#6083),#6393,.F.); +#6724=ADVANCED_FACE('',(#6084),#6394,.F.); +#6725=ADVANCED_FACE('',(#6085),#6395,.F.); +#6726=ADVANCED_FACE('',(#6086),#6396,.F.); +#6727=ADVANCED_FACE('',(#6087),#6397,.F.); +#6728=ADVANCED_FACE('',(#6088),#6398,.F.); +#6729=ADVANCED_FACE('',(#6089),#71,.F.); +#6730=ADVANCED_FACE('',(#6090),#72,.F.); +#6731=ADVANCED_FACE('',(#6091),#73,.F.); +#6732=ADVANCED_FACE('',(#6092),#74,.F.); +#6733=ADVANCED_FACE('',(#6093),#75,.F.); +#6734=ADVANCED_FACE('',(#6094),#76,.F.); +#6735=ADVANCED_FACE('',(#6095),#6399,.F.); +#6736=ADVANCED_FACE('',(#6096),#6400,.F.); +#6737=ADVANCED_FACE('',(#6097),#6401,.F.); +#6738=ADVANCED_FACE('',(#6098),#6402,.F.); +#6739=ADVANCED_FACE('',(#6099),#6403,.F.); +#6740=ADVANCED_FACE('',(#6100),#6404,.F.); +#6741=ADVANCED_FACE('',(#6101),#77,.T.); +#6742=ADVANCED_FACE('',(#6102),#78,.T.); +#6743=ADVANCED_FACE('',(#6103),#79,.T.); +#6744=ADVANCED_FACE('',(#6104),#80,.T.); +#6745=ADVANCED_FACE('',(#6105),#81,.T.); +#6746=ADVANCED_FACE('',(#6106),#82,.T.); +#6747=ADVANCED_FACE('',(#6107),#6405,.F.); +#6748=ADVANCED_FACE('',(#6108),#6406,.F.); +#6749=ADVANCED_FACE('',(#6109),#6407,.F.); +#6750=ADVANCED_FACE('',(#6110),#83,.F.); +#6751=ADVANCED_FACE('',(#6111),#6408,.F.); +#6752=ADVANCED_FACE('',(#6112),#84,.T.); +#6753=ADVANCED_FACE('',(#6113),#6409,.F.); +#6754=ADVANCED_FACE('',(#6114),#6410,.F.); +#6755=ADVANCED_FACE('',(#6115),#6411,.F.); +#6756=ADVANCED_FACE('',(#6116),#6412,.F.); +#6757=ADVANCED_FACE('',(#6117),#6413,.F.); +#6758=ADVANCED_FACE('',(#6118),#6414,.F.); +#6759=ADVANCED_FACE('',(#6119),#6415,.F.); +#6760=ADVANCED_FACE('',(#6120),#6416,.F.); +#6761=ADVANCED_FACE('',(#6121),#6417,.F.); +#6762=ADVANCED_FACE('',(#6122),#6418,.F.); +#6763=ADVANCED_FACE('',(#6123),#6419,.F.); +#6764=ADVANCED_FACE('',(#6124),#85,.F.); +#6765=ADVANCED_FACE('',(#6125),#6420,.F.); +#6766=ADVANCED_FACE('',(#6126),#86,.T.); +#6767=ADVANCED_FACE('',(#6127),#6421,.F.); +#6768=ADVANCED_FACE('',(#6128),#6422,.F.); +#6769=ADVANCED_FACE('',(#6129),#6423,.F.); +#6770=ADVANCED_FACE('',(#6130),#87,.F.); +#6771=ADVANCED_FACE('',(#6131),#6424,.F.); +#6772=ADVANCED_FACE('',(#6132),#88,.T.); +#6773=ADVANCED_FACE('',(#6133),#6425,.F.); +#6774=ADVANCED_FACE('',(#6134),#6426,.F.); +#6775=ADVANCED_FACE('',(#6135),#6427,.F.); +#6776=ADVANCED_FACE('',(#6136),#89,.F.); +#6777=ADVANCED_FACE('',(#6137),#6428,.F.); +#6778=ADVANCED_FACE('',(#6138),#90,.T.); +#6779=ADVANCED_FACE('',(#6139),#6429,.T.); +#6780=ADVANCED_FACE('',(#6140),#6430,.F.); +#6781=ADVANCED_FACE('',(#6141),#6431,.F.); +#6782=ADVANCED_FACE('',(#6142),#6432,.F.); +#6783=ADVANCED_FACE('',(#6143),#6433,.F.); +#6784=ADVANCED_FACE('',(#6144),#6434,.F.); +#6785=ADVANCED_FACE('',(#6145),#6435,.F.); +#6786=ADVANCED_FACE('',(#6146),#6436,.F.); +#6787=ADVANCED_FACE('',(#6147),#6437,.F.); +#6788=ADVANCED_FACE('',(#6148),#6438,.F.); +#6789=ADVANCED_FACE('',(#6149),#6439,.F.); +#6790=ADVANCED_FACE('',(#6150),#6440,.F.); +#6791=ADVANCED_FACE('',(#6151),#6441,.F.); +#6792=ADVANCED_FACE('',(#6152),#6442,.F.); +#6793=ADVANCED_FACE('',(#6153),#6443,.F.); +#6794=ADVANCED_FACE('',(#6154),#6444,.F.); +#6795=ADVANCED_FACE('',(#6155),#6445,.F.); +#6796=ADVANCED_FACE('',(#6156),#6446,.F.); +#6797=ADVANCED_FACE('',(#6157),#6447,.F.); +#6798=ADVANCED_FACE('',(#6158),#6448,.F.); +#6799=ADVANCED_FACE('',(#6159),#6449,.F.); +#6800=ADVANCED_FACE('',(#6160),#6450,.F.); +#6801=ADVANCED_FACE('',(#6161),#6451,.F.); +#6802=ADVANCED_FACE('',(#6162),#6452,.F.); +#6803=ADVANCED_FACE('',(#6163),#6453,.F.); +#6804=ADVANCED_FACE('',(#6164),#6454,.F.); +#6805=ADVANCED_FACE('',(#6165),#6455,.F.); +#6806=ADVANCED_FACE('',(#6166),#6456,.F.); +#6807=ADVANCED_FACE('',(#6167),#6457,.F.); +#6808=ADVANCED_FACE('',(#6168),#6458,.F.); +#6809=ADVANCED_FACE('',(#6169),#6459,.F.); +#6810=ADVANCED_FACE('',(#6170),#6460,.F.); +#6811=ADVANCED_FACE('',(#6171),#6461,.F.); +#6812=ADVANCED_FACE('',(#6172),#6462,.F.); +#6813=ADVANCED_FACE('',(#6173),#6463,.F.); +#6814=ADVANCED_FACE('',(#6174),#6464,.F.); +#6815=ADVANCED_FACE('',(#6175),#6465,.F.); +#6816=ADVANCED_FACE('',(#6176),#6466,.F.); +#6817=ADVANCED_FACE('',(#6177),#6467,.F.); +#6818=ADVANCED_FACE('',(#6178),#6468,.F.); +#6819=ADVANCED_FACE('',(#6179),#6469,.F.); +#6820=ADVANCED_FACE('',(#6180),#6470,.F.); +#6821=ADVANCED_FACE('',(#6181),#6471,.F.); +#6822=ADVANCED_FACE('',(#6182),#6472,.F.); +#6823=ADVANCED_FACE('',(#6183),#6473,.F.); +#6824=ADVANCED_FACE('',(#6184),#6474,.F.); +#6825=ADVANCED_FACE('',(#6185),#6475,.F.); +#6826=ADVANCED_FACE('',(#6186),#6476,.F.); +#6827=ADVANCED_FACE('',(#6187),#6477,.F.); +#6828=ADVANCED_FACE('',(#6188),#6478,.F.); +#6829=ADVANCED_FACE('',(#6189),#6479,.F.); +#6830=ADVANCED_FACE('',(#6190),#6480,.F.); +#6831=ADVANCED_FACE('',(#6191),#6481,.F.); +#6832=ADVANCED_FACE('',(#6192),#6482,.F.); +#6833=ADVANCED_FACE('',(#6193),#6483,.F.); +#6834=ADVANCED_FACE('',(#6194),#6484,.F.); +#6835=ADVANCED_FACE('',(#6195),#6485,.F.); +#6836=ADVANCED_FACE('',(#6196),#6486,.F.); +#6837=ADVANCED_FACE('',(#6197),#6487,.F.); +#6838=ADVANCED_FACE('',(#6198),#6488,.F.); +#6839=ADVANCED_FACE('',(#6199),#6489,.F.); +#6840=ADVANCED_FACE('',(#6200),#6490,.F.); +#6841=ADVANCED_FACE('',(#6201),#6491,.F.); +#6842=ADVANCED_FACE('',(#6202),#6492,.F.); +#6843=ADVANCED_FACE('',(#6203),#6493,.F.); +#6844=ADVANCED_FACE('',(#6204),#6494,.F.); +#6845=ADVANCED_FACE('',(#6205),#6495,.F.); +#6846=ADVANCED_FACE('',(#6206),#6496,.F.); +#6847=ADVANCED_FACE('',(#6207),#6497,.F.); +#6848=ADVANCED_FACE('',(#6208),#6498,.F.); +#6849=ADVANCED_FACE('',(#6209),#6499,.F.); +#6850=ADVANCED_FACE('',(#6210),#6500,.F.); +#6851=ADVANCED_FACE('',(#6211),#6501,.F.); +#6852=ADVANCED_FACE('',(#6212),#6502,.F.); +#6853=ADVANCED_FACE('',(#6213),#6503,.F.); +#6854=ADVANCED_FACE('',(#6214),#6504,.F.); +#6855=ADVANCED_FACE('',(#6215),#6505,.F.); +#6856=ADVANCED_FACE('',(#6216),#6506,.F.); +#6857=ADVANCED_FACE('',(#6217),#6507,.F.); +#6858=ADVANCED_FACE('',(#6218),#6508,.F.); +#6859=ADVANCED_FACE('',(#6219),#6509,.F.); +#6860=ADVANCED_FACE('',(#6220),#6510,.F.); +#6861=CLOSED_SHELL('',(#6511,#6512,#6513,#6514,#6515,#6516,#6517,#6518, +#6519,#6520,#6521,#6522,#6523,#6524,#6525,#6526,#6527,#6528,#6529,#6530, +#6531,#6532,#6533,#6534,#6535,#6536,#6537,#6538,#6539,#6540,#6541,#6542, +#6543,#6544,#6545,#6546,#6547,#6548,#6549,#6550,#6551,#6552,#6553,#6554, +#6555,#6556,#6557,#6558,#6559,#6560,#6561,#6562,#6563,#6564,#6565,#6566, +#6567,#6568,#6569,#6570,#6571,#6572,#6573,#6574,#6575,#6576,#6577,#6578)); +#6862=CLOSED_SHELL('',(#6579,#6580,#6581,#6582,#6583,#6584,#6585,#6586, +#6587,#6588,#6589,#6590,#6591,#6592,#6593,#6594,#6595,#6596,#6597,#6598, +#6599,#6600,#6601,#6602,#6603,#6604,#6605,#6606,#6607,#6608,#6609,#6610, +#6611,#6612,#6613,#6614,#6615,#6616,#6617,#6618,#6619,#6620,#6621,#6622, +#6623,#6624,#6625,#6626,#6627,#6628,#6629,#6630,#6631,#6632,#6633,#6634, +#6635,#6636,#6637,#6638,#6639,#6640,#6641,#6642,#6643,#6644,#6645,#6646, +#6647,#6648,#6649,#6650,#6651,#6652,#6653,#6654,#6655,#6656,#6657,#6658, +#6659,#6660,#6661,#6662,#6663,#6664,#6665,#6666,#6667,#6668,#6669,#6670, +#6671,#6672,#6673,#6674,#6675,#6676,#6677,#6678,#6679,#6680,#6681,#6682, +#6683,#6684,#6685,#6686,#6687,#6688,#6689,#6690,#6691,#6692,#6693,#6694, +#6695,#6696,#6697,#6698,#6699,#6700,#6701,#6702,#6703,#6704,#6705,#6706, +#6707,#6708,#6709,#6710,#6711,#6712,#6713,#6714,#6715,#6716,#6717,#6718, +#6719,#6720,#6721,#6722,#6723,#6724,#6725,#6726,#6727,#6728,#6729,#6730, +#6731,#6732,#6733,#6734,#6735,#6736,#6737,#6738,#6739,#6740,#6741,#6742, +#6743,#6744,#6745,#6746,#6747,#6748,#6749,#6750,#6751,#6752,#6753,#6754, +#6755,#6756,#6757,#6758,#6759,#6760,#6761,#6762,#6763,#6764,#6765,#6766, +#6767,#6768,#6769,#6770,#6771,#6772,#6773,#6774,#6775,#6776,#6777,#6778, +#6779,#6780,#6781,#6782,#6783,#6784,#6785,#6786,#6787,#6788,#6789,#6790, +#6791,#6792,#6793,#6794,#6795,#6796,#6797,#6798,#6799,#6800,#6801,#6802, +#6803,#6804,#6805,#6806,#6807,#6808,#6809,#6810,#6811,#6812,#6813,#6814, +#6815,#6816,#6817,#6818,#6819,#6820,#6821,#6822,#6823,#6824,#6825,#6826, +#6827,#6828,#6829,#6830,#6831,#6832,#6833,#6834,#6835,#6836,#6837,#6838, +#6839,#6840,#6841,#6842,#6843,#6844,#6845,#6846,#6847,#6848,#6849,#6850, +#6851,#6852,#6853,#6854,#6855,#6856,#6857,#6858,#6859,#6860)); +#6863=STYLED_ITEM('',(#6865),#6878); +#6864=STYLED_ITEM('',(#6866),#6879); +#6865=PRESENTATION_STYLE_ASSIGNMENT((#6867)); +#6866=PRESENTATION_STYLE_ASSIGNMENT((#6868)); +#6867=SURFACE_STYLE_USAGE(.BOTH.,#6869); +#6868=SURFACE_STYLE_USAGE(.BOTH.,#6870); +#6869=SURFACE_SIDE_STYLE('',(#6871)); +#6870=SURFACE_SIDE_STYLE('',(#6872)); +#6871=SURFACE_STYLE_FILL_AREA(#6873); +#6872=SURFACE_STYLE_FILL_AREA(#6874); +#6873=FILL_AREA_STYLE('',(#6875)); +#6874=FILL_AREA_STYLE('',(#6876)); +#6875=FILL_AREA_STYLE_COLOUR('',#6877); +#6876=FILL_AREA_STYLE_COLOUR('',#18); +#6877=COLOUR_RGB('',0.5,0.5,0.5); +#6878=MANIFOLD_SOLID_BREP('MMT-107-01-F-DH-A_body',#6861); +#6879=MANIFOLD_SOLID_BREP('MMT-107-01-F-DH-A_pins',#6862); +#6880=SHAPE_DEFINITION_REPRESENTATION(#11117,#6883); +#6881=SHAPE_DEFINITION_REPRESENTATION(#11118,#6884); +#6882=SHAPE_DEFINITION_REPRESENTATION(#11120,#6885); +#6883=SHAPE_REPRESENTATION('MMT-107-01-F-DH-A',(#6886,#6961,#7356),#11105); +#6884=SHAPE_REPRESENTATION('MMT-107-01-F-DH-A_body',(#6886),#11106); +#6885=SHAPE_REPRESENTATION('MMT-107-01-F-DH-A_pins',(#6886),#11107); +#6886=AXIS2_PLACEMENT_3D('',#9151,#7357,#7358); +#6887=AXIS2_PLACEMENT_3D('',#9152,#7359,#7360); +#6888=AXIS2_PLACEMENT_3D('',#9161,#7365,#7366); +#6889=AXIS2_PLACEMENT_3D('',#9162,#7367,#7368); +#6890=AXIS2_PLACEMENT_3D('',#9164,#7369,#7370); +#6891=AXIS2_PLACEMENT_3D('',#9171,#7374,#7375); +#6892=AXIS2_PLACEMENT_3D('',#9177,#7379,#7380); +#6893=AXIS2_PLACEMENT_3D('',#9186,#7385,#7386); +#6894=AXIS2_PLACEMENT_3D('',#9192,#7390,#7391); +#6895=AXIS2_PLACEMENT_3D('',#9198,#7395,#7396); +#6896=AXIS2_PLACEMENT_3D('',#9207,#7401,#7402); +#6897=AXIS2_PLACEMENT_3D('',#9213,#7406,#7407); +#6898=AXIS2_PLACEMENT_3D('',#9219,#7411,#7412); +#6899=AXIS2_PLACEMENT_3D('',#9228,#7417,#7418); +#6900=AXIS2_PLACEMENT_3D('',#9234,#7422,#7423); +#6901=AXIS2_PLACEMENT_3D('',#9240,#7427,#7428); +#6902=AXIS2_PLACEMENT_3D('',#9249,#7433,#7434); +#6903=AXIS2_PLACEMENT_3D('',#9255,#7438,#7439); +#6904=AXIS2_PLACEMENT_3D('',#9261,#7443,#7444); +#6905=AXIS2_PLACEMENT_3D('',#9270,#7449,#7450); +#6906=AXIS2_PLACEMENT_3D('',#9276,#7454,#7455); +#6907=AXIS2_PLACEMENT_3D('',#9282,#7459,#7460); +#6908=AXIS2_PLACEMENT_3D('',#9291,#7465,#7466); +#6909=AXIS2_PLACEMENT_3D('',#9297,#7470,#7471); +#6910=AXIS2_PLACEMENT_3D('',#9303,#7475,#7476); +#6911=AXIS2_PLACEMENT_3D('',#9312,#7481,#7482); +#6912=AXIS2_PLACEMENT_3D('',#9318,#7486,#7487); +#6913=AXIS2_PLACEMENT_3D('',#9324,#7491,#7492); +#6914=AXIS2_PLACEMENT_3D('',#9333,#7497,#7498); +#6915=AXIS2_PLACEMENT_3D('',#9339,#7502,#7503); +#6916=AXIS2_PLACEMENT_3D('',#9345,#7507,#7508); +#6917=AXIS2_PLACEMENT_3D('',#9354,#7513,#7514); +#6918=AXIS2_PLACEMENT_3D('',#9360,#7518,#7519); +#6919=AXIS2_PLACEMENT_3D('',#9366,#7523,#7524); +#6920=AXIS2_PLACEMENT_3D('',#9375,#7529,#7530); +#6921=AXIS2_PLACEMENT_3D('',#9381,#7534,#7535); +#6922=AXIS2_PLACEMENT_3D('',#9387,#7539,#7540); +#6923=AXIS2_PLACEMENT_3D('',#9396,#7545,#7546); +#6924=AXIS2_PLACEMENT_3D('',#9402,#7550,#7551); +#6925=AXIS2_PLACEMENT_3D('',#9408,#7555,#7556); +#6926=AXIS2_PLACEMENT_3D('',#9417,#7561,#7562); +#6927=AXIS2_PLACEMENT_3D('',#9423,#7566,#7567); +#6928=AXIS2_PLACEMENT_3D('',#9429,#7571,#7572); +#6929=AXIS2_PLACEMENT_3D('',#9438,#7577,#7578); +#6930=AXIS2_PLACEMENT_3D('',#9444,#7582,#7583); +#6931=AXIS2_PLACEMENT_3D('',#9450,#7587,#7588); +#6932=AXIS2_PLACEMENT_3D('',#9459,#7593,#7594); +#6933=AXIS2_PLACEMENT_3D('',#9465,#7598,#7599); +#6934=AXIS2_PLACEMENT_3D('',#9471,#7603,#7604); +#6935=AXIS2_PLACEMENT_3D('',#9474,#7607,#7608); +#6936=AXIS2_PLACEMENT_3D('',#9477,#7611,#7612); +#6937=AXIS2_PLACEMENT_3D('',#9480,#7615,#7616); +#6938=AXIS2_PLACEMENT_3D('',#9483,#7619,#7620); +#6939=AXIS2_PLACEMENT_3D('',#9486,#7623,#7624); +#6940=AXIS2_PLACEMENT_3D('',#9489,#7627,#7628); +#6941=AXIS2_PLACEMENT_3D('',#9492,#7631,#7632); +#6942=AXIS2_PLACEMENT_3D('',#9495,#7635,#7636); +#6943=AXIS2_PLACEMENT_3D('',#9498,#7639,#7640); +#6944=AXIS2_PLACEMENT_3D('',#9501,#7643,#7644); +#6945=AXIS2_PLACEMENT_3D('',#9504,#7647,#7648); +#6946=AXIS2_PLACEMENT_3D('',#9507,#7651,#7652); +#6947=AXIS2_PLACEMENT_3D('',#9510,#7655,#7656); +#6948=AXIS2_PLACEMENT_3D('',#9513,#7659,#7660); +#6949=AXIS2_PLACEMENT_3D('',#9516,#7663,#7664); +#6950=AXIS2_PLACEMENT_3D('',#9517,#7665,#7666); +#6951=AXIS2_PLACEMENT_3D('',#9518,#7667,#7668); +#6952=AXIS2_PLACEMENT_3D('',#9519,#7669,#7670); +#6953=AXIS2_PLACEMENT_3D('',#9521,#7671,#7672); +#6954=AXIS2_PLACEMENT_3D('',#9522,#7673,#7674); +#6955=AXIS2_PLACEMENT_3D('',#9524,#7675,#7676); +#6956=AXIS2_PLACEMENT_3D('',#9525,#7677,#7678); +#6957=AXIS2_PLACEMENT_3D('',#9526,#7679,#7680); +#6958=AXIS2_PLACEMENT_3D('',#9528,#7681,#7682); +#6959=AXIS2_PLACEMENT_3D('',#9529,#7683,#7684); +#6960=AXIS2_PLACEMENT_3D('',#9531,#7685,#7686); +#6961=AXIS2_PLACEMENT_3D('',#9532,#7687,#7688); +#6962=AXIS2_PLACEMENT_3D('',#9533,#7689,#7690); +#6963=AXIS2_PLACEMENT_3D('',#9542,#7695,#7696); +#6964=AXIS2_PLACEMENT_3D('',#9551,#7701,#7702); +#6965=AXIS2_PLACEMENT_3D('',#9560,#7707,#7708); +#6966=AXIS2_PLACEMENT_3D('',#9569,#7713,#7714); +#6967=AXIS2_PLACEMENT_3D('',#9578,#7719,#7720); +#6968=AXIS2_PLACEMENT_3D('',#9587,#7725,#7726); +#6969=AXIS2_PLACEMENT_3D('',#9593,#7730,#7731); +#6970=AXIS2_PLACEMENT_3D('',#9599,#7735,#7736); +#6971=AXIS2_PLACEMENT_3D('',#9605,#7740,#7741); +#6972=AXIS2_PLACEMENT_3D('',#9611,#7745,#7746); +#6973=AXIS2_PLACEMENT_3D('',#9617,#7750,#7751); +#6974=AXIS2_PLACEMENT_3D('',#9623,#7755,#7756); +#6975=AXIS2_PLACEMENT_3D('',#9629,#7760,#7761); +#6976=AXIS2_PLACEMENT_3D('',#9635,#7765,#7766); +#6977=AXIS2_PLACEMENT_3D('',#9641,#7770,#7771); +#6978=AXIS2_PLACEMENT_3D('',#9647,#7775,#7776); +#6979=AXIS2_PLACEMENT_3D('',#9653,#7780,#7781); +#6980=AXIS2_PLACEMENT_3D('',#9659,#7785,#7786); +#6981=AXIS2_PLACEMENT_3D('',#9660,#7787,#7788); +#6982=AXIS2_PLACEMENT_3D('',#9662,#7789,#7790); +#6983=AXIS2_PLACEMENT_3D('',#9665,#7792,#7793); +#6984=AXIS2_PLACEMENT_3D('',#9666,#7794,#7795); +#6985=AXIS2_PLACEMENT_3D('',#9668,#7796,#7797); +#6986=AXIS2_PLACEMENT_3D('',#9671,#7799,#7800); +#6987=AXIS2_PLACEMENT_3D('',#9672,#7801,#7802); +#6988=AXIS2_PLACEMENT_3D('',#9674,#7803,#7804); +#6989=AXIS2_PLACEMENT_3D('',#9677,#7806,#7807); +#6990=AXIS2_PLACEMENT_3D('',#9678,#7808,#7809); +#6991=AXIS2_PLACEMENT_3D('',#9680,#7810,#7811); +#6992=AXIS2_PLACEMENT_3D('',#9683,#7813,#7814); +#6993=AXIS2_PLACEMENT_3D('',#9684,#7815,#7816); +#6994=AXIS2_PLACEMENT_3D('',#9686,#7817,#7818); +#6995=AXIS2_PLACEMENT_3D('',#9689,#7820,#7821); +#6996=AXIS2_PLACEMENT_3D('',#9690,#7822,#7823); +#6997=AXIS2_PLACEMENT_3D('',#9692,#7824,#7825); +#6998=AXIS2_PLACEMENT_3D('',#9695,#7827,#7828); +#6999=AXIS2_PLACEMENT_3D('',#9701,#7832,#7833); +#7000=AXIS2_PLACEMENT_3D('',#9707,#7837,#7838); +#7001=AXIS2_PLACEMENT_3D('',#9713,#7842,#7843); +#7002=AXIS2_PLACEMENT_3D('',#9719,#7847,#7848); +#7003=AXIS2_PLACEMENT_3D('',#9725,#7852,#7853); +#7004=AXIS2_PLACEMENT_3D('',#9731,#7857,#7858); +#7005=AXIS2_PLACEMENT_3D('',#9735,#7860,#7861); +#7006=AXIS2_PLACEMENT_3D('',#9736,#7862,#7863); +#7007=AXIS2_PLACEMENT_3D('',#9737,#7864,#7865); +#7008=AXIS2_PLACEMENT_3D('',#9741,#7867,#7868); +#7009=AXIS2_PLACEMENT_3D('',#9742,#7869,#7870); +#7010=AXIS2_PLACEMENT_3D('',#9743,#7871,#7872); +#7011=AXIS2_PLACEMENT_3D('',#9747,#7874,#7875); +#7012=AXIS2_PLACEMENT_3D('',#9748,#7876,#7877); +#7013=AXIS2_PLACEMENT_3D('',#9749,#7878,#7879); +#7014=AXIS2_PLACEMENT_3D('',#9753,#7881,#7882); +#7015=AXIS2_PLACEMENT_3D('',#9754,#7883,#7884); +#7016=AXIS2_PLACEMENT_3D('',#9755,#7885,#7886); +#7017=AXIS2_PLACEMENT_3D('',#9759,#7888,#7889); +#7018=AXIS2_PLACEMENT_3D('',#9760,#7890,#7891); +#7019=AXIS2_PLACEMENT_3D('',#9761,#7892,#7893); +#7020=AXIS2_PLACEMENT_3D('',#9765,#7895,#7896); +#7021=AXIS2_PLACEMENT_3D('',#9766,#7897,#7898); +#7022=AXIS2_PLACEMENT_3D('',#9767,#7899,#7900); +#7023=AXIS2_PLACEMENT_3D('',#9776,#7905,#7906); +#7024=AXIS2_PLACEMENT_3D('',#9785,#7911,#7912); +#7025=AXIS2_PLACEMENT_3D('',#9794,#7917,#7918); +#7026=AXIS2_PLACEMENT_3D('',#9803,#7923,#7924); +#7027=AXIS2_PLACEMENT_3D('',#9812,#7929,#7930); +#7028=AXIS2_PLACEMENT_3D('',#9821,#7935,#7936); +#7029=AXIS2_PLACEMENT_3D('',#9827,#7940,#7941); +#7030=AXIS2_PLACEMENT_3D('',#9833,#7945,#7946); +#7031=AXIS2_PLACEMENT_3D('',#9839,#7950,#7951); +#7032=AXIS2_PLACEMENT_3D('',#9845,#7955,#7956); +#7033=AXIS2_PLACEMENT_3D('',#9851,#7960,#7961); +#7034=AXIS2_PLACEMENT_3D('',#9857,#7965,#7966); +#7035=AXIS2_PLACEMENT_3D('',#9863,#7970,#7971); +#7036=AXIS2_PLACEMENT_3D('',#9869,#7975,#7976); +#7037=AXIS2_PLACEMENT_3D('',#9875,#7980,#7981); +#7038=AXIS2_PLACEMENT_3D('',#9881,#7985,#7986); +#7039=AXIS2_PLACEMENT_3D('',#9887,#7990,#7991); +#7040=AXIS2_PLACEMENT_3D('',#9893,#7995,#7996); +#7041=AXIS2_PLACEMENT_3D('',#9899,#8000,#8001); +#7042=AXIS2_PLACEMENT_3D('',#9905,#8005,#8006); +#7043=AXIS2_PLACEMENT_3D('',#9911,#8010,#8011); +#7044=AXIS2_PLACEMENT_3D('',#9917,#8015,#8016); +#7045=AXIS2_PLACEMENT_3D('',#9923,#8020,#8021); +#7046=AXIS2_PLACEMENT_3D('',#9929,#8025,#8026); +#7047=AXIS2_PLACEMENT_3D('',#9938,#8031,#8032); +#7048=AXIS2_PLACEMENT_3D('',#9947,#8037,#8038); +#7049=AXIS2_PLACEMENT_3D('',#9956,#8043,#8044); +#7050=AXIS2_PLACEMENT_3D('',#9965,#8049,#8050); +#7051=AXIS2_PLACEMENT_3D('',#9974,#8055,#8056); +#7052=AXIS2_PLACEMENT_3D('',#9983,#8061,#8062); +#7053=AXIS2_PLACEMENT_3D('',#9989,#8066,#8067); +#7054=AXIS2_PLACEMENT_3D('',#9995,#8071,#8072); +#7055=AXIS2_PLACEMENT_3D('',#10001,#8076,#8077); +#7056=AXIS2_PLACEMENT_3D('',#10007,#8081,#8082); +#7057=AXIS2_PLACEMENT_3D('',#10013,#8086,#8087); +#7058=AXIS2_PLACEMENT_3D('',#10019,#8091,#8092); +#7059=AXIS2_PLACEMENT_3D('',#10025,#8096,#8097); +#7060=AXIS2_PLACEMENT_3D('',#10031,#8101,#8102); +#7061=AXIS2_PLACEMENT_3D('',#10037,#8106,#8107); +#7062=AXIS2_PLACEMENT_3D('',#10043,#8111,#8112); +#7063=AXIS2_PLACEMENT_3D('',#10049,#8116,#8117); +#7064=AXIS2_PLACEMENT_3D('',#10055,#8121,#8122); +#7065=AXIS2_PLACEMENT_3D('',#10061,#8126,#8127); +#7066=AXIS2_PLACEMENT_3D('',#10067,#8131,#8132); +#7067=AXIS2_PLACEMENT_3D('',#10073,#8136,#8137); +#7068=AXIS2_PLACEMENT_3D('',#10079,#8141,#8142); +#7069=AXIS2_PLACEMENT_3D('',#10085,#8146,#8147); +#7070=AXIS2_PLACEMENT_3D('',#10091,#8151,#8152); +#7071=AXIS2_PLACEMENT_3D('',#10092,#8153,#8154); +#7072=AXIS2_PLACEMENT_3D('',#10097,#8156,#8157); +#7073=AXIS2_PLACEMENT_3D('',#10100,#8159,#8160); +#7074=AXIS2_PLACEMENT_3D('',#10101,#8161,#8162); +#7075=AXIS2_PLACEMENT_3D('',#10106,#8164,#8165); +#7076=AXIS2_PLACEMENT_3D('',#10109,#8167,#8168); +#7077=AXIS2_PLACEMENT_3D('',#10110,#8169,#8170); +#7078=AXIS2_PLACEMENT_3D('',#10115,#8172,#8173); +#7079=AXIS2_PLACEMENT_3D('',#10118,#8175,#8176); +#7080=AXIS2_PLACEMENT_3D('',#10119,#8177,#8178); +#7081=AXIS2_PLACEMENT_3D('',#10124,#8180,#8181); +#7082=AXIS2_PLACEMENT_3D('',#10127,#8183,#8184); +#7083=AXIS2_PLACEMENT_3D('',#10128,#8185,#8186); +#7084=AXIS2_PLACEMENT_3D('',#10133,#8188,#8189); +#7085=AXIS2_PLACEMENT_3D('',#10136,#8191,#8192); +#7086=AXIS2_PLACEMENT_3D('',#10137,#8193,#8194); +#7087=AXIS2_PLACEMENT_3D('',#10142,#8196,#8197); +#7088=AXIS2_PLACEMENT_3D('',#10145,#8199,#8200); +#7089=AXIS2_PLACEMENT_3D('',#10151,#8204,#8205); +#7090=AXIS2_PLACEMENT_3D('',#10157,#8209,#8210); +#7091=AXIS2_PLACEMENT_3D('',#10163,#8214,#8215); +#7092=AXIS2_PLACEMENT_3D('',#10169,#8219,#8220); +#7093=AXIS2_PLACEMENT_3D('',#10175,#8224,#8225); +#7094=AXIS2_PLACEMENT_3D('',#10181,#8229,#8230); +#7095=AXIS2_PLACEMENT_3D('',#10185,#8232,#8233); +#7096=AXIS2_PLACEMENT_3D('',#10186,#8234,#8235); +#7097=AXIS2_PLACEMENT_3D('',#10187,#8236,#8237); +#7098=AXIS2_PLACEMENT_3D('',#10191,#8239,#8240); +#7099=AXIS2_PLACEMENT_3D('',#10192,#8241,#8242); +#7100=AXIS2_PLACEMENT_3D('',#10193,#8243,#8244); +#7101=AXIS2_PLACEMENT_3D('',#10197,#8246,#8247); +#7102=AXIS2_PLACEMENT_3D('',#10198,#8248,#8249); +#7103=AXIS2_PLACEMENT_3D('',#10199,#8250,#8251); +#7104=AXIS2_PLACEMENT_3D('',#10203,#8253,#8254); +#7105=AXIS2_PLACEMENT_3D('',#10204,#8255,#8256); +#7106=AXIS2_PLACEMENT_3D('',#10205,#8257,#8258); +#7107=AXIS2_PLACEMENT_3D('',#10209,#8260,#8261); +#7108=AXIS2_PLACEMENT_3D('',#10210,#8262,#8263); +#7109=AXIS2_PLACEMENT_3D('',#10211,#8264,#8265); +#7110=AXIS2_PLACEMENT_3D('',#10215,#8267,#8268); +#7111=AXIS2_PLACEMENT_3D('',#10216,#8269,#8270); +#7112=AXIS2_PLACEMENT_3D('',#10217,#8271,#8272); +#7113=AXIS2_PLACEMENT_3D('',#10223,#8276,#8277); +#7114=AXIS2_PLACEMENT_3D('',#10229,#8281,#8282); +#7115=AXIS2_PLACEMENT_3D('',#10235,#8286,#8287); +#7116=AXIS2_PLACEMENT_3D('',#10241,#8291,#8292); +#7117=AXIS2_PLACEMENT_3D('',#10247,#8296,#8297); +#7118=AXIS2_PLACEMENT_3D('',#10253,#8301,#8302); +#7119=AXIS2_PLACEMENT_3D('',#10259,#8306,#8307); +#7120=AXIS2_PLACEMENT_3D('',#10265,#8311,#8312); +#7121=AXIS2_PLACEMENT_3D('',#10271,#8316,#8317); +#7122=AXIS2_PLACEMENT_3D('',#10277,#8321,#8322); +#7123=AXIS2_PLACEMENT_3D('',#10283,#8326,#8327); +#7124=AXIS2_PLACEMENT_3D('',#10289,#8331,#8332); +#7125=AXIS2_PLACEMENT_3D('',#10295,#8336,#8337); +#7126=AXIS2_PLACEMENT_3D('',#10301,#8341,#8342); +#7127=AXIS2_PLACEMENT_3D('',#10307,#8346,#8347); +#7128=AXIS2_PLACEMENT_3D('',#10313,#8351,#8352); +#7129=AXIS2_PLACEMENT_3D('',#10319,#8356,#8357); +#7130=AXIS2_PLACEMENT_3D('',#10325,#8361,#8362); +#7131=AXIS2_PLACEMENT_3D('',#10326,#8363,#8364); +#7132=AXIS2_PLACEMENT_3D('',#10328,#8365,#8366); +#7133=AXIS2_PLACEMENT_3D('',#10331,#8368,#8369); +#7134=AXIS2_PLACEMENT_3D('',#10332,#8370,#8371); +#7135=AXIS2_PLACEMENT_3D('',#10334,#8372,#8373); +#7136=AXIS2_PLACEMENT_3D('',#10337,#8375,#8376); +#7137=AXIS2_PLACEMENT_3D('',#10338,#8377,#8378); +#7138=AXIS2_PLACEMENT_3D('',#10340,#8379,#8380); +#7139=AXIS2_PLACEMENT_3D('',#10343,#8382,#8383); +#7140=AXIS2_PLACEMENT_3D('',#10344,#8384,#8385); +#7141=AXIS2_PLACEMENT_3D('',#10346,#8386,#8387); +#7142=AXIS2_PLACEMENT_3D('',#10349,#8389,#8390); +#7143=AXIS2_PLACEMENT_3D('',#10350,#8391,#8392); +#7144=AXIS2_PLACEMENT_3D('',#10352,#8393,#8394); +#7145=AXIS2_PLACEMENT_3D('',#10355,#8396,#8397); +#7146=AXIS2_PLACEMENT_3D('',#10356,#8398,#8399); +#7147=AXIS2_PLACEMENT_3D('',#10358,#8400,#8401); +#7148=AXIS2_PLACEMENT_3D('',#10361,#8403,#8404); +#7149=AXIS2_PLACEMENT_3D('',#10367,#8408,#8409); +#7150=AXIS2_PLACEMENT_3D('',#10373,#8413,#8414); +#7151=AXIS2_PLACEMENT_3D('',#10379,#8418,#8419); +#7152=AXIS2_PLACEMENT_3D('',#10385,#8423,#8424); +#7153=AXIS2_PLACEMENT_3D('',#10391,#8428,#8429); +#7154=AXIS2_PLACEMENT_3D('',#10397,#8433,#8434); +#7155=AXIS2_PLACEMENT_3D('',#10401,#8436,#8437); +#7156=AXIS2_PLACEMENT_3D('',#10402,#8438,#8439); +#7157=AXIS2_PLACEMENT_3D('',#10403,#8440,#8441); +#7158=AXIS2_PLACEMENT_3D('',#10407,#8443,#8444); +#7159=AXIS2_PLACEMENT_3D('',#10408,#8445,#8446); +#7160=AXIS2_PLACEMENT_3D('',#10409,#8447,#8448); +#7161=AXIS2_PLACEMENT_3D('',#10413,#8450,#8451); +#7162=AXIS2_PLACEMENT_3D('',#10414,#8452,#8453); +#7163=AXIS2_PLACEMENT_3D('',#10415,#8454,#8455); +#7164=AXIS2_PLACEMENT_3D('',#10419,#8457,#8458); +#7165=AXIS2_PLACEMENT_3D('',#10420,#8459,#8460); +#7166=AXIS2_PLACEMENT_3D('',#10421,#8461,#8462); +#7167=AXIS2_PLACEMENT_3D('',#10425,#8464,#8465); +#7168=AXIS2_PLACEMENT_3D('',#10426,#8466,#8467); +#7169=AXIS2_PLACEMENT_3D('',#10427,#8468,#8469); +#7170=AXIS2_PLACEMENT_3D('',#10431,#8471,#8472); +#7171=AXIS2_PLACEMENT_3D('',#10432,#8473,#8474); +#7172=AXIS2_PLACEMENT_3D('',#10433,#8475,#8476); +#7173=AXIS2_PLACEMENT_3D('',#10439,#8480,#8481); +#7174=AXIS2_PLACEMENT_3D('',#10445,#8485,#8486); +#7175=AXIS2_PLACEMENT_3D('',#10451,#8490,#8491); +#7176=AXIS2_PLACEMENT_3D('',#10457,#8495,#8496); +#7177=AXIS2_PLACEMENT_3D('',#10463,#8500,#8501); +#7178=AXIS2_PLACEMENT_3D('',#10469,#8505,#8506); +#7179=AXIS2_PLACEMENT_3D('',#10478,#8511,#8512); +#7180=AXIS2_PLACEMENT_3D('',#10487,#8517,#8518); +#7181=AXIS2_PLACEMENT_3D('',#10496,#8523,#8524); +#7182=AXIS2_PLACEMENT_3D('',#10505,#8529,#8530); +#7183=AXIS2_PLACEMENT_3D('',#10514,#8535,#8536); +#7184=AXIS2_PLACEMENT_3D('',#10523,#8541,#8542); +#7185=AXIS2_PLACEMENT_3D('',#10524,#8543,#8544); +#7186=AXIS2_PLACEMENT_3D('',#10526,#8545,#8546); +#7187=AXIS2_PLACEMENT_3D('',#10529,#8548,#8549); +#7188=AXIS2_PLACEMENT_3D('',#10530,#8550,#8551); +#7189=AXIS2_PLACEMENT_3D('',#10532,#8552,#8553); +#7190=AXIS2_PLACEMENT_3D('',#10535,#8555,#8556); +#7191=AXIS2_PLACEMENT_3D('',#10536,#8557,#8558); +#7192=AXIS2_PLACEMENT_3D('',#10538,#8559,#8560); +#7193=AXIS2_PLACEMENT_3D('',#10541,#8562,#8563); +#7194=AXIS2_PLACEMENT_3D('',#10542,#8564,#8565); +#7195=AXIS2_PLACEMENT_3D('',#10544,#8566,#8567); +#7196=AXIS2_PLACEMENT_3D('',#10547,#8569,#8570); +#7197=AXIS2_PLACEMENT_3D('',#10548,#8571,#8572); +#7198=AXIS2_PLACEMENT_3D('',#10550,#8573,#8574); +#7199=AXIS2_PLACEMENT_3D('',#10553,#8576,#8577); +#7200=AXIS2_PLACEMENT_3D('',#10554,#8578,#8579); +#7201=AXIS2_PLACEMENT_3D('',#10556,#8580,#8581); +#7202=AXIS2_PLACEMENT_3D('',#10559,#8583,#8584); +#7203=AXIS2_PLACEMENT_3D('',#10565,#8588,#8589); +#7204=AXIS2_PLACEMENT_3D('',#10571,#8593,#8594); +#7205=AXIS2_PLACEMENT_3D('',#10577,#8598,#8599); +#7206=AXIS2_PLACEMENT_3D('',#10583,#8603,#8604); +#7207=AXIS2_PLACEMENT_3D('',#10589,#8608,#8609); +#7208=AXIS2_PLACEMENT_3D('',#10595,#8613,#8614); +#7209=AXIS2_PLACEMENT_3D('',#10596,#8615,#8616); +#7210=AXIS2_PLACEMENT_3D('',#10597,#8617,#8618); +#7211=AXIS2_PLACEMENT_3D('',#10598,#8619,#8620); +#7212=AXIS2_PLACEMENT_3D('',#10599,#8621,#8622); +#7213=AXIS2_PLACEMENT_3D('',#10600,#8623,#8624); +#7214=AXIS2_PLACEMENT_3D('',#10601,#8625,#8626); +#7215=AXIS2_PLACEMENT_3D('',#10602,#8627,#8628); +#7216=AXIS2_PLACEMENT_3D('',#10603,#8629,#8630); +#7217=AXIS2_PLACEMENT_3D('',#10604,#8631,#8632); +#7218=AXIS2_PLACEMENT_3D('',#10605,#8633,#8634); +#7219=AXIS2_PLACEMENT_3D('',#10606,#8635,#8636); +#7220=AXIS2_PLACEMENT_3D('',#10607,#8637,#8638); +#7221=AXIS2_PLACEMENT_3D('',#10608,#8639,#8640); +#7222=AXIS2_PLACEMENT_3D('',#10609,#8641,#8642); +#7223=AXIS2_PLACEMENT_3D('',#10610,#8643,#8644); +#7224=AXIS2_PLACEMENT_3D('',#10611,#8645,#8646); +#7225=AXIS2_PLACEMENT_3D('',#10612,#8647,#8648); +#7226=AXIS2_PLACEMENT_3D('',#10613,#8649,#8650); +#7227=AXIS2_PLACEMENT_3D('',#10622,#8655,#8656); +#7228=AXIS2_PLACEMENT_3D('',#10628,#8660,#8661); +#7229=AXIS2_PLACEMENT_3D('',#10634,#8665,#8666); +#7230=AXIS2_PLACEMENT_3D('',#10635,#8667,#8668); +#7231=AXIS2_PLACEMENT_3D('',#10637,#8669,#8670); +#7232=AXIS2_PLACEMENT_3D('',#10640,#8672,#8673); +#7233=AXIS2_PLACEMENT_3D('',#10646,#8677,#8678); +#7234=AXIS2_PLACEMENT_3D('',#10650,#8680,#8681); +#7235=AXIS2_PLACEMENT_3D('',#10651,#8682,#8683); +#7236=AXIS2_PLACEMENT_3D('',#10652,#8684,#8685); +#7237=AXIS2_PLACEMENT_3D('',#10726,#8729,#8730); +#7238=AXIS2_PLACEMENT_3D('',#10732,#8734,#8735); +#7239=AXIS2_PLACEMENT_3D('',#10738,#8739,#8740); +#7240=AXIS2_PLACEMENT_3D('',#10744,#8744,#8745); +#7241=AXIS2_PLACEMENT_3D('',#10750,#8749,#8750); +#7242=AXIS2_PLACEMENT_3D('',#10803,#8787,#8788); +#7243=AXIS2_PLACEMENT_3D('',#10809,#8792,#8793); +#7244=AXIS2_PLACEMENT_3D('',#10815,#8797,#8798); +#7245=AXIS2_PLACEMENT_3D('',#10821,#8802,#8803); +#7246=AXIS2_PLACEMENT_3D('',#10827,#8807,#8808); +#7247=AXIS2_PLACEMENT_3D('',#10880,#8845,#8846); +#7248=AXIS2_PLACEMENT_3D('',#10881,#8847,#8848); +#7249=AXIS2_PLACEMENT_3D('',#10883,#8849,#8850); +#7250=AXIS2_PLACEMENT_3D('',#10886,#8852,#8853); +#7251=AXIS2_PLACEMENT_3D('',#10892,#8857,#8858); +#7252=AXIS2_PLACEMENT_3D('',#10896,#8860,#8861); +#7253=AXIS2_PLACEMENT_3D('',#10897,#8862,#8863); +#7254=AXIS2_PLACEMENT_3D('',#10898,#8864,#8865); +#7255=AXIS2_PLACEMENT_3D('',#10904,#8869,#8870); +#7256=AXIS2_PLACEMENT_3D('',#10910,#8874,#8875); +#7257=AXIS2_PLACEMENT_3D('',#10916,#8879,#8880); +#7258=AXIS2_PLACEMENT_3D('',#10917,#8881,#8882); +#7259=AXIS2_PLACEMENT_3D('',#10919,#8883,#8884); +#7260=AXIS2_PLACEMENT_3D('',#10922,#8886,#8887); +#7261=AXIS2_PLACEMENT_3D('',#10928,#8891,#8892); +#7262=AXIS2_PLACEMENT_3D('',#10932,#8894,#8895); +#7263=AXIS2_PLACEMENT_3D('',#10933,#8896,#8897); +#7264=AXIS2_PLACEMENT_3D('',#10934,#8898,#8899); +#7265=AXIS2_PLACEMENT_3D('',#10940,#8903,#8904); +#7266=AXIS2_PLACEMENT_3D('',#10972,#8934,#8935); +#7267=AXIS2_PLACEMENT_3D('',#10978,#8939,#8940); +#7268=AXIS2_PLACEMENT_3D('',#10979,#8941,#8942); +#7269=AXIS2_PLACEMENT_3D('',#10981,#8943,#8944); +#7270=AXIS2_PLACEMENT_3D('',#10984,#8946,#8947); +#7271=AXIS2_PLACEMENT_3D('',#10990,#8951,#8952); +#7272=AXIS2_PLACEMENT_3D('',#10991,#8953,#8954); +#7273=AXIS2_PLACEMENT_3D('',#10992,#8955,#8956); +#7274=AXIS2_PLACEMENT_3D('',#10993,#8957,#8958); +#7275=AXIS2_PLACEMENT_3D('',#10994,#8959,#8960); +#7276=AXIS2_PLACEMENT_3D('',#10997,#8963,#8964); +#7277=AXIS2_PLACEMENT_3D('',#10998,#8965,#8966); +#7278=AXIS2_PLACEMENT_3D('',#10999,#8967,#8968); +#7279=AXIS2_PLACEMENT_3D('',#11000,#8969,#8970); +#7280=AXIS2_PLACEMENT_3D('',#11001,#8971,#8972); +#7281=AXIS2_PLACEMENT_3D('',#11003,#8974,#8975); +#7282=AXIS2_PLACEMENT_3D('',#11004,#8976,#8977); +#7283=AXIS2_PLACEMENT_3D('',#11006,#8979,#8980); +#7284=AXIS2_PLACEMENT_3D('',#11007,#8981,#8982); +#7285=AXIS2_PLACEMENT_3D('',#11008,#8983,#8984); +#7286=AXIS2_PLACEMENT_3D('',#11009,#8985,#8986); +#7287=AXIS2_PLACEMENT_3D('',#11010,#8987,#8988); +#7288=AXIS2_PLACEMENT_3D('',#11011,#8989,#8990); +#7289=AXIS2_PLACEMENT_3D('',#11012,#8991,#8992); +#7290=AXIS2_PLACEMENT_3D('',#11013,#8993,#8994); +#7291=AXIS2_PLACEMENT_3D('',#11014,#8995,#8996); +#7292=AXIS2_PLACEMENT_3D('',#11015,#8997,#8998); +#7293=AXIS2_PLACEMENT_3D('',#11016,#8999,#9000); +#7294=AXIS2_PLACEMENT_3D('',#11017,#9001,#9002); +#7295=AXIS2_PLACEMENT_3D('',#11018,#9003,#9004); +#7296=AXIS2_PLACEMENT_3D('',#11019,#9005,#9006); +#7297=AXIS2_PLACEMENT_3D('',#11020,#9007,#9008); +#7298=AXIS2_PLACEMENT_3D('',#11021,#9009,#9010); +#7299=AXIS2_PLACEMENT_3D('',#11022,#9011,#9012); +#7300=AXIS2_PLACEMENT_3D('',#11023,#9013,#9014); +#7301=AXIS2_PLACEMENT_3D('',#11024,#9015,#9016); +#7302=AXIS2_PLACEMENT_3D('',#11025,#9017,#9018); +#7303=AXIS2_PLACEMENT_3D('',#11026,#9019,#9020); +#7304=AXIS2_PLACEMENT_3D('',#11027,#9021,#9022); +#7305=AXIS2_PLACEMENT_3D('',#11028,#9023,#9024); +#7306=AXIS2_PLACEMENT_3D('',#11029,#9025,#9026); +#7307=AXIS2_PLACEMENT_3D('',#11030,#9027,#9028); +#7308=AXIS2_PLACEMENT_3D('',#11031,#9029,#9030); +#7309=AXIS2_PLACEMENT_3D('',#11033,#9032,#9033); +#7310=AXIS2_PLACEMENT_3D('',#11035,#9035,#9036); +#7311=AXIS2_PLACEMENT_3D('',#11036,#9037,#9038); +#7312=AXIS2_PLACEMENT_3D('',#11037,#9039,#9040); +#7313=AXIS2_PLACEMENT_3D('',#11039,#9042,#9043); +#7314=AXIS2_PLACEMENT_3D('',#11041,#9045,#9046); +#7315=AXIS2_PLACEMENT_3D('',#11042,#9047,#9048); +#7316=AXIS2_PLACEMENT_3D('',#11043,#9049,#9050); +#7317=AXIS2_PLACEMENT_3D('',#11045,#9052,#9053); +#7318=AXIS2_PLACEMENT_3D('',#11047,#9055,#9056); +#7319=AXIS2_PLACEMENT_3D('',#11048,#9057,#9058); +#7320=AXIS2_PLACEMENT_3D('',#11049,#9059,#9060); +#7321=AXIS2_PLACEMENT_3D('',#11051,#9062,#9063); +#7322=AXIS2_PLACEMENT_3D('',#11053,#9065,#9066); +#7323=AXIS2_PLACEMENT_3D('',#11054,#9067,#9068); +#7324=AXIS2_PLACEMENT_3D('',#11055,#9069,#9070); +#7325=AXIS2_PLACEMENT_3D('',#11057,#9072,#9073); +#7326=AXIS2_PLACEMENT_3D('',#11059,#9075,#9076); +#7327=AXIS2_PLACEMENT_3D('',#11060,#9077,#9078); +#7328=AXIS2_PLACEMENT_3D('',#11061,#9079,#9080); +#7329=AXIS2_PLACEMENT_3D('',#11063,#9082,#9083); +#7330=AXIS2_PLACEMENT_3D('',#11065,#9085,#9086); +#7331=AXIS2_PLACEMENT_3D('',#11066,#9087,#9088); +#7332=AXIS2_PLACEMENT_3D('',#11067,#9089,#9090); +#7333=AXIS2_PLACEMENT_3D('',#11069,#9092,#9093); +#7334=AXIS2_PLACEMENT_3D('',#11071,#9095,#9096); +#7335=AXIS2_PLACEMENT_3D('',#11072,#9097,#9098); +#7336=AXIS2_PLACEMENT_3D('',#11073,#9099,#9100); +#7337=AXIS2_PLACEMENT_3D('',#11075,#9102,#9103); +#7338=AXIS2_PLACEMENT_3D('',#11077,#9105,#9106); +#7339=AXIS2_PLACEMENT_3D('',#11078,#9107,#9108); +#7340=AXIS2_PLACEMENT_3D('',#11079,#9109,#9110); +#7341=AXIS2_PLACEMENT_3D('',#11081,#9112,#9113); +#7342=AXIS2_PLACEMENT_3D('',#11083,#9115,#9116); +#7343=AXIS2_PLACEMENT_3D('',#11084,#9117,#9118); +#7344=AXIS2_PLACEMENT_3D('',#11085,#9119,#9120); +#7345=AXIS2_PLACEMENT_3D('',#11087,#9122,#9123); +#7346=AXIS2_PLACEMENT_3D('',#11089,#9125,#9126); +#7347=AXIS2_PLACEMENT_3D('',#11090,#9127,#9128); +#7348=AXIS2_PLACEMENT_3D('',#11091,#9129,#9130); +#7349=AXIS2_PLACEMENT_3D('',#11093,#9132,#9133); +#7350=AXIS2_PLACEMENT_3D('',#11095,#9135,#9136); +#7351=AXIS2_PLACEMENT_3D('',#11096,#9137,#9138); +#7352=AXIS2_PLACEMENT_3D('',#11097,#9139,#9140); +#7353=AXIS2_PLACEMENT_3D('',#11099,#9142,#9143); +#7354=AXIS2_PLACEMENT_3D('',#11101,#9145,#9146); +#7355=AXIS2_PLACEMENT_3D('',#11102,#9147,#9148); +#7356=AXIS2_PLACEMENT_3D('',#11103,#9149,#9150); +#7357=DIRECTION('',(0.,0.,1.)); +#7358=DIRECTION('',(1.,0.,0.)); +#7359=DIRECTION('',(1.,0.,0.)); +#7360=DIRECTION('',(0.,0.,-1.)); +#7361=DIRECTION('',(0.,0.,1.)); +#7362=DIRECTION('',(0.,-1.,0.)); +#7363=DIRECTION('',(0.,0.,1.)); +#7364=DIRECTION('',(0.,-1.,0.)); +#7365=DIRECTION('',(0.,0.,-1.)); +#7366=DIRECTION('',(-1.,0.,0.)); +#7367=DIRECTION('',(0.,0.,-1.)); +#7368=DIRECTION('',(-1.,0.,0.)); +#7369=DIRECTION('',(0.,0.,-1.)); +#7370=DIRECTION('',(-1.,0.,0.)); +#7371=DIRECTION('',(1.,0.,0.)); +#7372=DIRECTION('',(0.,-1.,0.)); +#7373=DIRECTION('',(1.,0.,0.)); +#7374=DIRECTION('',(-1.,0.,0.)); +#7375=DIRECTION('',(0.,0.,1.)); +#7376=DIRECTION('',(0.,0.,-1.)); +#7377=DIRECTION('',(0.,-1.,0.)); +#7378=DIRECTION('',(0.,0.,-1.)); +#7379=DIRECTION('',(1.,0.,0.)); +#7380=DIRECTION('',(0.,0.,-1.)); +#7381=DIRECTION('',(0.,0.,1.)); +#7382=DIRECTION('',(0.,-1.,0.)); +#7383=DIRECTION('',(0.,0.,1.)); +#7384=DIRECTION('',(0.,-1.,0.)); +#7385=DIRECTION('',(0.,0.,1.)); +#7386=DIRECTION('',(1.,0.,0.)); +#7387=DIRECTION('',(-1.,0.,0.)); +#7388=DIRECTION('',(0.,-1.,0.)); +#7389=DIRECTION('',(-1.,0.,0.)); +#7390=DIRECTION('',(-1.,0.,0.)); +#7391=DIRECTION('',(0.,0.,1.)); +#7392=DIRECTION('',(0.,0.,-1.)); +#7393=DIRECTION('',(0.,-1.,0.)); +#7394=DIRECTION('',(0.,0.,-1.)); +#7395=DIRECTION('',(1.,0.,0.)); +#7396=DIRECTION('',(0.,0.,-1.)); +#7397=DIRECTION('',(0.,0.,1.)); +#7398=DIRECTION('',(0.,-1.,0.)); +#7399=DIRECTION('',(0.,0.,1.)); +#7400=DIRECTION('',(0.,-1.,0.)); +#7401=DIRECTION('',(0.,0.,1.)); +#7402=DIRECTION('',(1.,0.,0.)); +#7403=DIRECTION('',(-1.,0.,0.)); +#7404=DIRECTION('',(0.,-1.,0.)); +#7405=DIRECTION('',(-1.,0.,0.)); +#7406=DIRECTION('',(-1.,0.,0.)); +#7407=DIRECTION('',(0.,0.,1.)); +#7408=DIRECTION('',(0.,0.,-1.)); +#7409=DIRECTION('',(0.,-1.,0.)); +#7410=DIRECTION('',(0.,0.,-1.)); +#7411=DIRECTION('',(1.,0.,0.)); +#7412=DIRECTION('',(0.,0.,-1.)); +#7413=DIRECTION('',(0.,0.,1.)); +#7414=DIRECTION('',(0.,-1.,0.)); +#7415=DIRECTION('',(0.,0.,1.)); +#7416=DIRECTION('',(0.,-1.,0.)); +#7417=DIRECTION('',(0.,0.,1.)); +#7418=DIRECTION('',(1.,0.,0.)); +#7419=DIRECTION('',(-1.,0.,0.)); +#7420=DIRECTION('',(0.,-1.,0.)); +#7421=DIRECTION('',(-1.,0.,0.)); +#7422=DIRECTION('',(-1.,0.,0.)); +#7423=DIRECTION('',(0.,0.,1.)); +#7424=DIRECTION('',(0.,0.,-1.)); +#7425=DIRECTION('',(0.,-1.,0.)); +#7426=DIRECTION('',(0.,0.,-1.)); +#7427=DIRECTION('',(1.,0.,0.)); +#7428=DIRECTION('',(0.,0.,-1.)); +#7429=DIRECTION('',(0.,0.,1.)); +#7430=DIRECTION('',(0.,-1.,0.)); +#7431=DIRECTION('',(0.,0.,1.)); +#7432=DIRECTION('',(0.,-1.,0.)); +#7433=DIRECTION('',(0.,0.,1.)); +#7434=DIRECTION('',(1.,0.,0.)); +#7435=DIRECTION('',(-1.,0.,0.)); +#7436=DIRECTION('',(0.,-1.,0.)); +#7437=DIRECTION('',(-1.,0.,0.)); +#7438=DIRECTION('',(-1.,0.,0.)); +#7439=DIRECTION('',(0.,0.,1.)); +#7440=DIRECTION('',(0.,0.,-1.)); +#7441=DIRECTION('',(0.,-1.,0.)); +#7442=DIRECTION('',(0.,0.,-1.)); +#7443=DIRECTION('',(1.,0.,0.)); +#7444=DIRECTION('',(0.,0.,-1.)); +#7445=DIRECTION('',(0.,0.,1.)); +#7446=DIRECTION('',(0.,-1.,0.)); +#7447=DIRECTION('',(0.,0.,1.)); +#7448=DIRECTION('',(0.,-1.,0.)); +#7449=DIRECTION('',(0.,0.,1.)); +#7450=DIRECTION('',(1.,0.,0.)); +#7451=DIRECTION('',(-1.,0.,0.)); +#7452=DIRECTION('',(0.,-1.,0.)); +#7453=DIRECTION('',(-1.,0.,0.)); +#7454=DIRECTION('',(-1.,0.,0.)); +#7455=DIRECTION('',(0.,0.,1.)); +#7456=DIRECTION('',(0.,0.,-1.)); +#7457=DIRECTION('',(0.,-1.,0.)); +#7458=DIRECTION('',(0.,0.,-1.)); +#7459=DIRECTION('',(1.,0.,0.)); +#7460=DIRECTION('',(0.,0.,-1.)); +#7461=DIRECTION('',(0.,0.,1.)); +#7462=DIRECTION('',(0.,-1.,0.)); +#7463=DIRECTION('',(0.,0.,1.)); +#7464=DIRECTION('',(0.,-1.,0.)); +#7465=DIRECTION('',(0.,0.,1.)); +#7466=DIRECTION('',(1.,0.,0.)); +#7467=DIRECTION('',(-1.,0.,0.)); +#7468=DIRECTION('',(0.,-1.,0.)); +#7469=DIRECTION('',(-1.,0.,0.)); +#7470=DIRECTION('',(-1.,0.,0.)); +#7471=DIRECTION('',(0.,0.,1.)); +#7472=DIRECTION('',(0.,0.,-1.)); +#7473=DIRECTION('',(0.,-1.,0.)); +#7474=DIRECTION('',(0.,0.,-1.)); +#7475=DIRECTION('',(1.,0.,0.)); +#7476=DIRECTION('',(0.,0.,-1.)); +#7477=DIRECTION('',(0.,0.,1.)); +#7478=DIRECTION('',(0.,-1.,0.)); +#7479=DIRECTION('',(0.,0.,1.)); +#7480=DIRECTION('',(0.,-1.,0.)); +#7481=DIRECTION('',(0.,0.,1.)); +#7482=DIRECTION('',(1.,0.,0.)); +#7483=DIRECTION('',(-1.,0.,0.)); +#7484=DIRECTION('',(0.,-1.,0.)); +#7485=DIRECTION('',(-1.,0.,0.)); +#7486=DIRECTION('',(-1.,0.,0.)); +#7487=DIRECTION('',(0.,0.,1.)); +#7488=DIRECTION('',(0.,0.,-1.)); +#7489=DIRECTION('',(0.,-1.,0.)); +#7490=DIRECTION('',(0.,0.,-1.)); +#7491=DIRECTION('',(1.,0.,0.)); +#7492=DIRECTION('',(0.,0.,-1.)); +#7493=DIRECTION('',(0.,0.,1.)); +#7494=DIRECTION('',(0.,-1.,0.)); +#7495=DIRECTION('',(0.,0.,1.)); +#7496=DIRECTION('',(0.,-1.,0.)); +#7497=DIRECTION('',(0.,0.,1.)); +#7498=DIRECTION('',(1.,0.,0.)); +#7499=DIRECTION('',(-1.,0.,0.)); +#7500=DIRECTION('',(0.,-1.,0.)); +#7501=DIRECTION('',(-1.,0.,0.)); +#7502=DIRECTION('',(-1.,0.,0.)); +#7503=DIRECTION('',(0.,0.,1.)); +#7504=DIRECTION('',(0.,0.,-1.)); +#7505=DIRECTION('',(0.,-1.,0.)); +#7506=DIRECTION('',(0.,0.,-1.)); +#7507=DIRECTION('',(1.,0.,0.)); +#7508=DIRECTION('',(0.,0.,-1.)); +#7509=DIRECTION('',(0.,0.,1.)); +#7510=DIRECTION('',(0.,-1.,0.)); +#7511=DIRECTION('',(0.,0.,1.)); +#7512=DIRECTION('',(0.,-1.,0.)); +#7513=DIRECTION('',(0.,0.,1.)); +#7514=DIRECTION('',(1.,0.,0.)); +#7515=DIRECTION('',(-1.,0.,0.)); +#7516=DIRECTION('',(0.,-1.,0.)); +#7517=DIRECTION('',(-1.,0.,0.)); +#7518=DIRECTION('',(-1.,0.,0.)); +#7519=DIRECTION('',(0.,0.,1.)); +#7520=DIRECTION('',(0.,0.,-1.)); +#7521=DIRECTION('',(0.,-1.,0.)); +#7522=DIRECTION('',(0.,0.,-1.)); +#7523=DIRECTION('',(1.,0.,0.)); +#7524=DIRECTION('',(0.,0.,-1.)); +#7525=DIRECTION('',(0.,0.,1.)); +#7526=DIRECTION('',(0.,-1.,0.)); +#7527=DIRECTION('',(0.,0.,1.)); +#7528=DIRECTION('',(0.,-1.,0.)); +#7529=DIRECTION('',(0.,0.,1.)); +#7530=DIRECTION('',(1.,0.,0.)); +#7531=DIRECTION('',(-1.,0.,0.)); +#7532=DIRECTION('',(0.,-1.,0.)); +#7533=DIRECTION('',(-1.,0.,0.)); +#7534=DIRECTION('',(-1.,0.,0.)); +#7535=DIRECTION('',(0.,0.,1.)); +#7536=DIRECTION('',(0.,0.,-1.)); +#7537=DIRECTION('',(0.,-1.,0.)); +#7538=DIRECTION('',(0.,0.,-1.)); +#7539=DIRECTION('',(1.,0.,0.)); +#7540=DIRECTION('',(0.,0.,-1.)); +#7541=DIRECTION('',(0.,0.,1.)); +#7542=DIRECTION('',(0.,-1.,0.)); +#7543=DIRECTION('',(0.,0.,1.)); +#7544=DIRECTION('',(0.,-1.,0.)); +#7545=DIRECTION('',(0.,0.,1.)); +#7546=DIRECTION('',(1.,0.,0.)); +#7547=DIRECTION('',(-1.,0.,0.)); +#7548=DIRECTION('',(0.,-1.,0.)); +#7549=DIRECTION('',(-1.,0.,0.)); +#7550=DIRECTION('',(-1.,0.,0.)); +#7551=DIRECTION('',(0.,0.,1.)); +#7552=DIRECTION('',(0.,0.,-1.)); +#7553=DIRECTION('',(0.,-1.,0.)); +#7554=DIRECTION('',(0.,0.,-1.)); +#7555=DIRECTION('',(1.,0.,0.)); +#7556=DIRECTION('',(0.,0.,-1.)); +#7557=DIRECTION('',(0.,0.,1.)); +#7558=DIRECTION('',(0.,-1.,0.)); +#7559=DIRECTION('',(0.,0.,1.)); +#7560=DIRECTION('',(0.,-1.,0.)); +#7561=DIRECTION('',(0.,0.,1.)); +#7562=DIRECTION('',(1.,0.,0.)); +#7563=DIRECTION('',(-1.,0.,0.)); +#7564=DIRECTION('',(0.,-1.,0.)); +#7565=DIRECTION('',(-1.,0.,0.)); +#7566=DIRECTION('',(-1.,0.,0.)); +#7567=DIRECTION('',(0.,0.,1.)); +#7568=DIRECTION('',(0.,0.,-1.)); +#7569=DIRECTION('',(0.,-1.,0.)); +#7570=DIRECTION('',(0.,0.,-1.)); +#7571=DIRECTION('',(1.,0.,0.)); +#7572=DIRECTION('',(0.,0.,-1.)); +#7573=DIRECTION('',(0.,0.,1.)); +#7574=DIRECTION('',(0.,-1.,0.)); +#7575=DIRECTION('',(0.,0.,1.)); +#7576=DIRECTION('',(0.,-1.,0.)); +#7577=DIRECTION('',(0.,0.,1.)); +#7578=DIRECTION('',(1.,0.,0.)); +#7579=DIRECTION('',(-1.,0.,0.)); +#7580=DIRECTION('',(0.,-1.,0.)); +#7581=DIRECTION('',(-1.,0.,0.)); +#7582=DIRECTION('',(-1.,0.,0.)); +#7583=DIRECTION('',(0.,0.,1.)); +#7584=DIRECTION('',(0.,0.,-1.)); +#7585=DIRECTION('',(0.,-1.,0.)); +#7586=DIRECTION('',(0.,0.,-1.)); +#7587=DIRECTION('',(1.,0.,0.)); +#7588=DIRECTION('',(0.,0.,-1.)); +#7589=DIRECTION('',(0.,0.,1.)); +#7590=DIRECTION('',(0.,-1.,0.)); +#7591=DIRECTION('',(0.,0.,1.)); +#7592=DIRECTION('',(0.,-1.,0.)); +#7593=DIRECTION('',(0.,0.,1.)); +#7594=DIRECTION('',(1.,0.,0.)); +#7595=DIRECTION('',(-1.,0.,0.)); +#7596=DIRECTION('',(0.,-1.,0.)); +#7597=DIRECTION('',(-1.,0.,0.)); +#7598=DIRECTION('',(-1.,0.,0.)); +#7599=DIRECTION('',(0.,0.,1.)); +#7600=DIRECTION('',(0.,0.,-1.)); +#7601=DIRECTION('',(0.,-1.,0.)); +#7602=DIRECTION('',(0.,0.,-1.)); +#7603=DIRECTION('',(0.,0.,-1.)); +#7604=DIRECTION('',(-1.,0.,0.)); +#7605=DIRECTION('',(1.,0.,0.)); +#7606=DIRECTION('',(1.,0.,0.)); +#7607=DIRECTION('',(0.,0.,-1.)); +#7608=DIRECTION('',(-1.,0.,0.)); +#7609=DIRECTION('',(1.,0.,0.)); +#7610=DIRECTION('',(1.,0.,0.)); +#7611=DIRECTION('',(0.,0.,-1.)); +#7612=DIRECTION('',(-1.,0.,0.)); +#7613=DIRECTION('',(1.,0.,0.)); +#7614=DIRECTION('',(1.,0.,0.)); +#7615=DIRECTION('',(0.,0.,-1.)); +#7616=DIRECTION('',(-1.,0.,0.)); +#7617=DIRECTION('',(1.,0.,0.)); +#7618=DIRECTION('',(1.,0.,0.)); +#7619=DIRECTION('',(0.,0.,-1.)); +#7620=DIRECTION('',(-1.,0.,0.)); +#7621=DIRECTION('',(1.,0.,0.)); +#7622=DIRECTION('',(1.,0.,0.)); +#7623=DIRECTION('',(0.,0.,-1.)); +#7624=DIRECTION('',(-1.,0.,0.)); +#7625=DIRECTION('',(1.,0.,0.)); +#7626=DIRECTION('',(1.,0.,0.)); +#7627=DIRECTION('',(0.,0.,-1.)); +#7628=DIRECTION('',(-1.,0.,0.)); +#7629=DIRECTION('',(1.,0.,0.)); +#7630=DIRECTION('',(1.,0.,0.)); +#7631=DIRECTION('',(0.,0.,-1.)); +#7632=DIRECTION('',(-1.,0.,0.)); +#7633=DIRECTION('',(1.,0.,0.)); +#7634=DIRECTION('',(1.,0.,0.)); +#7635=DIRECTION('',(0.,0.,-1.)); +#7636=DIRECTION('',(-1.,0.,0.)); +#7637=DIRECTION('',(1.,0.,0.)); +#7638=DIRECTION('',(1.,0.,0.)); +#7639=DIRECTION('',(0.,0.,-1.)); +#7640=DIRECTION('',(-1.,0.,0.)); +#7641=DIRECTION('',(1.,0.,0.)); +#7642=DIRECTION('',(1.,0.,0.)); +#7643=DIRECTION('',(0.,0.,-1.)); +#7644=DIRECTION('',(-1.,0.,0.)); +#7645=DIRECTION('',(1.,0.,0.)); +#7646=DIRECTION('',(1.,0.,0.)); +#7647=DIRECTION('',(0.,0.,-1.)); +#7648=DIRECTION('',(-1.,0.,0.)); +#7649=DIRECTION('',(1.,0.,0.)); +#7650=DIRECTION('',(1.,0.,0.)); +#7651=DIRECTION('',(0.,0.,-1.)); +#7652=DIRECTION('',(-1.,0.,0.)); +#7653=DIRECTION('',(1.,0.,0.)); +#7654=DIRECTION('',(1.,0.,0.)); +#7655=DIRECTION('',(0.,0.,-1.)); +#7656=DIRECTION('',(-1.,0.,0.)); +#7657=DIRECTION('',(1.,0.,0.)); +#7658=DIRECTION('',(1.,0.,0.)); +#7659=DIRECTION('',(0.,0.,1.)); +#7660=DIRECTION('',(1.,0.,0.)); +#7661=DIRECTION('',(-1.,0.,0.)); +#7662=DIRECTION('',(-1.,0.,0.)); +#7663=DIRECTION('',(0.,1.,0.)); +#7664=DIRECTION('',(0.,0.,1.)); +#7665=DIRECTION('',(0.,1.,0.)); +#7666=DIRECTION('',(0.,0.,1.)); +#7667=DIRECTION('',(0.,0.,1.)); +#7668=DIRECTION('',(1.,0.,0.)); +#7669=DIRECTION('',(0.,0.,1.)); +#7670=DIRECTION('',(1.,0.,0.)); +#7671=DIRECTION('',(0.,0.,-1.)); +#7672=DIRECTION('',(-1.,0.,0.)); +#7673=DIRECTION('',(0.,0.,1.)); +#7674=DIRECTION('',(1.,0.,0.)); +#7675=DIRECTION('',(0.,0.,-1.)); +#7676=DIRECTION('',(-1.,0.,0.)); +#7677=DIRECTION('',(0.,0.,1.)); +#7678=DIRECTION('',(1.,0.,0.)); +#7679=DIRECTION('',(0.,0.,1.)); +#7680=DIRECTION('',(1.,0.,0.)); +#7681=DIRECTION('',(0.,0.,-1.)); +#7682=DIRECTION('',(-1.,0.,0.)); +#7683=DIRECTION('',(0.,0.,1.)); +#7684=DIRECTION('',(1.,0.,0.)); +#7685=DIRECTION('',(0.,0.,-1.)); +#7686=DIRECTION('',(-1.,0.,0.)); +#7687=DIRECTION('',(0.,0.,1.)); +#7688=DIRECTION('',(1.,0.,0.)); +#7689=DIRECTION('',(0.,0.,-1.)); +#7690=DIRECTION('',(-1.,0.,0.)); +#7691=DIRECTION('',(-1.,0.,0.)); +#7692=DIRECTION('',(0.,1.,0.)); +#7693=DIRECTION('',(-1.,0.,0.)); +#7694=DIRECTION('',(0.,-1.,0.)); +#7695=DIRECTION('',(0.,0.,-1.)); +#7696=DIRECTION('',(-1.,0.,0.)); +#7697=DIRECTION('',(-1.,0.,0.)); +#7698=DIRECTION('',(0.,1.,0.)); +#7699=DIRECTION('',(-1.,0.,0.)); +#7700=DIRECTION('',(0.,-1.,0.)); +#7701=DIRECTION('',(0.,0.,-1.)); +#7702=DIRECTION('',(-1.,0.,0.)); +#7703=DIRECTION('',(-1.,0.,0.)); +#7704=DIRECTION('',(0.,1.,0.)); +#7705=DIRECTION('',(-1.,0.,0.)); +#7706=DIRECTION('',(0.,-1.,0.)); +#7707=DIRECTION('',(0.,0.,-1.)); +#7708=DIRECTION('',(-1.,0.,0.)); +#7709=DIRECTION('',(-1.,0.,0.)); +#7710=DIRECTION('',(0.,1.,0.)); +#7711=DIRECTION('',(-1.,0.,0.)); +#7712=DIRECTION('',(0.,-1.,0.)); +#7713=DIRECTION('',(0.,0.,-1.)); +#7714=DIRECTION('',(-1.,0.,0.)); +#7715=DIRECTION('',(-1.,0.,0.)); +#7716=DIRECTION('',(0.,1.,0.)); +#7717=DIRECTION('',(-1.,0.,0.)); +#7718=DIRECTION('',(0.,-1.,0.)); +#7719=DIRECTION('',(0.,0.,-1.)); +#7720=DIRECTION('',(-1.,0.,0.)); +#7721=DIRECTION('',(-1.,0.,0.)); +#7722=DIRECTION('',(0.,1.,0.)); +#7723=DIRECTION('',(-1.,0.,0.)); +#7724=DIRECTION('',(0.,-1.,0.)); +#7725=DIRECTION('',(0.,1.,0.)); +#7726=DIRECTION('',(0.,0.,1.)); +#7727=DIRECTION('',(-1.,0.,0.)); +#7728=DIRECTION('',(0.,0.,1.)); +#7729=DIRECTION('',(0.,0.,-1.)); +#7730=DIRECTION('',(0.,1.,0.)); +#7731=DIRECTION('',(0.,0.,1.)); +#7732=DIRECTION('',(-1.,0.,0.)); +#7733=DIRECTION('',(0.,0.,1.)); +#7734=DIRECTION('',(0.,0.,-1.)); +#7735=DIRECTION('',(0.,1.,0.)); +#7736=DIRECTION('',(0.,0.,1.)); +#7737=DIRECTION('',(-1.,0.,0.)); +#7738=DIRECTION('',(0.,0.,1.)); +#7739=DIRECTION('',(0.,0.,-1.)); +#7740=DIRECTION('',(0.,1.,0.)); +#7741=DIRECTION('',(0.,0.,1.)); +#7742=DIRECTION('',(-1.,0.,0.)); +#7743=DIRECTION('',(0.,0.,1.)); +#7744=DIRECTION('',(0.,0.,-1.)); +#7745=DIRECTION('',(0.,1.,0.)); +#7746=DIRECTION('',(0.,0.,1.)); +#7747=DIRECTION('',(-1.,0.,0.)); +#7748=DIRECTION('',(0.,0.,1.)); +#7749=DIRECTION('',(0.,0.,-1.)); +#7750=DIRECTION('',(0.,1.,0.)); +#7751=DIRECTION('',(0.,0.,1.)); +#7752=DIRECTION('',(-1.,0.,0.)); +#7753=DIRECTION('',(0.,0.,1.)); +#7754=DIRECTION('',(0.,0.,-1.)); +#7755=DIRECTION('',(0.,0.,1.)); +#7756=DIRECTION('',(1.,0.,0.)); +#7757=DIRECTION('',(-1.,0.,0.)); +#7758=DIRECTION('',(0.,-1.,0.)); +#7759=DIRECTION('',(0.,1.,0.)); +#7760=DIRECTION('',(0.,0.,1.)); +#7761=DIRECTION('',(1.,0.,0.)); +#7762=DIRECTION('',(-1.,0.,0.)); +#7763=DIRECTION('',(0.,-1.,0.)); +#7764=DIRECTION('',(0.,1.,0.)); +#7765=DIRECTION('',(0.,0.,1.)); +#7766=DIRECTION('',(1.,0.,0.)); +#7767=DIRECTION('',(-1.,0.,0.)); +#7768=DIRECTION('',(0.,-1.,0.)); +#7769=DIRECTION('',(0.,1.,0.)); +#7770=DIRECTION('',(0.,0.,1.)); +#7771=DIRECTION('',(1.,0.,0.)); +#7772=DIRECTION('',(-1.,0.,0.)); +#7773=DIRECTION('',(0.,-1.,0.)); +#7774=DIRECTION('',(0.,1.,0.)); +#7775=DIRECTION('',(0.,0.,1.)); +#7776=DIRECTION('',(1.,0.,0.)); +#7777=DIRECTION('',(-1.,0.,0.)); +#7778=DIRECTION('',(0.,-1.,0.)); +#7779=DIRECTION('',(0.,1.,0.)); +#7780=DIRECTION('',(0.,0.,1.)); +#7781=DIRECTION('',(1.,0.,0.)); +#7782=DIRECTION('',(-1.,0.,0.)); +#7783=DIRECTION('',(0.,-1.,0.)); +#7784=DIRECTION('',(0.,1.,0.)); +#7785=DIRECTION('',(-1.,0.,0.)); +#7786=DIRECTION('',(0.,0.,1.)); +#7787=DIRECTION('',(-1.,0.,0.)); +#7788=DIRECTION('',(0.,0.,1.)); +#7789=DIRECTION('',(1.,0.,0.)); +#7790=DIRECTION('',(0.,0.,-1.)); +#7791=DIRECTION('',(-1.,0.,0.)); +#7792=DIRECTION('',(-1.,0.,0.)); +#7793=DIRECTION('',(0.,0.,1.)); +#7794=DIRECTION('',(-1.,0.,0.)); +#7795=DIRECTION('',(0.,0.,1.)); +#7796=DIRECTION('',(1.,0.,0.)); +#7797=DIRECTION('',(0.,0.,-1.)); +#7798=DIRECTION('',(-1.,0.,0.)); +#7799=DIRECTION('',(-1.,0.,0.)); +#7800=DIRECTION('',(0.,0.,1.)); +#7801=DIRECTION('',(-1.,0.,0.)); +#7802=DIRECTION('',(0.,0.,1.)); +#7803=DIRECTION('',(1.,0.,0.)); +#7804=DIRECTION('',(0.,0.,-1.)); +#7805=DIRECTION('',(-1.,0.,0.)); +#7806=DIRECTION('',(-1.,0.,0.)); +#7807=DIRECTION('',(0.,0.,1.)); +#7808=DIRECTION('',(-1.,0.,0.)); +#7809=DIRECTION('',(0.,0.,1.)); +#7810=DIRECTION('',(1.,0.,0.)); +#7811=DIRECTION('',(0.,0.,-1.)); +#7812=DIRECTION('',(-1.,0.,0.)); +#7813=DIRECTION('',(-1.,0.,0.)); +#7814=DIRECTION('',(0.,0.,1.)); +#7815=DIRECTION('',(-1.,0.,0.)); +#7816=DIRECTION('',(0.,0.,1.)); +#7817=DIRECTION('',(1.,0.,0.)); +#7818=DIRECTION('',(0.,0.,-1.)); +#7819=DIRECTION('',(-1.,0.,0.)); +#7820=DIRECTION('',(-1.,0.,0.)); +#7821=DIRECTION('',(0.,0.,1.)); +#7822=DIRECTION('',(-1.,0.,0.)); +#7823=DIRECTION('',(0.,0.,1.)); +#7824=DIRECTION('',(1.,0.,0.)); +#7825=DIRECTION('',(0.,0.,-1.)); +#7826=DIRECTION('',(-1.,0.,0.)); +#7827=DIRECTION('',(0.,0.70710678118657,0.707106781186525)); +#7828=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#7829=DIRECTION('',(-1.,0.,0.)); +#7830=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#7831=DIRECTION('',(0.,0.707106781186525,-0.70710678118657)); +#7832=DIRECTION('',(0.,0.70710678118657,0.707106781186525)); +#7833=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#7834=DIRECTION('',(-1.,0.,0.)); +#7835=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#7836=DIRECTION('',(0.,0.707106781186525,-0.70710678118657)); +#7837=DIRECTION('',(0.,0.70710678118657,0.707106781186525)); +#7838=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#7839=DIRECTION('',(-1.,0.,0.)); +#7840=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#7841=DIRECTION('',(0.,0.707106781186525,-0.70710678118657)); +#7842=DIRECTION('',(0.,0.70710678118657,0.707106781186525)); +#7843=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#7844=DIRECTION('',(-1.,0.,0.)); +#7845=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#7846=DIRECTION('',(0.,0.707106781186525,-0.70710678118657)); +#7847=DIRECTION('',(0.,0.70710678118657,0.707106781186525)); +#7848=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#7849=DIRECTION('',(-1.,0.,0.)); +#7850=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#7851=DIRECTION('',(0.,0.707106781186525,-0.70710678118657)); +#7852=DIRECTION('',(0.,0.70710678118657,0.707106781186525)); +#7853=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#7854=DIRECTION('',(-1.,0.,0.)); +#7855=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#7856=DIRECTION('',(0.,0.707106781186525,-0.70710678118657)); +#7857=DIRECTION('',(-1.,0.,0.)); +#7858=DIRECTION('',(0.,0.,1.)); +#7859=DIRECTION('',(-1.,0.,0.)); +#7860=DIRECTION('',(-1.,0.,0.)); +#7861=DIRECTION('',(0.,0.,1.)); +#7862=DIRECTION('',(1.,0.,0.)); +#7863=DIRECTION('',(0.,0.,-1.)); +#7864=DIRECTION('',(-1.,0.,0.)); +#7865=DIRECTION('',(0.,0.,1.)); +#7866=DIRECTION('',(-1.,0.,0.)); +#7867=DIRECTION('',(-1.,0.,0.)); +#7868=DIRECTION('',(0.,0.,1.)); +#7869=DIRECTION('',(1.,0.,0.)); +#7870=DIRECTION('',(0.,0.,-1.)); +#7871=DIRECTION('',(-1.,0.,0.)); +#7872=DIRECTION('',(0.,0.,1.)); +#7873=DIRECTION('',(-1.,0.,0.)); +#7874=DIRECTION('',(-1.,0.,0.)); +#7875=DIRECTION('',(0.,0.,1.)); +#7876=DIRECTION('',(1.,0.,0.)); +#7877=DIRECTION('',(0.,0.,-1.)); +#7878=DIRECTION('',(-1.,0.,0.)); +#7879=DIRECTION('',(0.,0.,1.)); +#7880=DIRECTION('',(-1.,0.,0.)); +#7881=DIRECTION('',(-1.,0.,0.)); +#7882=DIRECTION('',(0.,0.,1.)); +#7883=DIRECTION('',(1.,0.,0.)); +#7884=DIRECTION('',(0.,0.,-1.)); +#7885=DIRECTION('',(-1.,0.,0.)); +#7886=DIRECTION('',(0.,0.,1.)); +#7887=DIRECTION('',(-1.,0.,0.)); +#7888=DIRECTION('',(-1.,0.,0.)); +#7889=DIRECTION('',(0.,0.,1.)); +#7890=DIRECTION('',(1.,0.,0.)); +#7891=DIRECTION('',(0.,0.,-1.)); +#7892=DIRECTION('',(-1.,0.,0.)); +#7893=DIRECTION('',(0.,0.,1.)); +#7894=DIRECTION('',(-1.,0.,0.)); +#7895=DIRECTION('',(-1.,0.,0.)); +#7896=DIRECTION('',(0.,0.,1.)); +#7897=DIRECTION('',(1.,0.,0.)); +#7898=DIRECTION('',(0.,0.,-1.)); +#7899=DIRECTION('',(0.,-0.447213595499957,0.894427190999917)); +#7900=DIRECTION('',(0.,-0.894427190999917,-0.447213595499957)); +#7901=DIRECTION('',(-1.,0.,0.)); +#7902=DIRECTION('',(0.408248290463862,0.816496580927727,0.408248290463862)); +#7903=DIRECTION('',(-1.,0.,0.)); +#7904=DIRECTION('',(0.408248290463862,-0.816496580927727,-0.408248290463862)); +#7905=DIRECTION('',(0.,-0.447213595499957,0.894427190999917)); +#7906=DIRECTION('',(0.,-0.894427190999917,-0.447213595499957)); +#7907=DIRECTION('',(-1.,0.,0.)); +#7908=DIRECTION('',(0.40824829046386,0.816496580927728,0.408248290463863)); +#7909=DIRECTION('',(-1.,0.,0.)); +#7910=DIRECTION('',(0.408248290463861,-0.816496580927727,-0.408248290463862)); +#7911=DIRECTION('',(0.,-0.447213595499957,0.894427190999917)); +#7912=DIRECTION('',(0.,-0.894427190999917,-0.447213595499957)); +#7913=DIRECTION('',(-1.,0.,0.)); +#7914=DIRECTION('',(0.408248290463861,0.816496580927727,0.408248290463862)); +#7915=DIRECTION('',(-1.,0.,0.)); +#7916=DIRECTION('',(0.408248290463862,-0.816496580927727,-0.408248290463862)); +#7917=DIRECTION('',(0.,-0.447213595499957,0.894427190999917)); +#7918=DIRECTION('',(0.,-0.894427190999917,-0.447213595499957)); +#7919=DIRECTION('',(-1.,0.,0.)); +#7920=DIRECTION('',(0.408248290463862,0.816496580927727,0.408248290463862)); +#7921=DIRECTION('',(-1.,0.,0.)); +#7922=DIRECTION('',(0.408248290463862,-0.816496580927727,-0.408248290463862)); +#7923=DIRECTION('',(0.,-0.447213595499957,0.894427190999917)); +#7924=DIRECTION('',(0.,-0.894427190999917,-0.447213595499957)); +#7925=DIRECTION('',(-1.,0.,0.)); +#7926=DIRECTION('',(0.408248290463862,0.816496580927727,0.408248290463862)); +#7927=DIRECTION('',(-1.,0.,0.)); +#7928=DIRECTION('',(0.408248290463862,-0.816496580927727,-0.408248290463862)); +#7929=DIRECTION('',(0.,-0.447213595499957,0.894427190999917)); +#7930=DIRECTION('',(0.,-0.894427190999917,-0.447213595499957)); +#7931=DIRECTION('',(-1.,0.,0.)); +#7932=DIRECTION('',(0.408248290463862,0.816496580927727,0.408248290463862)); +#7933=DIRECTION('',(-1.,0.,0.)); +#7934=DIRECTION('',(0.408248290463862,-0.816496580927727,-0.408248290463862)); +#7935=DIRECTION('',(0.,-1.,0.)); +#7936=DIRECTION('',(0.,0.,-1.)); +#7937=DIRECTION('',(0.,0.,-1.)); +#7938=DIRECTION('',(-1.,0.,0.)); +#7939=DIRECTION('',(0.,0.,-1.)); +#7940=DIRECTION('',(0.,-1.,0.)); +#7941=DIRECTION('',(0.,0.,-1.)); +#7942=DIRECTION('',(0.,0.,-1.)); +#7943=DIRECTION('',(-1.,0.,0.)); +#7944=DIRECTION('',(0.,0.,-1.)); +#7945=DIRECTION('',(0.,-1.,0.)); +#7946=DIRECTION('',(0.,0.,-1.)); +#7947=DIRECTION('',(0.,0.,-1.)); +#7948=DIRECTION('',(-1.,0.,0.)); +#7949=DIRECTION('',(0.,0.,-1.)); +#7950=DIRECTION('',(0.,-1.,0.)); +#7951=DIRECTION('',(0.,0.,-1.)); +#7952=DIRECTION('',(0.,0.,-1.)); +#7953=DIRECTION('',(-1.,0.,0.)); +#7954=DIRECTION('',(0.,0.,-1.)); +#7955=DIRECTION('',(0.,-1.,0.)); +#7956=DIRECTION('',(0.,0.,-1.)); +#7957=DIRECTION('',(0.,0.,-1.)); +#7958=DIRECTION('',(-1.,0.,0.)); +#7959=DIRECTION('',(0.,0.,-1.)); +#7960=DIRECTION('',(0.,-1.,0.)); +#7961=DIRECTION('',(0.,0.,-1.)); +#7962=DIRECTION('',(0.,0.,-1.)); +#7963=DIRECTION('',(-1.,0.,0.)); +#7964=DIRECTION('',(0.,0.,-1.)); +#7965=DIRECTION('',(0.,-0.447213595499957,-0.894427190999917)); +#7966=DIRECTION('',(0.,0.894427190999917,-0.447213595499957)); +#7967=DIRECTION('',(-0.408248290463862,-0.816496580927727,0.408248290463862)); +#7968=DIRECTION('',(-1.,0.,0.)); +#7969=DIRECTION('',(-0.408248290463862,0.816496580927727,-0.408248290463862)); +#7970=DIRECTION('',(0.,-0.447213595499957,-0.894427190999917)); +#7971=DIRECTION('',(0.,0.894427190999917,-0.447213595499957)); +#7972=DIRECTION('',(-0.40824829046386,-0.816496580927728,0.408248290463863)); +#7973=DIRECTION('',(-1.,0.,0.)); +#7974=DIRECTION('',(-0.408248290463861,0.816496580927727,-0.408248290463862)); +#7975=DIRECTION('',(0.,-0.447213595499957,-0.894427190999917)); +#7976=DIRECTION('',(0.,0.894427190999917,-0.447213595499957)); +#7977=DIRECTION('',(-0.408248290463861,-0.816496580927727,0.408248290463862)); +#7978=DIRECTION('',(-1.,0.,0.)); +#7979=DIRECTION('',(-0.408248290463862,0.816496580927727,-0.408248290463862)); +#7980=DIRECTION('',(0.,-0.447213595499957,-0.894427190999917)); +#7981=DIRECTION('',(0.,0.894427190999917,-0.447213595499957)); +#7982=DIRECTION('',(-0.408248290463862,-0.816496580927727,0.408248290463862)); +#7983=DIRECTION('',(-1.,0.,0.)); +#7984=DIRECTION('',(-0.408248290463862,0.816496580927727,-0.408248290463862)); +#7985=DIRECTION('',(0.,-0.447213595499957,-0.894427190999917)); +#7986=DIRECTION('',(0.,0.894427190999917,-0.447213595499957)); +#7987=DIRECTION('',(-0.408248290463862,-0.816496580927727,0.408248290463862)); +#7988=DIRECTION('',(-1.,0.,0.)); +#7989=DIRECTION('',(-0.408248290463862,0.816496580927727,-0.408248290463862)); +#7990=DIRECTION('',(0.,-0.447213595499957,-0.894427190999917)); +#7991=DIRECTION('',(0.,0.894427190999917,-0.447213595499957)); +#7992=DIRECTION('',(-0.408248290463862,-0.816496580927727,0.408248290463862)); +#7993=DIRECTION('',(-1.,0.,0.)); +#7994=DIRECTION('',(-0.408248290463862,0.816496580927727,-0.408248290463862)); +#7995=DIRECTION('',(0.,0.,-1.)); +#7996=DIRECTION('',(-1.,0.,0.)); +#7997=DIRECTION('',(-1.,0.,0.)); +#7998=DIRECTION('',(0.,1.,0.)); +#7999=DIRECTION('',(0.,-1.,0.)); +#8000=DIRECTION('',(0.,0.,-1.)); +#8001=DIRECTION('',(-1.,0.,0.)); +#8002=DIRECTION('',(0.,-1.,0.)); +#8003=DIRECTION('',(-1.,0.,0.)); +#8004=DIRECTION('',(0.,1.,0.)); +#8005=DIRECTION('',(0.,0.,-1.)); +#8006=DIRECTION('',(-1.,0.,0.)); +#8007=DIRECTION('',(0.,-1.,0.)); +#8008=DIRECTION('',(-1.,0.,0.)); +#8009=DIRECTION('',(0.,1.,0.)); +#8010=DIRECTION('',(0.,0.,-1.)); +#8011=DIRECTION('',(-1.,0.,0.)); +#8012=DIRECTION('',(0.,-1.,0.)); +#8013=DIRECTION('',(-1.,0.,0.)); +#8014=DIRECTION('',(0.,1.,0.)); +#8015=DIRECTION('',(0.,0.,-1.)); +#8016=DIRECTION('',(-1.,0.,0.)); +#8017=DIRECTION('',(0.,-1.,0.)); +#8018=DIRECTION('',(-1.,0.,0.)); +#8019=DIRECTION('',(0.,1.,0.)); +#8020=DIRECTION('',(0.,0.,-1.)); +#8021=DIRECTION('',(-1.,0.,0.)); +#8022=DIRECTION('',(0.,-1.,0.)); +#8023=DIRECTION('',(-1.,0.,0.)); +#8024=DIRECTION('',(0.,1.,0.)); +#8025=DIRECTION('',(0.,0.,1.)); +#8026=DIRECTION('',(1.,0.,0.)); +#8027=DIRECTION('',(-1.,0.,0.)); +#8028=DIRECTION('',(0.,-1.,0.)); +#8029=DIRECTION('',(-1.,0.,0.)); +#8030=DIRECTION('',(0.,1.,0.)); +#8031=DIRECTION('',(0.,0.,1.)); +#8032=DIRECTION('',(1.,0.,0.)); +#8033=DIRECTION('',(-1.,0.,0.)); +#8034=DIRECTION('',(0.,1.,0.)); +#8035=DIRECTION('',(-1.,0.,0.)); +#8036=DIRECTION('',(0.,-1.,0.)); +#8037=DIRECTION('',(0.,0.,1.)); +#8038=DIRECTION('',(1.,0.,0.)); +#8039=DIRECTION('',(-1.,0.,0.)); +#8040=DIRECTION('',(0.,1.,0.)); +#8041=DIRECTION('',(-1.,0.,0.)); +#8042=DIRECTION('',(0.,-1.,0.)); +#8043=DIRECTION('',(0.,0.,1.)); +#8044=DIRECTION('',(1.,0.,0.)); +#8045=DIRECTION('',(-1.,0.,0.)); +#8046=DIRECTION('',(0.,1.,0.)); +#8047=DIRECTION('',(-1.,0.,0.)); +#8048=DIRECTION('',(0.,-1.,0.)); +#8049=DIRECTION('',(0.,0.,1.)); +#8050=DIRECTION('',(1.,0.,0.)); +#8051=DIRECTION('',(-1.,0.,0.)); +#8052=DIRECTION('',(0.,1.,0.)); +#8053=DIRECTION('',(-1.,0.,0.)); +#8054=DIRECTION('',(0.,-1.,0.)); +#8055=DIRECTION('',(0.,0.,1.)); +#8056=DIRECTION('',(1.,0.,0.)); +#8057=DIRECTION('',(-1.,0.,0.)); +#8058=DIRECTION('',(0.,1.,0.)); +#8059=DIRECTION('',(-1.,0.,0.)); +#8060=DIRECTION('',(0.,-1.,0.)); +#8061=DIRECTION('',(0.,-0.447213595499957,0.894427190999916)); +#8062=DIRECTION('',(0.,-0.894427190999916,-0.447213595499957)); +#8063=DIRECTION('',(0.408248290463862,0.816496580927727,0.408248290463862)); +#8064=DIRECTION('',(-1.,0.,0.)); +#8065=DIRECTION('',(0.408248290463862,-0.816496580927727,-0.408248290463862)); +#8066=DIRECTION('',(0.,-0.447213595499957,0.894427190999916)); +#8067=DIRECTION('',(0.,-0.894427190999916,-0.447213595499957)); +#8068=DIRECTION('',(0.40824829046386,0.816496580927728,0.408248290463863)); +#8069=DIRECTION('',(-1.,0.,0.)); +#8070=DIRECTION('',(0.408248290463861,-0.816496580927727,-0.408248290463863)); +#8071=DIRECTION('',(0.,-0.447213595499957,0.894427190999916)); +#8072=DIRECTION('',(0.,-0.894427190999916,-0.447213595499957)); +#8073=DIRECTION('',(0.408248290463861,0.816496580927727,0.408248290463863)); +#8074=DIRECTION('',(-1.,0.,0.)); +#8075=DIRECTION('',(0.408248290463862,-0.816496580927727,-0.408248290463862)); +#8076=DIRECTION('',(0.,-0.447213595499957,0.894427190999916)); +#8077=DIRECTION('',(0.,-0.894427190999916,-0.447213595499957)); +#8078=DIRECTION('',(0.408248290463862,0.816496580927727,0.408248290463862)); +#8079=DIRECTION('',(-1.,0.,0.)); +#8080=DIRECTION('',(0.408248290463862,-0.816496580927727,-0.408248290463862)); +#8081=DIRECTION('',(0.,-0.447213595499957,0.894427190999916)); +#8082=DIRECTION('',(0.,-0.894427190999916,-0.447213595499957)); +#8083=DIRECTION('',(0.408248290463862,0.816496580927727,0.408248290463862)); +#8084=DIRECTION('',(-1.,0.,0.)); +#8085=DIRECTION('',(0.408248290463862,-0.816496580927727,-0.408248290463862)); +#8086=DIRECTION('',(0.,-0.447213595499957,0.894427190999916)); +#8087=DIRECTION('',(0.,-0.894427190999916,-0.447213595499957)); +#8088=DIRECTION('',(0.408248290463862,0.816496580927727,0.408248290463862)); +#8089=DIRECTION('',(-1.,0.,0.)); +#8090=DIRECTION('',(0.408248290463862,-0.816496580927727,-0.408248290463862)); +#8091=DIRECTION('',(0.,-1.,0.)); +#8092=DIRECTION('',(0.,0.,-1.)); +#8093=DIRECTION('',(0.,0.,-1.)); +#8094=DIRECTION('',(-1.,0.,0.)); +#8095=DIRECTION('',(0.,0.,-1.)); +#8096=DIRECTION('',(0.,-1.,0.)); +#8097=DIRECTION('',(0.,0.,-1.)); +#8098=DIRECTION('',(0.,0.,-1.)); +#8099=DIRECTION('',(-1.,0.,0.)); +#8100=DIRECTION('',(0.,0.,-1.)); +#8101=DIRECTION('',(0.,-1.,0.)); +#8102=DIRECTION('',(0.,0.,-1.)); +#8103=DIRECTION('',(0.,0.,-1.)); +#8104=DIRECTION('',(-1.,0.,0.)); +#8105=DIRECTION('',(0.,0.,-1.)); +#8106=DIRECTION('',(0.,-1.,0.)); +#8107=DIRECTION('',(0.,0.,-1.)); +#8108=DIRECTION('',(0.,0.,-1.)); +#8109=DIRECTION('',(-1.,0.,0.)); +#8110=DIRECTION('',(0.,0.,-1.)); +#8111=DIRECTION('',(0.,-1.,0.)); +#8112=DIRECTION('',(0.,0.,-1.)); +#8113=DIRECTION('',(0.,0.,-1.)); +#8114=DIRECTION('',(-1.,0.,0.)); +#8115=DIRECTION('',(0.,0.,-1.)); +#8116=DIRECTION('',(0.,-1.,0.)); +#8117=DIRECTION('',(0.,0.,-1.)); +#8118=DIRECTION('',(0.,0.,-1.)); +#8119=DIRECTION('',(-1.,0.,0.)); +#8120=DIRECTION('',(0.,0.,-1.)); +#8121=DIRECTION('',(0.,-0.447213595499957,-0.894427190999916)); +#8122=DIRECTION('',(0.,0.894427190999916,-0.447213595499957)); +#8123=DIRECTION('',(-0.408248290463862,-0.816496580927727,0.408248290463863)); +#8124=DIRECTION('',(-1.,0.,0.)); +#8125=DIRECTION('',(-0.408248290463862,0.816496580927727,-0.408248290463863)); +#8126=DIRECTION('',(0.,-0.447213595499957,-0.894427190999916)); +#8127=DIRECTION('',(0.,0.894427190999916,-0.447213595499957)); +#8128=DIRECTION('',(-0.40824829046386,-0.816496580927728,0.408248290463863)); +#8129=DIRECTION('',(-1.,0.,0.)); +#8130=DIRECTION('',(-0.408248290463861,0.816496580927727,-0.408248290463863)); +#8131=DIRECTION('',(0.,-0.447213595499957,-0.894427190999916)); +#8132=DIRECTION('',(0.,0.894427190999916,-0.447213595499957)); +#8133=DIRECTION('',(-0.408248290463861,-0.816496580927727,0.408248290463863)); +#8134=DIRECTION('',(-1.,0.,0.)); +#8135=DIRECTION('',(-0.408248290463862,0.816496580927727,-0.408248290463863)); +#8136=DIRECTION('',(0.,-0.447213595499957,-0.894427190999916)); +#8137=DIRECTION('',(0.,0.894427190999916,-0.447213595499957)); +#8138=DIRECTION('',(-0.408248290463862,-0.816496580927727,0.408248290463863)); +#8139=DIRECTION('',(-1.,0.,0.)); +#8140=DIRECTION('',(-0.408248290463862,0.816496580927727,-0.408248290463863)); +#8141=DIRECTION('',(0.,-0.447213595499957,-0.894427190999916)); +#8142=DIRECTION('',(0.,0.894427190999916,-0.447213595499957)); +#8143=DIRECTION('',(-0.408248290463862,-0.816496580927727,0.408248290463863)); +#8144=DIRECTION('',(-1.,0.,0.)); +#8145=DIRECTION('',(-0.408248290463862,0.816496580927727,-0.408248290463863)); +#8146=DIRECTION('',(0.,-0.447213595499957,-0.894427190999916)); +#8147=DIRECTION('',(0.,0.894427190999916,-0.447213595499957)); +#8148=DIRECTION('',(-0.408248290463862,-0.816496580927727,0.408248290463863)); +#8149=DIRECTION('',(-1.,0.,0.)); +#8150=DIRECTION('',(-0.408248290463862,0.816496580927727,-0.408248290463863)); +#8151=DIRECTION('',(-1.,0.,0.)); +#8152=DIRECTION('',(0.,0.,1.)); +#8153=DIRECTION('',(-1.,0.,0.)); +#8154=DIRECTION('',(0.,0.,1.)); +#8155=DIRECTION('',(-1.,0.,0.)); +#8156=DIRECTION('',(1.,0.,0.)); +#8157=DIRECTION('',(0.,0.,-1.)); +#8158=DIRECTION('',(-1.,0.,0.)); +#8159=DIRECTION('',(-1.,0.,0.)); +#8160=DIRECTION('',(0.,0.,1.)); +#8161=DIRECTION('',(-1.,0.,0.)); +#8162=DIRECTION('',(0.,0.,1.)); +#8163=DIRECTION('',(-1.,0.,0.)); +#8164=DIRECTION('',(1.,0.,0.)); +#8165=DIRECTION('',(0.,0.,-1.)); +#8166=DIRECTION('',(-1.,0.,0.)); +#8167=DIRECTION('',(-1.,0.,0.)); +#8168=DIRECTION('',(0.,0.,1.)); +#8169=DIRECTION('',(-1.,0.,0.)); +#8170=DIRECTION('',(0.,0.,1.)); +#8171=DIRECTION('',(-1.,0.,0.)); +#8172=DIRECTION('',(1.,0.,0.)); +#8173=DIRECTION('',(0.,0.,-1.)); +#8174=DIRECTION('',(-1.,0.,0.)); +#8175=DIRECTION('',(-1.,0.,0.)); +#8176=DIRECTION('',(0.,0.,1.)); +#8177=DIRECTION('',(-1.,0.,0.)); +#8178=DIRECTION('',(0.,0.,1.)); +#8179=DIRECTION('',(-1.,0.,0.)); +#8180=DIRECTION('',(1.,0.,0.)); +#8181=DIRECTION('',(0.,0.,-1.)); +#8182=DIRECTION('',(-1.,0.,0.)); +#8183=DIRECTION('',(-1.,0.,0.)); +#8184=DIRECTION('',(0.,0.,1.)); +#8185=DIRECTION('',(-1.,0.,0.)); +#8186=DIRECTION('',(0.,0.,1.)); +#8187=DIRECTION('',(-1.,0.,0.)); +#8188=DIRECTION('',(1.,0.,0.)); +#8189=DIRECTION('',(0.,0.,-1.)); +#8190=DIRECTION('',(-1.,0.,0.)); +#8191=DIRECTION('',(-1.,0.,0.)); +#8192=DIRECTION('',(0.,0.,1.)); +#8193=DIRECTION('',(-1.,0.,0.)); +#8194=DIRECTION('',(0.,0.,1.)); +#8195=DIRECTION('',(-1.,0.,0.)); +#8196=DIRECTION('',(1.,0.,0.)); +#8197=DIRECTION('',(0.,0.,-1.)); +#8198=DIRECTION('',(-1.,0.,0.)); +#8199=DIRECTION('',(0.,-0.86602540378444,-0.499999999999997)); +#8200=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8201=DIRECTION('',(-1.,0.,0.)); +#8202=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8203=DIRECTION('',(0.,-0.499999999999997,0.86602540378444)); +#8204=DIRECTION('',(0.,-0.86602540378444,-0.499999999999997)); +#8205=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8206=DIRECTION('',(-1.,0.,0.)); +#8207=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8208=DIRECTION('',(0.,-0.499999999999997,0.86602540378444)); +#8209=DIRECTION('',(0.,-0.86602540378444,-0.499999999999997)); +#8210=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8211=DIRECTION('',(-1.,0.,0.)); +#8212=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8213=DIRECTION('',(0.,-0.499999999999997,0.86602540378444)); +#8214=DIRECTION('',(0.,-0.86602540378444,-0.499999999999997)); +#8215=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8216=DIRECTION('',(-1.,0.,0.)); +#8217=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8218=DIRECTION('',(0.,-0.499999999999997,0.86602540378444)); +#8219=DIRECTION('',(0.,-0.86602540378444,-0.499999999999997)); +#8220=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8221=DIRECTION('',(-1.,0.,0.)); +#8222=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8223=DIRECTION('',(0.,-0.499999999999997,0.86602540378444)); +#8224=DIRECTION('',(0.,-0.86602540378444,-0.499999999999997)); +#8225=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8226=DIRECTION('',(-1.,0.,0.)); +#8227=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8228=DIRECTION('',(0.,-0.499999999999997,0.86602540378444)); +#8229=DIRECTION('',(-1.,0.,0.)); +#8230=DIRECTION('',(0.,0.,1.)); +#8231=DIRECTION('',(-1.,0.,0.)); +#8232=DIRECTION('',(-1.,0.,0.)); +#8233=DIRECTION('',(0.,0.,1.)); +#8234=DIRECTION('',(1.,0.,0.)); +#8235=DIRECTION('',(0.,0.,-1.)); +#8236=DIRECTION('',(-1.,0.,0.)); +#8237=DIRECTION('',(0.,0.,1.)); +#8238=DIRECTION('',(-1.,0.,0.)); +#8239=DIRECTION('',(-1.,0.,0.)); +#8240=DIRECTION('',(0.,0.,1.)); +#8241=DIRECTION('',(1.,0.,0.)); +#8242=DIRECTION('',(0.,0.,-1.)); +#8243=DIRECTION('',(-1.,0.,0.)); +#8244=DIRECTION('',(0.,0.,1.)); +#8245=DIRECTION('',(-1.,0.,0.)); +#8246=DIRECTION('',(-1.,0.,0.)); +#8247=DIRECTION('',(0.,0.,1.)); +#8248=DIRECTION('',(1.,0.,0.)); +#8249=DIRECTION('',(0.,0.,-1.)); +#8250=DIRECTION('',(-1.,0.,0.)); +#8251=DIRECTION('',(0.,0.,1.)); +#8252=DIRECTION('',(-1.,0.,0.)); +#8253=DIRECTION('',(-1.,0.,0.)); +#8254=DIRECTION('',(0.,0.,1.)); +#8255=DIRECTION('',(1.,0.,0.)); +#8256=DIRECTION('',(0.,0.,-1.)); +#8257=DIRECTION('',(-1.,0.,0.)); +#8258=DIRECTION('',(0.,0.,1.)); +#8259=DIRECTION('',(-1.,0.,0.)); +#8260=DIRECTION('',(-1.,0.,0.)); +#8261=DIRECTION('',(0.,0.,1.)); +#8262=DIRECTION('',(1.,0.,0.)); +#8263=DIRECTION('',(0.,0.,-1.)); +#8264=DIRECTION('',(-1.,0.,0.)); +#8265=DIRECTION('',(0.,0.,1.)); +#8266=DIRECTION('',(-1.,0.,0.)); +#8267=DIRECTION('',(-1.,0.,0.)); +#8268=DIRECTION('',(0.,0.,1.)); +#8269=DIRECTION('',(1.,0.,0.)); +#8270=DIRECTION('',(0.,0.,-1.)); +#8271=DIRECTION('',(0.,0.,-1.)); +#8272=DIRECTION('',(-1.,0.,0.)); +#8273=DIRECTION('',(-1.,0.,0.)); +#8274=DIRECTION('',(0.,1.,0.)); +#8275=DIRECTION('',(0.,-1.,0.)); +#8276=DIRECTION('',(0.,0.,-1.)); +#8277=DIRECTION('',(-1.,0.,0.)); +#8278=DIRECTION('',(-1.,0.,0.)); +#8279=DIRECTION('',(0.,1.,0.)); +#8280=DIRECTION('',(0.,-1.,0.)); +#8281=DIRECTION('',(0.,0.,-1.)); +#8282=DIRECTION('',(-1.,0.,0.)); +#8283=DIRECTION('',(-1.,0.,0.)); +#8284=DIRECTION('',(0.,1.,0.)); +#8285=DIRECTION('',(0.,-1.,0.)); +#8286=DIRECTION('',(0.,0.,-1.)); +#8287=DIRECTION('',(-1.,0.,0.)); +#8288=DIRECTION('',(-1.,0.,0.)); +#8289=DIRECTION('',(0.,1.,0.)); +#8290=DIRECTION('',(0.,-1.,0.)); +#8291=DIRECTION('',(0.,0.,-1.)); +#8292=DIRECTION('',(-1.,0.,0.)); +#8293=DIRECTION('',(-1.,0.,0.)); +#8294=DIRECTION('',(0.,1.,0.)); +#8295=DIRECTION('',(0.,-1.,0.)); +#8296=DIRECTION('',(0.,0.,-1.)); +#8297=DIRECTION('',(-1.,0.,0.)); +#8298=DIRECTION('',(-1.,0.,0.)); +#8299=DIRECTION('',(0.,1.,0.)); +#8300=DIRECTION('',(0.,-1.,0.)); +#8301=DIRECTION('',(0.,1.,0.)); +#8302=DIRECTION('',(0.,0.,1.)); +#8303=DIRECTION('',(-1.,0.,0.)); +#8304=DIRECTION('',(0.,0.,1.)); +#8305=DIRECTION('',(0.,0.,-1.)); +#8306=DIRECTION('',(0.,1.,0.)); +#8307=DIRECTION('',(0.,0.,1.)); +#8308=DIRECTION('',(-1.,0.,0.)); +#8309=DIRECTION('',(0.,0.,1.)); +#8310=DIRECTION('',(0.,0.,-1.)); +#8311=DIRECTION('',(0.,1.,0.)); +#8312=DIRECTION('',(0.,0.,1.)); +#8313=DIRECTION('',(-1.,0.,0.)); +#8314=DIRECTION('',(0.,0.,1.)); +#8315=DIRECTION('',(0.,0.,-1.)); +#8316=DIRECTION('',(0.,1.,0.)); +#8317=DIRECTION('',(0.,0.,1.)); +#8318=DIRECTION('',(-1.,0.,0.)); +#8319=DIRECTION('',(0.,0.,1.)); +#8320=DIRECTION('',(0.,0.,-1.)); +#8321=DIRECTION('',(0.,1.,0.)); +#8322=DIRECTION('',(0.,0.,1.)); +#8323=DIRECTION('',(-1.,0.,0.)); +#8324=DIRECTION('',(0.,0.,1.)); +#8325=DIRECTION('',(0.,0.,-1.)); +#8326=DIRECTION('',(0.,1.,0.)); +#8327=DIRECTION('',(0.,0.,1.)); +#8328=DIRECTION('',(-1.,0.,0.)); +#8329=DIRECTION('',(0.,0.,1.)); +#8330=DIRECTION('',(0.,0.,-1.)); +#8331=DIRECTION('',(0.,0.,1.)); +#8332=DIRECTION('',(1.,0.,0.)); +#8333=DIRECTION('',(-1.,0.,0.)); +#8334=DIRECTION('',(0.,-1.,0.)); +#8335=DIRECTION('',(0.,1.,0.)); +#8336=DIRECTION('',(0.,0.,1.)); +#8337=DIRECTION('',(1.,0.,0.)); +#8338=DIRECTION('',(-1.,0.,0.)); +#8339=DIRECTION('',(0.,-1.,0.)); +#8340=DIRECTION('',(0.,1.,0.)); +#8341=DIRECTION('',(0.,0.,1.)); +#8342=DIRECTION('',(1.,0.,0.)); +#8343=DIRECTION('',(-1.,0.,0.)); +#8344=DIRECTION('',(0.,-1.,0.)); +#8345=DIRECTION('',(0.,1.,0.)); +#8346=DIRECTION('',(0.,0.,1.)); +#8347=DIRECTION('',(1.,0.,0.)); +#8348=DIRECTION('',(-1.,0.,0.)); +#8349=DIRECTION('',(0.,-1.,0.)); +#8350=DIRECTION('',(0.,1.,0.)); +#8351=DIRECTION('',(0.,0.,1.)); +#8352=DIRECTION('',(1.,0.,0.)); +#8353=DIRECTION('',(-1.,0.,0.)); +#8354=DIRECTION('',(0.,-1.,0.)); +#8355=DIRECTION('',(0.,1.,0.)); +#8356=DIRECTION('',(0.,0.,1.)); +#8357=DIRECTION('',(1.,0.,0.)); +#8358=DIRECTION('',(-1.,0.,0.)); +#8359=DIRECTION('',(0.,-1.,0.)); +#8360=DIRECTION('',(0.,1.,0.)); +#8361=DIRECTION('',(-1.,0.,0.)); +#8362=DIRECTION('',(0.,0.,1.)); +#8363=DIRECTION('',(-1.,0.,0.)); +#8364=DIRECTION('',(0.,0.,1.)); +#8365=DIRECTION('',(1.,0.,0.)); +#8366=DIRECTION('',(0.,0.,-1.)); +#8367=DIRECTION('',(-1.,0.,0.)); +#8368=DIRECTION('',(-1.,0.,0.)); +#8369=DIRECTION('',(0.,0.,1.)); +#8370=DIRECTION('',(-1.,0.,0.)); +#8371=DIRECTION('',(0.,0.,1.)); +#8372=DIRECTION('',(1.,0.,0.)); +#8373=DIRECTION('',(0.,0.,-1.)); +#8374=DIRECTION('',(-1.,0.,0.)); +#8375=DIRECTION('',(-1.,0.,0.)); +#8376=DIRECTION('',(0.,0.,1.)); +#8377=DIRECTION('',(-1.,0.,0.)); +#8378=DIRECTION('',(0.,0.,1.)); +#8379=DIRECTION('',(1.,0.,0.)); +#8380=DIRECTION('',(0.,0.,-1.)); +#8381=DIRECTION('',(-1.,0.,0.)); +#8382=DIRECTION('',(-1.,0.,0.)); +#8383=DIRECTION('',(0.,0.,1.)); +#8384=DIRECTION('',(-1.,0.,0.)); +#8385=DIRECTION('',(0.,0.,1.)); +#8386=DIRECTION('',(1.,0.,0.)); +#8387=DIRECTION('',(0.,0.,-1.)); +#8388=DIRECTION('',(-1.,0.,0.)); +#8389=DIRECTION('',(-1.,0.,0.)); +#8390=DIRECTION('',(0.,0.,1.)); +#8391=DIRECTION('',(-1.,0.,0.)); +#8392=DIRECTION('',(0.,0.,1.)); +#8393=DIRECTION('',(1.,0.,0.)); +#8394=DIRECTION('',(0.,0.,-1.)); +#8395=DIRECTION('',(-1.,0.,0.)); +#8396=DIRECTION('',(-1.,0.,0.)); +#8397=DIRECTION('',(0.,0.,1.)); +#8398=DIRECTION('',(-1.,0.,0.)); +#8399=DIRECTION('',(0.,0.,1.)); +#8400=DIRECTION('',(1.,0.,0.)); +#8401=DIRECTION('',(0.,0.,-1.)); +#8402=DIRECTION('',(-1.,0.,0.)); +#8403=DIRECTION('',(0.,0.866025403784438,0.500000000000001)); +#8404=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8405=DIRECTION('',(-1.,0.,0.)); +#8406=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8407=DIRECTION('',(0.,0.500000000000001,-0.866025403784438)); +#8408=DIRECTION('',(0.,0.866025403784438,0.500000000000001)); +#8409=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8410=DIRECTION('',(-1.,0.,0.)); +#8411=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8412=DIRECTION('',(0.,0.500000000000001,-0.866025403784438)); +#8413=DIRECTION('',(0.,0.866025403784438,0.500000000000001)); +#8414=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8415=DIRECTION('',(-1.,0.,0.)); +#8416=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8417=DIRECTION('',(0.,0.500000000000001,-0.866025403784438)); +#8418=DIRECTION('',(0.,0.866025403784438,0.500000000000001)); +#8419=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8420=DIRECTION('',(-1.,0.,0.)); +#8421=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8422=DIRECTION('',(0.,0.500000000000001,-0.866025403784438)); +#8423=DIRECTION('',(0.,0.866025403784438,0.500000000000001)); +#8424=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8425=DIRECTION('',(-1.,0.,0.)); +#8426=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8427=DIRECTION('',(0.,0.500000000000001,-0.866025403784438)); +#8428=DIRECTION('',(0.,0.866025403784438,0.500000000000001)); +#8429=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8430=DIRECTION('',(-1.,0.,0.)); +#8431=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8432=DIRECTION('',(0.,0.500000000000001,-0.866025403784438)); +#8433=DIRECTION('',(-1.,0.,0.)); +#8434=DIRECTION('',(0.,0.,1.)); +#8435=DIRECTION('',(-1.,0.,0.)); +#8436=DIRECTION('',(-1.,0.,0.)); +#8437=DIRECTION('',(0.,0.,1.)); +#8438=DIRECTION('',(1.,0.,0.)); +#8439=DIRECTION('',(0.,0.,-1.)); +#8440=DIRECTION('',(-1.,0.,0.)); +#8441=DIRECTION('',(0.,0.,1.)); +#8442=DIRECTION('',(-1.,0.,0.)); +#8443=DIRECTION('',(-1.,0.,0.)); +#8444=DIRECTION('',(0.,0.,1.)); +#8445=DIRECTION('',(1.,0.,0.)); +#8446=DIRECTION('',(0.,0.,-1.)); +#8447=DIRECTION('',(-1.,0.,0.)); +#8448=DIRECTION('',(0.,0.,1.)); +#8449=DIRECTION('',(-1.,0.,0.)); +#8450=DIRECTION('',(-1.,0.,0.)); +#8451=DIRECTION('',(0.,0.,1.)); +#8452=DIRECTION('',(1.,0.,0.)); +#8453=DIRECTION('',(0.,0.,-1.)); +#8454=DIRECTION('',(-1.,0.,0.)); +#8455=DIRECTION('',(0.,0.,1.)); +#8456=DIRECTION('',(-1.,0.,0.)); +#8457=DIRECTION('',(-1.,0.,0.)); +#8458=DIRECTION('',(0.,0.,1.)); +#8459=DIRECTION('',(1.,0.,0.)); +#8460=DIRECTION('',(0.,0.,-1.)); +#8461=DIRECTION('',(-1.,0.,0.)); +#8462=DIRECTION('',(0.,0.,1.)); +#8463=DIRECTION('',(-1.,0.,0.)); +#8464=DIRECTION('',(-1.,0.,0.)); +#8465=DIRECTION('',(0.,0.,1.)); +#8466=DIRECTION('',(1.,0.,0.)); +#8467=DIRECTION('',(0.,0.,-1.)); +#8468=DIRECTION('',(-1.,0.,0.)); +#8469=DIRECTION('',(0.,0.,1.)); +#8470=DIRECTION('',(-1.,0.,0.)); +#8471=DIRECTION('',(-1.,0.,0.)); +#8472=DIRECTION('',(0.,0.,1.)); +#8473=DIRECTION('',(1.,0.,0.)); +#8474=DIRECTION('',(0.,0.,-1.)); +#8475=DIRECTION('',(0.,-6.90866703511625E-17,1.)); +#8476=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8477=DIRECTION('',(-1.,0.,0.)); +#8478=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8479=DIRECTION('',(0.,1.,6.90866703511625E-17)); +#8480=DIRECTION('',(0.,-6.90866703511625E-17,1.)); +#8481=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8482=DIRECTION('',(-1.,0.,0.)); +#8483=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8484=DIRECTION('',(0.,1.,6.90866703511625E-17)); +#8485=DIRECTION('',(0.,-6.90866703511625E-17,1.)); +#8486=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8487=DIRECTION('',(-1.,0.,0.)); +#8488=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8489=DIRECTION('',(0.,1.,6.90866703511625E-17)); +#8490=DIRECTION('',(0.,-6.90866703511625E-17,1.)); +#8491=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8492=DIRECTION('',(-1.,0.,0.)); +#8493=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8494=DIRECTION('',(0.,1.,6.90866703511625E-17)); +#8495=DIRECTION('',(0.,-6.90866703511625E-17,1.)); +#8496=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8497=DIRECTION('',(-1.,0.,0.)); +#8498=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8499=DIRECTION('',(0.,1.,6.90866703511625E-17)); +#8500=DIRECTION('',(0.,-6.90866703511625E-17,1.)); +#8501=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8502=DIRECTION('',(-1.,0.,0.)); +#8503=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8504=DIRECTION('',(0.,1.,6.90866703511625E-17)); +#8505=DIRECTION('',(0.,4.50488778064875E-17,-1.)); +#8506=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8507=DIRECTION('',(-1.,0.,0.)); +#8508=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8509=DIRECTION('',(-1.,0.,0.)); +#8510=DIRECTION('',(0.,-1.,-4.50488778064875E-17)); +#8511=DIRECTION('',(0.,4.50488778064875E-17,-1.)); +#8512=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8513=DIRECTION('',(-1.,0.,0.)); +#8514=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8515=DIRECTION('',(-1.,0.,0.)); +#8516=DIRECTION('',(0.,-1.,-4.50488778064875E-17)); +#8517=DIRECTION('',(0.,4.50488778064875E-17,-1.)); +#8518=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8519=DIRECTION('',(-1.,0.,0.)); +#8520=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8521=DIRECTION('',(-1.,0.,0.)); +#8522=DIRECTION('',(0.,-1.,-4.50488778064875E-17)); +#8523=DIRECTION('',(0.,4.50488778064875E-17,-1.)); +#8524=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8525=DIRECTION('',(-1.,0.,0.)); +#8526=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8527=DIRECTION('',(-1.,0.,0.)); +#8528=DIRECTION('',(0.,-1.,-4.50488778064875E-17)); +#8529=DIRECTION('',(0.,4.50488778064875E-17,-1.)); +#8530=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8531=DIRECTION('',(-1.,0.,0.)); +#8532=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8533=DIRECTION('',(-1.,0.,0.)); +#8534=DIRECTION('',(0.,-1.,-4.50488778064875E-17)); +#8535=DIRECTION('',(0.,4.50488778064875E-17,-1.)); +#8536=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8537=DIRECTION('',(-1.,0.,0.)); +#8538=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8539=DIRECTION('',(-1.,0.,0.)); +#8540=DIRECTION('',(0.,-1.,-4.50488778064875E-17)); +#8541=DIRECTION('',(-1.,0.,0.)); +#8542=DIRECTION('',(0.,0.,1.)); +#8543=DIRECTION('',(-1.,0.,0.)); +#8544=DIRECTION('',(0.,0.,1.)); +#8545=DIRECTION('',(1.,0.,0.)); +#8546=DIRECTION('',(0.,0.,-1.)); +#8547=DIRECTION('',(-1.,0.,0.)); +#8548=DIRECTION('',(-1.,0.,0.)); +#8549=DIRECTION('',(0.,0.,1.)); +#8550=DIRECTION('',(-1.,0.,0.)); +#8551=DIRECTION('',(0.,0.,1.)); +#8552=DIRECTION('',(1.,0.,0.)); +#8553=DIRECTION('',(0.,0.,-1.)); +#8554=DIRECTION('',(-1.,0.,0.)); +#8555=DIRECTION('',(-1.,0.,0.)); +#8556=DIRECTION('',(0.,0.,1.)); +#8557=DIRECTION('',(-1.,0.,0.)); +#8558=DIRECTION('',(0.,0.,1.)); +#8559=DIRECTION('',(1.,0.,0.)); +#8560=DIRECTION('',(0.,0.,-1.)); +#8561=DIRECTION('',(-1.,0.,0.)); +#8562=DIRECTION('',(-1.,0.,0.)); +#8563=DIRECTION('',(0.,0.,1.)); +#8564=DIRECTION('',(-1.,0.,0.)); +#8565=DIRECTION('',(0.,0.,1.)); +#8566=DIRECTION('',(1.,0.,0.)); +#8567=DIRECTION('',(0.,0.,-1.)); +#8568=DIRECTION('',(-1.,0.,0.)); +#8569=DIRECTION('',(-1.,0.,0.)); +#8570=DIRECTION('',(0.,0.,1.)); +#8571=DIRECTION('',(-1.,0.,0.)); +#8572=DIRECTION('',(0.,0.,1.)); +#8573=DIRECTION('',(1.,0.,0.)); +#8574=DIRECTION('',(0.,0.,-1.)); +#8575=DIRECTION('',(-1.,0.,0.)); +#8576=DIRECTION('',(-1.,0.,0.)); +#8577=DIRECTION('',(0.,0.,1.)); +#8578=DIRECTION('',(-1.,0.,0.)); +#8579=DIRECTION('',(0.,0.,1.)); +#8580=DIRECTION('',(1.,0.,0.)); +#8581=DIRECTION('',(0.,0.,-1.)); +#8582=DIRECTION('',(-1.,0.,0.)); +#8583=DIRECTION('',(0.,-0.707106781186569,-0.707106781186526)); +#8584=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8585=DIRECTION('',(-1.,0.,0.)); +#8586=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8587=DIRECTION('',(0.,-0.707106781186526,0.707106781186569)); +#8588=DIRECTION('',(0.,-0.707106781186569,-0.707106781186526)); +#8589=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8590=DIRECTION('',(-1.,0.,0.)); +#8591=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8592=DIRECTION('',(0.,-0.707106781186526,0.707106781186569)); +#8593=DIRECTION('',(0.,-0.707106781186569,-0.707106781186526)); +#8594=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8595=DIRECTION('',(-1.,0.,0.)); +#8596=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8597=DIRECTION('',(0.,-0.707106781186526,0.707106781186569)); +#8598=DIRECTION('',(0.,-0.707106781186569,-0.707106781186526)); +#8599=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8600=DIRECTION('',(-1.,0.,0.)); +#8601=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8602=DIRECTION('',(0.,-0.707106781186526,0.707106781186569)); +#8603=DIRECTION('',(0.,-0.707106781186569,-0.707106781186526)); +#8604=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8605=DIRECTION('',(-1.,0.,0.)); +#8606=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8607=DIRECTION('',(0.,-0.707106781186526,0.707106781186569)); +#8608=DIRECTION('',(0.,-0.707106781186569,-0.707106781186526)); +#8609=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8610=DIRECTION('',(-1.,0.,0.)); +#8611=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8612=DIRECTION('',(0.,-0.707106781186526,0.707106781186569)); +#8613=DIRECTION('',(-1.,0.,0.)); +#8614=DIRECTION('',(0.,0.,1.)); +#8615=DIRECTION('',(-1.,0.,0.)); +#8616=DIRECTION('',(0.,0.,1.)); +#8617=DIRECTION('',(1.,0.,0.)); +#8618=DIRECTION('',(0.,0.,-1.)); +#8619=DIRECTION('',(-1.,0.,0.)); +#8620=DIRECTION('',(0.,0.,1.)); +#8621=DIRECTION('',(-1.,0.,0.)); +#8622=DIRECTION('',(0.,0.,1.)); +#8623=DIRECTION('',(1.,0.,0.)); +#8624=DIRECTION('',(0.,0.,-1.)); +#8625=DIRECTION('',(-1.,0.,0.)); +#8626=DIRECTION('',(0.,0.,1.)); +#8627=DIRECTION('',(-1.,0.,0.)); +#8628=DIRECTION('',(0.,0.,1.)); +#8629=DIRECTION('',(1.,0.,0.)); +#8630=DIRECTION('',(0.,0.,-1.)); +#8631=DIRECTION('',(-1.,0.,0.)); +#8632=DIRECTION('',(0.,0.,1.)); +#8633=DIRECTION('',(-1.,0.,0.)); +#8634=DIRECTION('',(0.,0.,1.)); +#8635=DIRECTION('',(1.,0.,0.)); +#8636=DIRECTION('',(0.,0.,-1.)); +#8637=DIRECTION('',(-1.,0.,0.)); +#8638=DIRECTION('',(0.,0.,1.)); +#8639=DIRECTION('',(-1.,0.,0.)); +#8640=DIRECTION('',(0.,0.,1.)); +#8641=DIRECTION('',(1.,0.,0.)); +#8642=DIRECTION('',(0.,0.,-1.)); +#8643=DIRECTION('',(-1.,0.,0.)); +#8644=DIRECTION('',(0.,0.,1.)); +#8645=DIRECTION('',(-1.,0.,0.)); +#8646=DIRECTION('',(0.,0.,1.)); +#8647=DIRECTION('',(1.,0.,0.)); +#8648=DIRECTION('',(0.,0.,-1.)); +#8649=DIRECTION('',(0.,0.,-1.)); +#8650=DIRECTION('',(-1.,0.,0.)); +#8651=DIRECTION('',(-1.,0.,0.)); +#8652=DIRECTION('',(0.,1.,0.)); +#8653=DIRECTION('',(-1.,0.,0.)); +#8654=DIRECTION('',(0.,-1.,0.)); +#8655=DIRECTION('',(0.,1.,0.)); +#8656=DIRECTION('',(0.,0.,1.)); +#8657=DIRECTION('',(-1.,0.,0.)); +#8658=DIRECTION('',(0.,0.,1.)); +#8659=DIRECTION('',(0.,0.,-1.)); +#8660=DIRECTION('',(0.,0.,1.)); +#8661=DIRECTION('',(1.,0.,0.)); +#8662=DIRECTION('',(-1.,0.,0.)); +#8663=DIRECTION('',(0.,-1.,0.)); +#8664=DIRECTION('',(0.,1.,0.)); +#8665=DIRECTION('',(-1.,0.,0.)); +#8666=DIRECTION('',(0.,0.,1.)); +#8667=DIRECTION('',(-1.,0.,0.)); +#8668=DIRECTION('',(0.,0.,1.)); +#8669=DIRECTION('',(1.,0.,0.)); +#8670=DIRECTION('',(0.,0.,-1.)); +#8671=DIRECTION('',(-1.,0.,0.)); +#8672=DIRECTION('',(0.,0.70710678118657,0.707106781186525)); +#8673=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#8674=DIRECTION('',(-1.,0.,0.)); +#8675=DIRECTION('',(0.,-0.707106781186525,0.70710678118657)); +#8676=DIRECTION('',(0.,0.707106781186525,-0.70710678118657)); +#8677=DIRECTION('',(-1.,0.,0.)); +#8678=DIRECTION('',(0.,0.,1.)); +#8679=DIRECTION('',(-1.,0.,0.)); +#8680=DIRECTION('',(-1.,0.,0.)); +#8681=DIRECTION('',(0.,0.,1.)); +#8682=DIRECTION('',(1.,0.,0.)); +#8683=DIRECTION('',(0.,0.,-1.)); +#8684=DIRECTION('',(0.,0.,1.)); +#8685=DIRECTION('',(1.,0.,0.)); +#8686=DIRECTION('',(0.,-1.,0.)); +#8687=DIRECTION('',(1.,1.45335801501546E-16,0.)); +#8688=DIRECTION('',(0.,1.,0.)); +#8689=DIRECTION('',(0.,-1.,0.)); +#8690=DIRECTION('',(1.,1.45335801501546E-16,0.)); +#8691=DIRECTION('',(0.,1.,0.)); +#8692=DIRECTION('',(0.,-1.,0.)); +#8693=DIRECTION('',(1.,1.45335801501546E-16,0.)); +#8694=DIRECTION('',(0.,1.,0.)); +#8695=DIRECTION('',(0.,-1.,0.)); +#8696=DIRECTION('',(1.,1.45335801501546E-16,0.)); +#8697=DIRECTION('',(0.,1.,0.)); +#8698=DIRECTION('',(0.,-1.,0.)); +#8699=DIRECTION('',(1.,1.45335801501546E-16,0.)); +#8700=DIRECTION('',(0.,1.,0.)); +#8701=DIRECTION('',(0.,-1.,0.)); +#8702=DIRECTION('',(1.,1.45335801501546E-16,0.)); +#8703=DIRECTION('',(0.,1.,0.)); +#8704=DIRECTION('',(-1.,0.,0.)); +#8705=DIRECTION('',(0.,-1.,0.)); +#8706=DIRECTION('',(1.,0.,0.)); +#8707=DIRECTION('',(0.,1.,0.)); +#8708=DIRECTION('',(-1.,3.15019371963129E-15,0.)); +#8709=DIRECTION('',(0.,-1.,0.)); +#8710=DIRECTION('',(0.,1.,0.)); +#8711=DIRECTION('',(-1.,4.36007404504639E-16,0.)); +#8712=DIRECTION('',(0.,-1.,0.)); +#8713=DIRECTION('',(0.,1.,0.)); +#8714=DIRECTION('',(-1.,4.36007404504639E-16,0.)); +#8715=DIRECTION('',(0.,-1.,0.)); +#8716=DIRECTION('',(0.,1.,0.)); +#8717=DIRECTION('',(-1.,4.36007404504639E-16,0.)); +#8718=DIRECTION('',(0.,-1.,0.)); +#8719=DIRECTION('',(0.,1.,0.)); +#8720=DIRECTION('',(-1.,4.36007404504639E-16,0.)); +#8721=DIRECTION('',(0.,-1.,0.)); +#8722=DIRECTION('',(0.,1.,0.)); +#8723=DIRECTION('',(-1.,4.36007404504638E-16,0.)); +#8724=DIRECTION('',(0.,-1.,0.)); +#8725=DIRECTION('',(0.,1.,0.)); +#8726=DIRECTION('',(-1.,4.36007404504638E-16,0.)); +#8727=DIRECTION('',(0.,-1.,0.)); +#8728=DIRECTION('',(0.,1.,0.)); +#8729=DIRECTION('',(0.,-0.447213595499957,0.894427190999917)); +#8730=DIRECTION('',(0.,-0.894427190999917,-0.447213595499957)); +#8731=DIRECTION('',(0.408248290463862,0.816496580927727,0.408248290463862)); +#8732=DIRECTION('',(-1.,0.,0.)); +#8733=DIRECTION('',(0.408248290463862,-0.816496580927727,-0.408248290463862)); +#8734=DIRECTION('',(0.,-1.,0.)); +#8735=DIRECTION('',(0.,0.,-1.)); +#8736=DIRECTION('',(0.,0.,-1.)); +#8737=DIRECTION('',(-1.,0.,0.)); +#8738=DIRECTION('',(0.,0.,-1.)); +#8739=DIRECTION('',(0.,-0.447213595499957,-0.894427190999917)); +#8740=DIRECTION('',(0.,0.894427190999917,-0.447213595499957)); +#8741=DIRECTION('',(-0.408248290463862,-0.816496580927727,0.408248290463862)); +#8742=DIRECTION('',(-1.,0.,0.)); +#8743=DIRECTION('',(-0.408248290463862,0.816496580927727,-0.408248290463862)); +#8744=DIRECTION('',(0.,0.,-1.)); +#8745=DIRECTION('',(-1.,0.,0.)); +#8746=DIRECTION('',(0.,-1.,0.)); +#8747=DIRECTION('',(-1.,0.,0.)); +#8748=DIRECTION('',(0.,1.,0.)); +#8749=DIRECTION('',(0.,-1.,-1.45335801501549E-16)); +#8750=DIRECTION('',(0.,1.45335801501549E-16,-1.)); +#8751=DIRECTION('',(0.,0.,-1.)); +#8752=DIRECTION('',(0.,0.,-1.)); +#8753=DIRECTION('',(0.,0.,-1.)); +#8754=DIRECTION('',(0.,0.,-1.)); +#8755=DIRECTION('',(0.,0.,-1.)); +#8756=DIRECTION('',(0.,0.,-1.)); +#8757=DIRECTION('',(0.,0.,-1.)); +#8758=DIRECTION('',(0.,0.,-1.)); +#8759=DIRECTION('',(0.,0.,-1.)); +#8760=DIRECTION('',(0.,0.,-1.)); +#8761=DIRECTION('',(0.,0.,-1.)); +#8762=DIRECTION('',(0.,0.,-1.)); +#8763=DIRECTION('',(0.,-1.45335801501549E-16,1.)); +#8764=DIRECTION('',(0.,0.,-1.)); +#8765=DIRECTION('',(-1.,-1.45335801501546E-16,0.)); +#8766=DIRECTION('',(0.,0.,-1.)); +#8767=DIRECTION('',(0.,0.,-1.)); +#8768=DIRECTION('',(-1.,-1.45335801501546E-16,0.)); +#8769=DIRECTION('',(0.,0.,-1.)); +#8770=DIRECTION('',(0.,0.,-1.)); +#8771=DIRECTION('',(-1.,-1.45335801501546E-16,0.)); +#8772=DIRECTION('',(0.,0.,-1.)); +#8773=DIRECTION('',(0.,0.,-1.)); +#8774=DIRECTION('',(-1.,-1.45335801501546E-16,0.)); +#8775=DIRECTION('',(0.,0.,-1.)); +#8776=DIRECTION('',(0.,0.,-1.)); +#8777=DIRECTION('',(-1.,-1.45335801501546E-16,0.)); +#8778=DIRECTION('',(0.,0.,-1.)); +#8779=DIRECTION('',(0.,0.,-1.)); +#8780=DIRECTION('',(-1.,-1.45335801501546E-16,0.)); +#8781=DIRECTION('',(0.,0.,-1.)); +#8782=DIRECTION('',(-1.,0.,0.)); +#8783=DIRECTION('',(0.,0.,-1.)); +#8784=DIRECTION('',(-1.,0.,0.)); +#8785=DIRECTION('',(0.,-1.45335801501549E-16,1.)); +#8786=DIRECTION('',(0.,0.,-1.)); +#8787=DIRECTION('',(0.,0.,1.)); +#8788=DIRECTION('',(1.,0.,0.)); +#8789=DIRECTION('',(0.,1.,0.)); +#8790=DIRECTION('',(-1.,0.,0.)); +#8791=DIRECTION('',(0.,-1.,0.)); +#8792=DIRECTION('',(0.,-0.447213595499957,0.894427190999916)); +#8793=DIRECTION('',(0.,-0.894427190999916,-0.447213595499957)); +#8794=DIRECTION('',(0.408248290463862,0.816496580927727,0.408248290463862)); +#8795=DIRECTION('',(-1.,0.,0.)); +#8796=DIRECTION('',(0.408248290463862,-0.816496580927727,-0.408248290463862)); +#8797=DIRECTION('',(0.,-1.,0.)); +#8798=DIRECTION('',(0.,0.,-1.)); +#8799=DIRECTION('',(0.,0.,-1.)); +#8800=DIRECTION('',(-1.,0.,0.)); +#8801=DIRECTION('',(0.,0.,-1.)); +#8802=DIRECTION('',(0.,-0.447213595499957,-0.894427190999916)); +#8803=DIRECTION('',(0.,0.894427190999916,-0.447213595499957)); +#8804=DIRECTION('',(-0.408248290463862,-0.816496580927727,0.408248290463863)); +#8805=DIRECTION('',(-1.,0.,0.)); +#8806=DIRECTION('',(-0.408248290463862,0.816496580927727,-0.408248290463863)); +#8807=DIRECTION('',(0.,0.,-1.)); +#8808=DIRECTION('',(-1.,0.,0.)); +#8809=DIRECTION('',(0.,1.,0.)); +#8810=DIRECTION('',(0.,-1.,0.)); +#8811=DIRECTION('',(-1.,0.,0.)); +#8812=DIRECTION('',(0.,1.,0.)); +#8813=DIRECTION('',(1.,-4.36007404504638E-16,0.)); +#8814=DIRECTION('',(0.,-1.,0.)); +#8815=DIRECTION('',(0.,1.,0.)); +#8816=DIRECTION('',(1.,-4.36007404504638E-16,0.)); +#8817=DIRECTION('',(0.,-1.,0.)); +#8818=DIRECTION('',(0.,1.,0.)); +#8819=DIRECTION('',(1.,-4.36007404504639E-16,0.)); +#8820=DIRECTION('',(0.,-1.,0.)); +#8821=DIRECTION('',(0.,1.,0.)); +#8822=DIRECTION('',(1.,-4.36007404504639E-16,0.)); +#8823=DIRECTION('',(0.,-1.,0.)); +#8824=DIRECTION('',(0.,1.,0.)); +#8825=DIRECTION('',(1.,-4.36007404504639E-16,0.)); +#8826=DIRECTION('',(0.,-1.,0.)); +#8827=DIRECTION('',(0.,1.,0.)); +#8828=DIRECTION('',(1.,-4.36007404504639E-16,0.)); +#8829=DIRECTION('',(0.,-1.,0.)); +#8830=DIRECTION('',(0.,1.,0.)); +#8831=DIRECTION('',(1.,-3.15019371963129E-15,0.)); +#8832=DIRECTION('',(0.,-1.,0.)); +#8833=DIRECTION('',(0.,1.,0.)); +#8834=DIRECTION('',(0.,-1.,0.)); +#8835=DIRECTION('',(0.,1.,0.)); +#8836=DIRECTION('',(0.,-1.,0.)); +#8837=DIRECTION('',(0.,1.,0.)); +#8838=DIRECTION('',(0.,-1.,0.)); +#8839=DIRECTION('',(0.,1.,0.)); +#8840=DIRECTION('',(0.,-1.,0.)); +#8841=DIRECTION('',(0.,1.,0.)); +#8842=DIRECTION('',(0.,-1.,0.)); +#8843=DIRECTION('',(0.,1.,0.)); +#8844=DIRECTION('',(0.,-1.,0.)); +#8845=DIRECTION('',(-1.,0.,0.)); +#8846=DIRECTION('',(0.,0.,1.)); +#8847=DIRECTION('',(-1.,0.,0.)); +#8848=DIRECTION('',(0.,0.,1.)); +#8849=DIRECTION('',(1.,0.,0.)); +#8850=DIRECTION('',(0.,0.,-1.)); +#8851=DIRECTION('',(-1.,0.,0.)); +#8852=DIRECTION('',(0.,-0.86602540378444,-0.499999999999997)); +#8853=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8854=DIRECTION('',(-1.,0.,0.)); +#8855=DIRECTION('',(0.,0.499999999999997,-0.86602540378444)); +#8856=DIRECTION('',(0.,-0.499999999999997,0.86602540378444)); +#8857=DIRECTION('',(-1.,0.,0.)); +#8858=DIRECTION('',(0.,0.,1.)); +#8859=DIRECTION('',(-1.,0.,0.)); +#8860=DIRECTION('',(-1.,0.,0.)); +#8861=DIRECTION('',(0.,0.,1.)); +#8862=DIRECTION('',(1.,0.,0.)); +#8863=DIRECTION('',(0.,0.,-1.)); +#8864=DIRECTION('',(0.,0.,-1.)); +#8865=DIRECTION('',(-1.,0.,0.)); +#8866=DIRECTION('',(-1.,0.,0.)); +#8867=DIRECTION('',(0.,1.,0.)); +#8868=DIRECTION('',(0.,-1.,0.)); +#8869=DIRECTION('',(0.,1.,0.)); +#8870=DIRECTION('',(0.,0.,1.)); +#8871=DIRECTION('',(-1.,0.,0.)); +#8872=DIRECTION('',(0.,0.,1.)); +#8873=DIRECTION('',(0.,0.,-1.)); +#8874=DIRECTION('',(0.,0.,1.)); +#8875=DIRECTION('',(1.,0.,0.)); +#8876=DIRECTION('',(-1.,0.,0.)); +#8877=DIRECTION('',(0.,-1.,0.)); +#8878=DIRECTION('',(0.,1.,0.)); +#8879=DIRECTION('',(-1.,0.,0.)); +#8880=DIRECTION('',(0.,0.,1.)); +#8881=DIRECTION('',(-1.,0.,0.)); +#8882=DIRECTION('',(0.,0.,1.)); +#8883=DIRECTION('',(1.,0.,0.)); +#8884=DIRECTION('',(0.,0.,-1.)); +#8885=DIRECTION('',(-1.,0.,0.)); +#8886=DIRECTION('',(0.,0.866025403784438,0.500000000000001)); +#8887=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8888=DIRECTION('',(-1.,0.,0.)); +#8889=DIRECTION('',(0.,-0.500000000000001,0.866025403784438)); +#8890=DIRECTION('',(0.,0.500000000000001,-0.866025403784438)); +#8891=DIRECTION('',(-1.,0.,0.)); +#8892=DIRECTION('',(0.,0.,1.)); +#8893=DIRECTION('',(-1.,0.,0.)); +#8894=DIRECTION('',(-1.,0.,0.)); +#8895=DIRECTION('',(0.,0.,1.)); +#8896=DIRECTION('',(1.,0.,0.)); +#8897=DIRECTION('',(0.,0.,-1.)); +#8898=DIRECTION('',(0.,-6.90866703511625E-17,1.)); +#8899=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8900=DIRECTION('',(-1.,0.,0.)); +#8901=DIRECTION('',(0.,-1.,-6.90866703511625E-17)); +#8902=DIRECTION('',(0.,1.,6.90866703511625E-17)); +#8903=DIRECTION('',(0.,1.,-7.26679007507743E-17)); +#8904=DIRECTION('',(0.,7.26679007507743E-17,1.)); +#8905=DIRECTION('',(-1.,0.,0.)); +#8906=DIRECTION('',(0.,0.,-1.)); +#8907=DIRECTION('',(0.,0.,-1.)); +#8908=DIRECTION('',(0.,0.,-1.)); +#8909=DIRECTION('',(0.,0.,-1.)); +#8910=DIRECTION('',(0.,0.,-1.)); +#8911=DIRECTION('',(0.,0.,-1.)); +#8912=DIRECTION('',(0.,0.,-1.)); +#8913=DIRECTION('',(0.,0.,-1.)); +#8914=DIRECTION('',(0.,0.,-1.)); +#8915=DIRECTION('',(0.,0.,-1.)); +#8916=DIRECTION('',(0.,0.,-1.)); +#8917=DIRECTION('',(0.,0.,-1.)); +#8918=DIRECTION('',(0.,0.,-1.)); +#8919=DIRECTION('',(0.,-7.26679007507743E-17,-1.)); +#8920=DIRECTION('',(0.,0.,-1.)); +#8921=DIRECTION('',(0.,0.,-1.)); +#8922=DIRECTION('',(0.,0.,-1.)); +#8923=DIRECTION('',(0.,0.,-1.)); +#8924=DIRECTION('',(0.,0.,-1.)); +#8925=DIRECTION('',(0.,0.,-1.)); +#8926=DIRECTION('',(0.,0.,-1.)); +#8927=DIRECTION('',(0.,0.,-1.)); +#8928=DIRECTION('',(0.,0.,-1.)); +#8929=DIRECTION('',(0.,0.,-1.)); +#8930=DIRECTION('',(0.,0.,-1.)); +#8931=DIRECTION('',(0.,0.,-1.)); +#8932=DIRECTION('',(0.,0.,-1.)); +#8933=DIRECTION('',(0.,-7.26679007507743E-17,-1.)); +#8934=DIRECTION('',(0.,4.50488778064875E-17,-1.)); +#8935=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8936=DIRECTION('',(-1.,0.,0.)); +#8937=DIRECTION('',(0.,1.,4.50488778064875E-17)); +#8938=DIRECTION('',(0.,-1.,-4.50488778064875E-17)); +#8939=DIRECTION('',(-1.,0.,0.)); +#8940=DIRECTION('',(0.,0.,1.)); +#8941=DIRECTION('',(-1.,0.,0.)); +#8942=DIRECTION('',(0.,0.,1.)); +#8943=DIRECTION('',(1.,0.,0.)); +#8944=DIRECTION('',(0.,0.,-1.)); +#8945=DIRECTION('',(-1.,0.,0.)); +#8946=DIRECTION('',(0.,-0.707106781186569,-0.707106781186526)); +#8947=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8948=DIRECTION('',(-1.,0.,0.)); +#8949=DIRECTION('',(0.,0.707106781186526,-0.707106781186569)); +#8950=DIRECTION('',(0.,-0.707106781186526,0.707106781186569)); +#8951=DIRECTION('',(-1.,0.,0.)); +#8952=DIRECTION('',(0.,0.,1.)); +#8953=DIRECTION('',(-1.,0.,0.)); +#8954=DIRECTION('',(0.,0.,1.)); +#8955=DIRECTION('',(1.,0.,0.)); +#8956=DIRECTION('',(0.,0.,-1.)); +#8957=DIRECTION('',(1.,0.,0.)); +#8958=DIRECTION('',(0.,0.,-1.)); +#8959=DIRECTION('',(1.,0.,0.)); +#8960=DIRECTION('',(0.,0.,-1.)); +#8961=DIRECTION('',(0.,0.,-1.)); +#8962=DIRECTION('',(0.,0.,-1.)); +#8963=DIRECTION('',(0.894427190999917,-0.447213595499957,0.)); +#8964=DIRECTION('',(0.447213595499957,0.894427190999917,0.)); +#8965=DIRECTION('',(0.894427190999917,-0.447213595499957,0.)); +#8966=DIRECTION('',(0.447213595499957,0.894427190999917,0.)); +#8967=DIRECTION('',(-1.,0.,0.)); +#8968=DIRECTION('',(0.,0.,1.)); +#8969=DIRECTION('',(-1.,0.,0.)); +#8970=DIRECTION('',(0.,0.,1.)); +#8971=DIRECTION('',(-1.,0.,0.)); +#8972=DIRECTION('',(0.,0.,1.)); +#8973=DIRECTION('',(0.,0.,-1.)); +#8974=DIRECTION('',(-0.894427190999917,-0.447213595499957,0.)); +#8975=DIRECTION('',(0.447213595499957,-0.894427190999917,0.)); +#8976=DIRECTION('',(-1.,0.,0.)); +#8977=DIRECTION('',(0.,0.,1.)); +#8978=DIRECTION('',(0.,0.,-1.)); +#8979=DIRECTION('',(-0.894427190999917,-0.447213595499957,0.)); +#8980=DIRECTION('',(0.447213595499957,-0.894427190999917,0.)); +#8981=DIRECTION('',(-1.,0.,0.)); +#8982=DIRECTION('',(0.,0.,1.)); +#8983=DIRECTION('',(1.,0.,0.)); +#8984=DIRECTION('',(0.,0.,-1.)); +#8985=DIRECTION('',(-1.,0.,0.)); +#8986=DIRECTION('',(0.,0.,1.)); +#8987=DIRECTION('',(1.,0.,0.)); +#8988=DIRECTION('',(0.,0.,-1.)); +#8989=DIRECTION('',(-1.,0.,0.)); +#8990=DIRECTION('',(0.,0.,1.)); +#8991=DIRECTION('',(1.,0.,0.)); +#8992=DIRECTION('',(0.,0.,-1.)); +#8993=DIRECTION('',(-1.,0.,0.)); +#8994=DIRECTION('',(0.,0.,1.)); +#8995=DIRECTION('',(1.,0.,0.)); +#8996=DIRECTION('',(0.,0.,-1.)); +#8997=DIRECTION('',(-1.,0.,0.)); +#8998=DIRECTION('',(0.,0.,1.)); +#8999=DIRECTION('',(1.,0.,0.)); +#9000=DIRECTION('',(0.,0.,-1.)); +#9001=DIRECTION('',(-1.,0.,0.)); +#9002=DIRECTION('',(0.,0.,1.)); +#9003=DIRECTION('',(1.,0.,0.)); +#9004=DIRECTION('',(0.,0.,-1.)); +#9005=DIRECTION('',(-1.,0.,0.)); +#9006=DIRECTION('',(0.,0.,1.)); +#9007=DIRECTION('',(1.,0.,0.)); +#9008=DIRECTION('',(0.,0.,-1.)); +#9009=DIRECTION('',(-1.,0.,0.)); +#9010=DIRECTION('',(0.,0.,1.)); +#9011=DIRECTION('',(1.,0.,0.)); +#9012=DIRECTION('',(0.,0.,-1.)); +#9013=DIRECTION('',(-1.,0.,0.)); +#9014=DIRECTION('',(0.,0.,1.)); +#9015=DIRECTION('',(1.,0.,0.)); +#9016=DIRECTION('',(0.,0.,-1.)); +#9017=DIRECTION('',(-1.,0.,0.)); +#9018=DIRECTION('',(0.,0.,1.)); +#9019=DIRECTION('',(1.,0.,0.)); +#9020=DIRECTION('',(0.,0.,-1.)); +#9021=DIRECTION('',(-1.,0.,0.)); +#9022=DIRECTION('',(0.,0.,1.)); +#9023=DIRECTION('',(1.,0.,0.)); +#9024=DIRECTION('',(0.,0.,-1.)); +#9025=DIRECTION('',(-1.,0.,0.)); +#9026=DIRECTION('',(0.,0.,1.)); +#9027=DIRECTION('',(1.,0.,0.)); +#9028=DIRECTION('',(0.,0.,-1.)); +#9029=DIRECTION('',(1.,0.,0.)); +#9030=DIRECTION('',(0.,0.,-1.)); +#9031=DIRECTION('',(0.,0.,-1.)); +#9032=DIRECTION('',(-1.,0.,0.)); +#9033=DIRECTION('',(0.,0.,1.)); +#9034=DIRECTION('',(0.,0.,-1.)); +#9035=DIRECTION('',(0.894427190999917,-0.447213595499957,0.)); +#9036=DIRECTION('',(0.447213595499957,0.894427190999917,0.)); +#9037=DIRECTION('',(-0.894427190999917,-0.447213595499957,0.)); +#9038=DIRECTION('',(0.447213595499957,-0.894427190999917,0.)); +#9039=DIRECTION('',(1.,0.,0.)); +#9040=DIRECTION('',(0.,0.,-1.)); +#9041=DIRECTION('',(0.,0.,-1.)); +#9042=DIRECTION('',(-1.,0.,0.)); +#9043=DIRECTION('',(0.,0.,1.)); +#9044=DIRECTION('',(0.,0.,-1.)); +#9045=DIRECTION('',(0.894427190999917,-0.447213595499957,0.)); +#9046=DIRECTION('',(0.447213595499957,0.894427190999917,0.)); +#9047=DIRECTION('',(-0.894427190999917,-0.447213595499957,0.)); +#9048=DIRECTION('',(0.447213595499957,-0.894427190999917,0.)); +#9049=DIRECTION('',(1.,0.,0.)); +#9050=DIRECTION('',(0.,0.,-1.)); +#9051=DIRECTION('',(0.,0.,-1.)); +#9052=DIRECTION('',(-1.,0.,0.)); +#9053=DIRECTION('',(0.,0.,1.)); +#9054=DIRECTION('',(0.,0.,-1.)); +#9055=DIRECTION('',(0.894427190999917,-0.447213595499957,0.)); +#9056=DIRECTION('',(0.447213595499957,0.894427190999917,0.)); +#9057=DIRECTION('',(-0.894427190999917,-0.447213595499956,0.)); +#9058=DIRECTION('',(0.447213595499956,-0.894427190999917,0.)); +#9059=DIRECTION('',(1.,0.,0.)); +#9060=DIRECTION('',(0.,0.,-1.)); +#9061=DIRECTION('',(0.,0.,-1.)); +#9062=DIRECTION('',(-1.,0.,0.)); +#9063=DIRECTION('',(0.,0.,1.)); +#9064=DIRECTION('',(0.,0.,-1.)); +#9065=DIRECTION('',(0.894427190999917,-0.447213595499956,0.)); +#9066=DIRECTION('',(0.447213595499956,0.894427190999917,0.)); +#9067=DIRECTION('',(-0.894427190999917,-0.447213595499957,0.)); +#9068=DIRECTION('',(0.447213595499957,-0.894427190999917,0.)); +#9069=DIRECTION('',(1.,0.,0.)); +#9070=DIRECTION('',(0.,0.,-1.)); +#9071=DIRECTION('',(0.,0.,-1.)); +#9072=DIRECTION('',(-1.,0.,0.)); +#9073=DIRECTION('',(0.,0.,1.)); +#9074=DIRECTION('',(0.,0.,-1.)); +#9075=DIRECTION('',(0.894427190999917,-0.447213595499955,0.)); +#9076=DIRECTION('',(0.447213595499956,0.894427190999917,0.)); +#9077=DIRECTION('',(-0.894427190999917,-0.447213595499955,0.)); +#9078=DIRECTION('',(0.447213595499956,-0.894427190999917,0.)); +#9079=DIRECTION('',(1.,0.,0.)); +#9080=DIRECTION('',(0.,0.,-1.)); +#9081=DIRECTION('',(0.,0.,-1.)); +#9082=DIRECTION('',(-1.,0.,0.)); +#9083=DIRECTION('',(0.,0.,1.)); +#9084=DIRECTION('',(0.,0.,-1.)); +#9085=DIRECTION('',(0.894427190999918,-0.447213595499954,0.)); +#9086=DIRECTION('',(0.447213595499954,0.894427190999918,0.)); +#9087=DIRECTION('',(-0.894427190999917,-0.447213595499957,0.)); +#9088=DIRECTION('',(0.447213595499957,-0.894427190999917,0.)); +#9089=DIRECTION('',(1.,0.,0.)); +#9090=DIRECTION('',(0.,0.,-1.)); +#9091=DIRECTION('',(0.,0.,-1.)); +#9092=DIRECTION('',(-1.,0.,0.)); +#9093=DIRECTION('',(0.,0.,1.)); +#9094=DIRECTION('',(0.,0.,-1.)); +#9095=DIRECTION('',(0.894427190999917,-0.447213595499957,0.)); +#9096=DIRECTION('',(0.447213595499957,0.894427190999917,0.)); +#9097=DIRECTION('',(-0.894427190999917,-0.447213595499957,0.)); +#9098=DIRECTION('',(0.447213595499957,-0.894427190999917,0.)); +#9099=DIRECTION('',(1.,0.,0.)); +#9100=DIRECTION('',(0.,0.,-1.)); +#9101=DIRECTION('',(0.,0.,-1.)); +#9102=DIRECTION('',(-1.,0.,0.)); +#9103=DIRECTION('',(0.,0.,1.)); +#9104=DIRECTION('',(0.,0.,-1.)); +#9105=DIRECTION('',(0.894427190999917,-0.447213595499957,0.)); +#9106=DIRECTION('',(0.447213595499957,0.894427190999917,0.)); +#9107=DIRECTION('',(-0.894427190999917,-0.447213595499957,0.)); +#9108=DIRECTION('',(0.447213595499957,-0.894427190999917,0.)); +#9109=DIRECTION('',(1.,0.,0.)); +#9110=DIRECTION('',(0.,0.,-1.)); +#9111=DIRECTION('',(0.,0.,-1.)); +#9112=DIRECTION('',(-1.,0.,0.)); +#9113=DIRECTION('',(0.,0.,1.)); +#9114=DIRECTION('',(0.,0.,-1.)); +#9115=DIRECTION('',(0.894427190999917,-0.447213595499957,0.)); +#9116=DIRECTION('',(0.447213595499957,0.894427190999917,0.)); +#9117=DIRECTION('',(-0.894427190999917,-0.447213595499956,0.)); +#9118=DIRECTION('',(0.447213595499956,-0.894427190999917,0.)); +#9119=DIRECTION('',(1.,0.,0.)); +#9120=DIRECTION('',(0.,0.,-1.)); +#9121=DIRECTION('',(0.,0.,-1.)); +#9122=DIRECTION('',(-1.,0.,0.)); +#9123=DIRECTION('',(0.,0.,1.)); +#9124=DIRECTION('',(0.,0.,-1.)); +#9125=DIRECTION('',(0.894427190999917,-0.447213595499956,0.)); +#9126=DIRECTION('',(0.447213595499956,0.894427190999917,0.)); +#9127=DIRECTION('',(-0.894427190999917,-0.447213595499957,0.)); +#9128=DIRECTION('',(0.447213595499957,-0.894427190999917,0.)); +#9129=DIRECTION('',(1.,0.,0.)); +#9130=DIRECTION('',(0.,0.,-1.)); +#9131=DIRECTION('',(0.,0.,-1.)); +#9132=DIRECTION('',(-1.,0.,0.)); +#9133=DIRECTION('',(0.,0.,1.)); +#9134=DIRECTION('',(0.,0.,-1.)); +#9135=DIRECTION('',(0.894427190999917,-0.447213595499955,0.)); +#9136=DIRECTION('',(0.447213595499956,0.894427190999917,0.)); +#9137=DIRECTION('',(-0.894427190999917,-0.447213595499955,0.)); +#9138=DIRECTION('',(0.447213595499956,-0.894427190999917,0.)); +#9139=DIRECTION('',(-0.894427190999917,-0.447213595499957,0.)); +#9140=DIRECTION('',(0.447213595499957,-0.894427190999917,0.)); +#9141=DIRECTION('',(0.,0.,-1.)); +#9142=DIRECTION('',(0.894427190999918,-0.447213595499954,0.)); +#9143=DIRECTION('',(0.447213595499954,0.894427190999918,0.)); +#9144=DIRECTION('',(0.,0.,-1.)); +#9145=DIRECTION('',(-1.,0.,0.)); +#9146=DIRECTION('',(0.,0.,1.)); +#9147=DIRECTION('',(1.,0.,0.)); +#9148=DIRECTION('',(0.,0.,-1.)); +#9149=DIRECTION('',(0.,0.,1.)); +#9150=DIRECTION('',(1.,0.,0.)); +#9151=CARTESIAN_POINT('',(0.,0.,0.)); +#9152=CARTESIAN_POINT('',(-0.27559,0.07874,-0.0787)); +#9153=CARTESIAN_POINT('',(-0.27559,0.,-0.0787)); +#9154=CARTESIAN_POINT('',(-0.27559,0.,-0.0787)); +#9155=CARTESIAN_POINT('',(-0.27559,0.,0.0787)); +#9156=CARTESIAN_POINT('',(-0.27559,0.07874,0.0787)); +#9157=CARTESIAN_POINT('',(-0.27559,0.07874,0.0787)); +#9158=CARTESIAN_POINT('',(-0.27559,0.07874,-0.0787)); +#9159=CARTESIAN_POINT('',(-0.27559,0.07874,-0.0787)); +#9160=CARTESIAN_POINT('',(-0.27559,0.07874,-0.0787)); +#9161=CARTESIAN_POINT('',(-0.27559,0.07874,0.0787)); +#9162=CARTESIAN_POINT('',(-0.19685,0.03937,0.0787)); +#9163=CARTESIAN_POINT('',(-0.21835,0.03937,0.0787)); +#9164=CARTESIAN_POINT('',(0.19685,0.03937,0.0787)); +#9165=CARTESIAN_POINT('',(0.17535,0.03937,0.0787)); +#9166=CARTESIAN_POINT('',(-0.27559,0.,0.0787)); +#9167=CARTESIAN_POINT('',(0.27559,0.,0.0787)); +#9168=CARTESIAN_POINT('',(0.27559,0.07874,0.0787)); +#9169=CARTESIAN_POINT('',(0.27559,0.07874,0.0787)); +#9170=CARTESIAN_POINT('',(-0.27559,0.07874,0.0787)); +#9171=CARTESIAN_POINT('',(0.27559,0.07874,0.0787)); +#9172=CARTESIAN_POINT('',(0.27559,0.,0.0787)); +#9173=CARTESIAN_POINT('',(0.27559,0.,-0.0787)); +#9174=CARTESIAN_POINT('',(0.27559,0.07874,-0.0787)); +#9175=CARTESIAN_POINT('',(0.27559,0.07874,-0.0787)); +#9176=CARTESIAN_POINT('',(0.27559,0.07874,0.0787)); +#9177=CARTESIAN_POINT('',(0.22622,0.07874,-0.04937)); +#9178=CARTESIAN_POINT('',(0.22622,0.,-0.04937)); +#9179=CARTESIAN_POINT('',(0.22622,0.,-0.04937)); +#9180=CARTESIAN_POINT('',(0.22622,0.,-0.02937)); +#9181=CARTESIAN_POINT('',(0.22622,0.07874,-0.04937)); +#9182=CARTESIAN_POINT('',(0.22622,0.07874,-0.04937)); +#9183=CARTESIAN_POINT('',(0.22622,0.07874,-0.04937)); +#9184=CARTESIAN_POINT('',(0.22622,0.07874,-0.02937)); +#9185=CARTESIAN_POINT('',(0.22622,0.07874,-0.02937)); +#9186=CARTESIAN_POINT('',(0.24622,0.07874,-0.04937)); +#9187=CARTESIAN_POINT('',(0.24622,0.,-0.04937)); +#9188=CARTESIAN_POINT('',(0.24622,0.,-0.04937)); +#9189=CARTESIAN_POINT('',(0.24622,0.07874,-0.04937)); +#9190=CARTESIAN_POINT('',(0.24622,0.07874,-0.04937)); +#9191=CARTESIAN_POINT('',(0.24622,0.07874,-0.04937)); +#9192=CARTESIAN_POINT('',(0.24622,0.07874,-0.02937)); +#9193=CARTESIAN_POINT('',(0.24622,0.,-0.02937)); +#9194=CARTESIAN_POINT('',(0.24622,0.,-0.02937)); +#9195=CARTESIAN_POINT('',(0.24622,0.07874,-0.02937)); +#9196=CARTESIAN_POINT('',(0.24622,0.07874,-0.02937)); +#9197=CARTESIAN_POINT('',(0.24622,0.07874,-0.02937)); +#9198=CARTESIAN_POINT('',(0.22622,0.07874,0.02937)); +#9199=CARTESIAN_POINT('',(0.22622,0.,0.02937)); +#9200=CARTESIAN_POINT('',(0.22622,0.,0.02937)); +#9201=CARTESIAN_POINT('',(0.22622,0.,0.04937)); +#9202=CARTESIAN_POINT('',(0.22622,0.07874,0.02937)); +#9203=CARTESIAN_POINT('',(0.22622,0.07874,0.02937)); +#9204=CARTESIAN_POINT('',(0.22622,0.07874,0.02937)); +#9205=CARTESIAN_POINT('',(0.22622,0.07874,0.04937)); +#9206=CARTESIAN_POINT('',(0.22622,0.07874,0.04937)); +#9207=CARTESIAN_POINT('',(0.24622,0.07874,0.02937)); +#9208=CARTESIAN_POINT('',(0.24622,0.,0.02937)); +#9209=CARTESIAN_POINT('',(0.24622,0.,0.02937)); +#9210=CARTESIAN_POINT('',(0.24622,0.07874,0.02937)); +#9211=CARTESIAN_POINT('',(0.24622,0.07874,0.02937)); +#9212=CARTESIAN_POINT('',(0.24622,0.07874,0.02937)); +#9213=CARTESIAN_POINT('',(0.24622,0.07874,0.04937)); +#9214=CARTESIAN_POINT('',(0.24622,0.,0.04937)); +#9215=CARTESIAN_POINT('',(0.24622,0.,0.04937)); +#9216=CARTESIAN_POINT('',(0.24622,0.07874,0.04937)); +#9217=CARTESIAN_POINT('',(0.24622,0.07874,0.04937)); +#9218=CARTESIAN_POINT('',(0.24622,0.07874,0.04937)); +#9219=CARTESIAN_POINT('',(0.14748,0.07874,-0.04937)); +#9220=CARTESIAN_POINT('',(0.14748,0.,-0.04937)); +#9221=CARTESIAN_POINT('',(0.14748,0.,-0.04937)); +#9222=CARTESIAN_POINT('',(0.14748,0.,-0.02937)); +#9223=CARTESIAN_POINT('',(0.14748,0.07874,-0.04937)); +#9224=CARTESIAN_POINT('',(0.14748,0.07874,-0.04937)); +#9225=CARTESIAN_POINT('',(0.14748,0.07874,-0.04937)); +#9226=CARTESIAN_POINT('',(0.14748,0.07874,-0.02937)); +#9227=CARTESIAN_POINT('',(0.14748,0.07874,-0.02937)); +#9228=CARTESIAN_POINT('',(0.16748,0.07874,-0.04937)); +#9229=CARTESIAN_POINT('',(0.16748,0.,-0.04937)); +#9230=CARTESIAN_POINT('',(0.16748,0.,-0.04937)); +#9231=CARTESIAN_POINT('',(0.16748,0.07874,-0.04937)); +#9232=CARTESIAN_POINT('',(0.16748,0.07874,-0.04937)); +#9233=CARTESIAN_POINT('',(0.16748,0.07874,-0.04937)); +#9234=CARTESIAN_POINT('',(0.16748,0.07874,-0.02937)); +#9235=CARTESIAN_POINT('',(0.16748,0.,-0.02937)); +#9236=CARTESIAN_POINT('',(0.16748,0.,-0.02937)); +#9237=CARTESIAN_POINT('',(0.16748,0.07874,-0.02937)); +#9238=CARTESIAN_POINT('',(0.16748,0.07874,-0.02937)); +#9239=CARTESIAN_POINT('',(0.16748,0.07874,-0.02937)); +#9240=CARTESIAN_POINT('',(0.14748,0.07874,0.02937)); +#9241=CARTESIAN_POINT('',(0.14748,0.,0.02937)); +#9242=CARTESIAN_POINT('',(0.14748,0.,0.02937)); +#9243=CARTESIAN_POINT('',(0.14748,0.,0.04937)); +#9244=CARTESIAN_POINT('',(0.14748,0.07874,0.02937)); +#9245=CARTESIAN_POINT('',(0.14748,0.07874,0.02937)); +#9246=CARTESIAN_POINT('',(0.14748,0.07874,0.02937)); +#9247=CARTESIAN_POINT('',(0.14748,0.07874,0.04937)); +#9248=CARTESIAN_POINT('',(0.14748,0.07874,0.04937)); +#9249=CARTESIAN_POINT('',(0.16748,0.07874,0.02937)); +#9250=CARTESIAN_POINT('',(0.16748,0.,0.02937)); +#9251=CARTESIAN_POINT('',(0.16748,0.,0.02937)); +#9252=CARTESIAN_POINT('',(0.16748,0.07874,0.02937)); +#9253=CARTESIAN_POINT('',(0.16748,0.07874,0.02937)); +#9254=CARTESIAN_POINT('',(0.16748,0.07874,0.02937)); +#9255=CARTESIAN_POINT('',(0.16748,0.07874,0.04937)); +#9256=CARTESIAN_POINT('',(0.16748,0.,0.04937)); +#9257=CARTESIAN_POINT('',(0.16748,0.,0.04937)); +#9258=CARTESIAN_POINT('',(0.16748,0.07874,0.04937)); +#9259=CARTESIAN_POINT('',(0.16748,0.07874,0.04937)); +#9260=CARTESIAN_POINT('',(0.16748,0.07874,0.04937)); +#9261=CARTESIAN_POINT('',(0.06874,0.07874,-0.04937)); +#9262=CARTESIAN_POINT('',(0.06874,0.,-0.04937)); +#9263=CARTESIAN_POINT('',(0.06874,0.,-0.04937)); +#9264=CARTESIAN_POINT('',(0.06874,0.,-0.02937)); +#9265=CARTESIAN_POINT('',(0.06874,0.07874,-0.04937)); +#9266=CARTESIAN_POINT('',(0.06874,0.07874,-0.04937)); +#9267=CARTESIAN_POINT('',(0.06874,0.07874,-0.04937)); +#9268=CARTESIAN_POINT('',(0.06874,0.07874,-0.02937)); +#9269=CARTESIAN_POINT('',(0.06874,0.07874,-0.02937)); +#9270=CARTESIAN_POINT('',(0.08874,0.07874,-0.04937)); +#9271=CARTESIAN_POINT('',(0.08874,0.,-0.04937)); +#9272=CARTESIAN_POINT('',(0.08874,0.,-0.04937)); +#9273=CARTESIAN_POINT('',(0.08874,0.07874,-0.04937)); +#9274=CARTESIAN_POINT('',(0.08874,0.07874,-0.04937)); +#9275=CARTESIAN_POINT('',(0.08874,0.07874,-0.04937)); +#9276=CARTESIAN_POINT('',(0.08874,0.07874,-0.02937)); +#9277=CARTESIAN_POINT('',(0.08874,0.,-0.02937)); +#9278=CARTESIAN_POINT('',(0.08874,0.,-0.02937)); +#9279=CARTESIAN_POINT('',(0.08874,0.07874,-0.02937)); +#9280=CARTESIAN_POINT('',(0.08874,0.07874,-0.02937)); +#9281=CARTESIAN_POINT('',(0.08874,0.07874,-0.02937)); +#9282=CARTESIAN_POINT('',(0.06874,0.07874,0.02937)); +#9283=CARTESIAN_POINT('',(0.06874,0.,0.02937)); +#9284=CARTESIAN_POINT('',(0.06874,0.,0.02937)); +#9285=CARTESIAN_POINT('',(0.06874,0.,0.04937)); +#9286=CARTESIAN_POINT('',(0.06874,0.07874,0.02937)); +#9287=CARTESIAN_POINT('',(0.06874,0.07874,0.02937)); +#9288=CARTESIAN_POINT('',(0.06874,0.07874,0.02937)); +#9289=CARTESIAN_POINT('',(0.06874,0.07874,0.04937)); +#9290=CARTESIAN_POINT('',(0.06874,0.07874,0.04937)); +#9291=CARTESIAN_POINT('',(0.08874,0.07874,0.02937)); +#9292=CARTESIAN_POINT('',(0.08874,0.,0.02937)); +#9293=CARTESIAN_POINT('',(0.08874,0.,0.02937)); +#9294=CARTESIAN_POINT('',(0.08874,0.07874,0.02937)); +#9295=CARTESIAN_POINT('',(0.08874,0.07874,0.02937)); +#9296=CARTESIAN_POINT('',(0.08874,0.07874,0.02937)); +#9297=CARTESIAN_POINT('',(0.08874,0.07874,0.04937)); +#9298=CARTESIAN_POINT('',(0.08874,0.,0.04937)); +#9299=CARTESIAN_POINT('',(0.08874,0.,0.04937)); +#9300=CARTESIAN_POINT('',(0.08874,0.07874,0.04937)); +#9301=CARTESIAN_POINT('',(0.08874,0.07874,0.04937)); +#9302=CARTESIAN_POINT('',(0.08874,0.07874,0.04937)); +#9303=CARTESIAN_POINT('',(-0.01,0.07874,-0.04937)); +#9304=CARTESIAN_POINT('',(-0.01,0.,-0.04937)); +#9305=CARTESIAN_POINT('',(-0.01,0.,-0.04937)); +#9306=CARTESIAN_POINT('',(-0.01,0.,-0.02937)); +#9307=CARTESIAN_POINT('',(-0.01,0.07874,-0.04937)); +#9308=CARTESIAN_POINT('',(-0.01,0.07874,-0.04937)); +#9309=CARTESIAN_POINT('',(-0.01,0.07874,-0.04937)); +#9310=CARTESIAN_POINT('',(-0.01,0.07874,-0.02937)); +#9311=CARTESIAN_POINT('',(-0.01,0.07874,-0.02937)); +#9312=CARTESIAN_POINT('',(0.00999999999999998,0.07874,-0.04937)); +#9313=CARTESIAN_POINT('',(0.00999999999999998,0.,-0.04937)); +#9314=CARTESIAN_POINT('',(0.00999999999999998,0.,-0.04937)); +#9315=CARTESIAN_POINT('',(0.00999999999999998,0.07874,-0.04937)); +#9316=CARTESIAN_POINT('',(0.00999999999999998,0.07874,-0.04937)); +#9317=CARTESIAN_POINT('',(0.00999999999999998,0.07874,-0.04937)); +#9318=CARTESIAN_POINT('',(0.00999999999999998,0.07874,-0.02937)); +#9319=CARTESIAN_POINT('',(0.00999999999999998,0.,-0.02937)); +#9320=CARTESIAN_POINT('',(0.00999999999999998,0.,-0.02937)); +#9321=CARTESIAN_POINT('',(0.00999999999999998,0.07874,-0.02937)); +#9322=CARTESIAN_POINT('',(0.00999999999999998,0.07874,-0.02937)); +#9323=CARTESIAN_POINT('',(0.00999999999999998,0.07874,-0.02937)); +#9324=CARTESIAN_POINT('',(-0.01,0.07874,0.02937)); +#9325=CARTESIAN_POINT('',(-0.01,0.,0.02937)); +#9326=CARTESIAN_POINT('',(-0.01,0.,0.02937)); +#9327=CARTESIAN_POINT('',(-0.01,0.,0.04937)); +#9328=CARTESIAN_POINT('',(-0.01,0.07874,0.02937)); +#9329=CARTESIAN_POINT('',(-0.01,0.07874,0.02937)); +#9330=CARTESIAN_POINT('',(-0.01,0.07874,0.02937)); +#9331=CARTESIAN_POINT('',(-0.01,0.07874,0.04937)); +#9332=CARTESIAN_POINT('',(-0.01,0.07874,0.04937)); +#9333=CARTESIAN_POINT('',(0.00999999999999998,0.07874,0.02937)); +#9334=CARTESIAN_POINT('',(0.00999999999999998,0.,0.02937)); +#9335=CARTESIAN_POINT('',(0.00999999999999998,0.,0.02937)); +#9336=CARTESIAN_POINT('',(0.00999999999999998,0.07874,0.02937)); +#9337=CARTESIAN_POINT('',(0.00999999999999998,0.07874,0.02937)); +#9338=CARTESIAN_POINT('',(0.00999999999999998,0.07874,0.02937)); +#9339=CARTESIAN_POINT('',(0.00999999999999998,0.07874,0.04937)); +#9340=CARTESIAN_POINT('',(0.00999999999999998,0.,0.04937)); +#9341=CARTESIAN_POINT('',(0.00999999999999998,0.,0.04937)); +#9342=CARTESIAN_POINT('',(0.00999999999999998,0.07874,0.04937)); +#9343=CARTESIAN_POINT('',(0.00999999999999998,0.07874,0.04937)); +#9344=CARTESIAN_POINT('',(0.00999999999999998,0.07874,0.04937)); +#9345=CARTESIAN_POINT('',(-0.08874,0.07874,-0.04937)); +#9346=CARTESIAN_POINT('',(-0.08874,0.,-0.04937)); +#9347=CARTESIAN_POINT('',(-0.08874,0.,-0.04937)); +#9348=CARTESIAN_POINT('',(-0.08874,0.,-0.02937)); +#9349=CARTESIAN_POINT('',(-0.08874,0.07874,-0.04937)); +#9350=CARTESIAN_POINT('',(-0.08874,0.07874,-0.04937)); +#9351=CARTESIAN_POINT('',(-0.08874,0.07874,-0.04937)); +#9352=CARTESIAN_POINT('',(-0.08874,0.07874,-0.02937)); +#9353=CARTESIAN_POINT('',(-0.08874,0.07874,-0.02937)); +#9354=CARTESIAN_POINT('',(-0.06874,0.07874,-0.04937)); +#9355=CARTESIAN_POINT('',(-0.06874,0.,-0.04937)); +#9356=CARTESIAN_POINT('',(-0.06874,0.,-0.04937)); +#9357=CARTESIAN_POINT('',(-0.06874,0.07874,-0.04937)); +#9358=CARTESIAN_POINT('',(-0.06874,0.07874,-0.04937)); +#9359=CARTESIAN_POINT('',(-0.06874,0.07874,-0.04937)); +#9360=CARTESIAN_POINT('',(-0.06874,0.07874,-0.02937)); +#9361=CARTESIAN_POINT('',(-0.06874,0.,-0.02937)); +#9362=CARTESIAN_POINT('',(-0.06874,0.,-0.02937)); +#9363=CARTESIAN_POINT('',(-0.06874,0.07874,-0.02937)); +#9364=CARTESIAN_POINT('',(-0.06874,0.07874,-0.02937)); +#9365=CARTESIAN_POINT('',(-0.06874,0.07874,-0.02937)); +#9366=CARTESIAN_POINT('',(-0.08874,0.07874,0.02937)); +#9367=CARTESIAN_POINT('',(-0.08874,0.,0.02937)); +#9368=CARTESIAN_POINT('',(-0.08874,0.,0.02937)); +#9369=CARTESIAN_POINT('',(-0.08874,0.,0.04937)); +#9370=CARTESIAN_POINT('',(-0.08874,0.07874,0.02937)); +#9371=CARTESIAN_POINT('',(-0.08874,0.07874,0.02937)); +#9372=CARTESIAN_POINT('',(-0.08874,0.07874,0.02937)); +#9373=CARTESIAN_POINT('',(-0.08874,0.07874,0.04937)); +#9374=CARTESIAN_POINT('',(-0.08874,0.07874,0.04937)); +#9375=CARTESIAN_POINT('',(-0.06874,0.07874,0.02937)); +#9376=CARTESIAN_POINT('',(-0.06874,0.,0.02937)); +#9377=CARTESIAN_POINT('',(-0.06874,0.,0.02937)); +#9378=CARTESIAN_POINT('',(-0.06874,0.07874,0.02937)); +#9379=CARTESIAN_POINT('',(-0.06874,0.07874,0.02937)); +#9380=CARTESIAN_POINT('',(-0.06874,0.07874,0.02937)); +#9381=CARTESIAN_POINT('',(-0.06874,0.07874,0.04937)); +#9382=CARTESIAN_POINT('',(-0.06874,0.,0.04937)); +#9383=CARTESIAN_POINT('',(-0.06874,0.,0.04937)); +#9384=CARTESIAN_POINT('',(-0.06874,0.07874,0.04937)); +#9385=CARTESIAN_POINT('',(-0.06874,0.07874,0.04937)); +#9386=CARTESIAN_POINT('',(-0.06874,0.07874,0.04937)); +#9387=CARTESIAN_POINT('',(-0.16748,0.07874,-0.04937)); +#9388=CARTESIAN_POINT('',(-0.16748,0.,-0.04937)); +#9389=CARTESIAN_POINT('',(-0.16748,0.,-0.04937)); +#9390=CARTESIAN_POINT('',(-0.16748,0.,-0.02937)); +#9391=CARTESIAN_POINT('',(-0.16748,0.07874,-0.04937)); +#9392=CARTESIAN_POINT('',(-0.16748,0.07874,-0.04937)); +#9393=CARTESIAN_POINT('',(-0.16748,0.07874,-0.04937)); +#9394=CARTESIAN_POINT('',(-0.16748,0.07874,-0.02937)); +#9395=CARTESIAN_POINT('',(-0.16748,0.07874,-0.02937)); +#9396=CARTESIAN_POINT('',(-0.14748,0.07874,-0.04937)); +#9397=CARTESIAN_POINT('',(-0.14748,0.,-0.04937)); +#9398=CARTESIAN_POINT('',(-0.14748,0.,-0.04937)); +#9399=CARTESIAN_POINT('',(-0.14748,0.07874,-0.04937)); +#9400=CARTESIAN_POINT('',(-0.14748,0.07874,-0.04937)); +#9401=CARTESIAN_POINT('',(-0.14748,0.07874,-0.04937)); +#9402=CARTESIAN_POINT('',(-0.14748,0.07874,-0.02937)); +#9403=CARTESIAN_POINT('',(-0.14748,0.,-0.02937)); +#9404=CARTESIAN_POINT('',(-0.14748,0.,-0.02937)); +#9405=CARTESIAN_POINT('',(-0.14748,0.07874,-0.02937)); +#9406=CARTESIAN_POINT('',(-0.14748,0.07874,-0.02937)); +#9407=CARTESIAN_POINT('',(-0.14748,0.07874,-0.02937)); +#9408=CARTESIAN_POINT('',(-0.16748,0.07874,0.02937)); +#9409=CARTESIAN_POINT('',(-0.16748,0.,0.02937)); +#9410=CARTESIAN_POINT('',(-0.16748,0.,0.02937)); +#9411=CARTESIAN_POINT('',(-0.16748,0.,0.04937)); +#9412=CARTESIAN_POINT('',(-0.16748,0.07874,0.02937)); +#9413=CARTESIAN_POINT('',(-0.16748,0.07874,0.02937)); +#9414=CARTESIAN_POINT('',(-0.16748,0.07874,0.02937)); +#9415=CARTESIAN_POINT('',(-0.16748,0.07874,0.04937)); +#9416=CARTESIAN_POINT('',(-0.16748,0.07874,0.04937)); +#9417=CARTESIAN_POINT('',(-0.14748,0.07874,0.02937)); +#9418=CARTESIAN_POINT('',(-0.14748,0.,0.02937)); +#9419=CARTESIAN_POINT('',(-0.14748,0.,0.02937)); +#9420=CARTESIAN_POINT('',(-0.14748,0.07874,0.02937)); +#9421=CARTESIAN_POINT('',(-0.14748,0.07874,0.02937)); +#9422=CARTESIAN_POINT('',(-0.14748,0.07874,0.02937)); +#9423=CARTESIAN_POINT('',(-0.14748,0.07874,0.04937)); +#9424=CARTESIAN_POINT('',(-0.14748,0.,0.04937)); +#9425=CARTESIAN_POINT('',(-0.14748,0.,0.04937)); +#9426=CARTESIAN_POINT('',(-0.14748,0.07874,0.04937)); +#9427=CARTESIAN_POINT('',(-0.14748,0.07874,0.04937)); +#9428=CARTESIAN_POINT('',(-0.14748,0.07874,0.04937)); +#9429=CARTESIAN_POINT('',(-0.24622,0.07874,-0.04937)); +#9430=CARTESIAN_POINT('',(-0.24622,0.,-0.04937)); +#9431=CARTESIAN_POINT('',(-0.24622,0.,-0.04937)); +#9432=CARTESIAN_POINT('',(-0.24622,0.,-0.02937)); +#9433=CARTESIAN_POINT('',(-0.24622,0.07874,-0.04937)); +#9434=CARTESIAN_POINT('',(-0.24622,0.07874,-0.04937)); +#9435=CARTESIAN_POINT('',(-0.24622,0.07874,-0.04937)); +#9436=CARTESIAN_POINT('',(-0.24622,0.07874,-0.02937)); +#9437=CARTESIAN_POINT('',(-0.24622,0.07874,-0.02937)); +#9438=CARTESIAN_POINT('',(-0.22622,0.07874,-0.04937)); +#9439=CARTESIAN_POINT('',(-0.22622,0.,-0.04937)); +#9440=CARTESIAN_POINT('',(-0.22622,0.,-0.04937)); +#9441=CARTESIAN_POINT('',(-0.22622,0.07874,-0.04937)); +#9442=CARTESIAN_POINT('',(-0.22622,0.07874,-0.04937)); +#9443=CARTESIAN_POINT('',(-0.22622,0.07874,-0.04937)); +#9444=CARTESIAN_POINT('',(-0.22622,0.07874,-0.02937)); +#9445=CARTESIAN_POINT('',(-0.22622,0.,-0.02937)); +#9446=CARTESIAN_POINT('',(-0.22622,0.,-0.02937)); +#9447=CARTESIAN_POINT('',(-0.22622,0.07874,-0.02937)); +#9448=CARTESIAN_POINT('',(-0.22622,0.07874,-0.02937)); +#9449=CARTESIAN_POINT('',(-0.22622,0.07874,-0.02937)); +#9450=CARTESIAN_POINT('',(-0.24622,0.07874,0.02937)); +#9451=CARTESIAN_POINT('',(-0.24622,0.,0.02937)); +#9452=CARTESIAN_POINT('',(-0.24622,0.,0.02937)); +#9453=CARTESIAN_POINT('',(-0.24622,0.,0.04937)); +#9454=CARTESIAN_POINT('',(-0.24622,0.07874,0.02937)); +#9455=CARTESIAN_POINT('',(-0.24622,0.07874,0.02937)); +#9456=CARTESIAN_POINT('',(-0.24622,0.07874,0.02937)); +#9457=CARTESIAN_POINT('',(-0.24622,0.07874,0.04937)); +#9458=CARTESIAN_POINT('',(-0.24622,0.07874,0.04937)); +#9459=CARTESIAN_POINT('',(-0.22622,0.07874,0.02937)); +#9460=CARTESIAN_POINT('',(-0.22622,0.,0.02937)); +#9461=CARTESIAN_POINT('',(-0.22622,0.,0.02937)); +#9462=CARTESIAN_POINT('',(-0.22622,0.07874,0.02937)); +#9463=CARTESIAN_POINT('',(-0.22622,0.07874,0.02937)); +#9464=CARTESIAN_POINT('',(-0.22622,0.07874,0.02937)); +#9465=CARTESIAN_POINT('',(-0.22622,0.07874,0.04937)); +#9466=CARTESIAN_POINT('',(-0.22622,0.,0.04937)); +#9467=CARTESIAN_POINT('',(-0.22622,0.,0.04937)); +#9468=CARTESIAN_POINT('',(-0.22622,0.07874,0.04937)); +#9469=CARTESIAN_POINT('',(-0.22622,0.07874,0.04937)); +#9470=CARTESIAN_POINT('',(-0.22622,0.07874,0.04937)); +#9471=CARTESIAN_POINT('',(0.22622,0.07874,-0.02937)); +#9472=CARTESIAN_POINT('',(0.22622,0.,-0.02937)); +#9473=CARTESIAN_POINT('',(0.22622,0.07874,-0.02937)); +#9474=CARTESIAN_POINT('',(0.22622,0.07874,0.04937)); +#9475=CARTESIAN_POINT('',(0.22622,0.,0.04937)); +#9476=CARTESIAN_POINT('',(0.22622,0.07874,0.04937)); +#9477=CARTESIAN_POINT('',(0.14748,0.07874,-0.02937)); +#9478=CARTESIAN_POINT('',(0.14748,0.,-0.02937)); +#9479=CARTESIAN_POINT('',(0.14748,0.07874,-0.02937)); +#9480=CARTESIAN_POINT('',(0.14748,0.07874,0.04937)); +#9481=CARTESIAN_POINT('',(0.14748,0.,0.04937)); +#9482=CARTESIAN_POINT('',(0.14748,0.07874,0.04937)); +#9483=CARTESIAN_POINT('',(0.06874,0.07874,-0.02937)); +#9484=CARTESIAN_POINT('',(0.06874,0.,-0.02937)); +#9485=CARTESIAN_POINT('',(0.06874,0.07874,-0.02937)); +#9486=CARTESIAN_POINT('',(0.06874,0.07874,0.04937)); +#9487=CARTESIAN_POINT('',(0.06874,0.,0.04937)); +#9488=CARTESIAN_POINT('',(0.06874,0.07874,0.04937)); +#9489=CARTESIAN_POINT('',(-0.01,0.07874,-0.02937)); +#9490=CARTESIAN_POINT('',(-0.01,0.,-0.02937)); +#9491=CARTESIAN_POINT('',(-0.01,0.07874,-0.02937)); +#9492=CARTESIAN_POINT('',(-0.01,0.07874,0.04937)); +#9493=CARTESIAN_POINT('',(-0.01,0.,0.04937)); +#9494=CARTESIAN_POINT('',(-0.01,0.07874,0.04937)); +#9495=CARTESIAN_POINT('',(-0.08874,0.07874,-0.02937)); +#9496=CARTESIAN_POINT('',(-0.08874,0.,-0.02937)); +#9497=CARTESIAN_POINT('',(-0.08874,0.07874,-0.02937)); +#9498=CARTESIAN_POINT('',(-0.08874,0.07874,0.04937)); +#9499=CARTESIAN_POINT('',(-0.08874,0.,0.04937)); +#9500=CARTESIAN_POINT('',(-0.08874,0.07874,0.04937)); +#9501=CARTESIAN_POINT('',(-0.16748,0.07874,-0.02937)); +#9502=CARTESIAN_POINT('',(-0.16748,0.,-0.02937)); +#9503=CARTESIAN_POINT('',(-0.16748,0.07874,-0.02937)); +#9504=CARTESIAN_POINT('',(-0.16748,0.07874,0.04937)); +#9505=CARTESIAN_POINT('',(-0.16748,0.,0.04937)); +#9506=CARTESIAN_POINT('',(-0.16748,0.07874,0.04937)); +#9507=CARTESIAN_POINT('',(-0.24622,0.07874,-0.02937)); +#9508=CARTESIAN_POINT('',(-0.24622,0.,-0.02937)); +#9509=CARTESIAN_POINT('',(-0.24622,0.07874,-0.02937)); +#9510=CARTESIAN_POINT('',(-0.24622,0.07874,0.04937)); +#9511=CARTESIAN_POINT('',(-0.24622,0.,0.04937)); +#9512=CARTESIAN_POINT('',(-0.24622,0.07874,0.04937)); +#9513=CARTESIAN_POINT('',(0.27559,0.07874,-0.0787)); +#9514=CARTESIAN_POINT('',(0.27559,0.,-0.0787)); +#9515=CARTESIAN_POINT('',(0.27559,0.07874,-0.0787)); +#9516=CARTESIAN_POINT('',(0.,0.07874,0.)); +#9517=CARTESIAN_POINT('',(0.,0.,0.)); +#9518=CARTESIAN_POINT('',(0.19685,0.03937,0.1387)); +#9519=CARTESIAN_POINT('',(0.19685,0.03937,0.1187)); +#9520=CARTESIAN_POINT('',(0.21835,0.03937,0.1187)); +#9521=CARTESIAN_POINT('',(0.19685,0.03937,0.1187)); +#9522=CARTESIAN_POINT('',(0.19685,0.03937,0.1387)); +#9523=CARTESIAN_POINT('',(0.21085,0.03937,0.1387)); +#9524=CARTESIAN_POINT('',(0.21085,0.03937,0.1387)); +#9525=CARTESIAN_POINT('',(-0.19685,0.03937,0.1387)); +#9526=CARTESIAN_POINT('',(-0.19685,0.03937,0.1187)); +#9527=CARTESIAN_POINT('',(-0.17535,0.03937,0.1187)); +#9528=CARTESIAN_POINT('',(-0.19685,0.03937,0.1187)); +#9529=CARTESIAN_POINT('',(-0.19685,0.03937,0.1387)); +#9530=CARTESIAN_POINT('',(-0.18285,0.03937,0.1387)); +#9531=CARTESIAN_POINT('',(-0.18285,0.03937,0.1387)); +#9532=CARTESIAN_POINT('',(0.,0.,0.)); +#9533=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#9534=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#9535=CARTESIAN_POINT('',(0.24622,-0.245,0.0757400000000015)); +#9536=CARTESIAN_POINT('',(0.22622,-0.245,0.0757400000000015)); +#9537=CARTESIAN_POINT('',(0.24622,-0.202999999999993,0.0757400000000015)); +#9538=CARTESIAN_POINT('',(0.24622,-0.202999999999993,0.0757400000000015)); +#9539=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#9540=CARTESIAN_POINT('',(0.22622,-0.202999999999993,0.0757400000000015)); +#9541=CARTESIAN_POINT('',(0.22622,-0.202999999999993,0.0757400000000015)); +#9542=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#9543=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#9544=CARTESIAN_POINT('',(0.16748,-0.245,0.0757400000000015)); +#9545=CARTESIAN_POINT('',(0.14748,-0.245,0.0757400000000015)); +#9546=CARTESIAN_POINT('',(0.16748,-0.202999999999993,0.0757400000000015)); +#9547=CARTESIAN_POINT('',(0.16748,-0.202999999999993,0.0757400000000015)); +#9548=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#9549=CARTESIAN_POINT('',(0.14748,-0.202999999999993,0.0757400000000015)); +#9550=CARTESIAN_POINT('',(0.14748,-0.202999999999993,0.0757400000000015)); +#9551=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#9552=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#9553=CARTESIAN_POINT('',(0.08874,-0.245,0.0757400000000015)); +#9554=CARTESIAN_POINT('',(0.0687400000000001,-0.245,0.0757400000000015)); +#9555=CARTESIAN_POINT('',(0.08874,-0.202999999999993,0.0757400000000015)); +#9556=CARTESIAN_POINT('',(0.08874,-0.202999999999993,0.0757400000000015)); +#9557=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#9558=CARTESIAN_POINT('',(0.0687400000000001,-0.202999999999993,0.0757400000000015)); +#9559=CARTESIAN_POINT('',(0.0687400000000001,-0.202999999999993,0.0757400000000015)); +#9560=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#9561=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#9562=CARTESIAN_POINT('',(0.01,-0.245,0.0757400000000015)); +#9563=CARTESIAN_POINT('',(-0.00999999999999993,-0.245,0.0757400000000015)); +#9564=CARTESIAN_POINT('',(0.01,-0.202999999999993,0.0757400000000015)); +#9565=CARTESIAN_POINT('',(0.01,-0.202999999999993,0.0757400000000015)); +#9566=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#9567=CARTESIAN_POINT('',(-0.00999999999999993,-0.202999999999993,0.0757400000000015)); +#9568=CARTESIAN_POINT('',(-0.00999999999999993,-0.202999999999993,0.0757400000000015)); +#9569=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#9570=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#9571=CARTESIAN_POINT('',(-0.06874,-0.245,0.0757400000000015)); +#9572=CARTESIAN_POINT('',(-0.0887399999999999,-0.245,0.0757400000000015)); +#9573=CARTESIAN_POINT('',(-0.06874,-0.202999999999993,0.0757400000000015)); +#9574=CARTESIAN_POINT('',(-0.06874,-0.202999999999993,0.0757400000000015)); +#9575=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#9576=CARTESIAN_POINT('',(-0.0887399999999999,-0.202999999999993,0.0757400000000015)); +#9577=CARTESIAN_POINT('',(-0.0887399999999999,-0.202999999999993,0.0757400000000015)); +#9578=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#9579=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#9580=CARTESIAN_POINT('',(-0.14748,-0.245,0.0757400000000015)); +#9581=CARTESIAN_POINT('',(-0.16748,-0.245,0.0757400000000015)); +#9582=CARTESIAN_POINT('',(-0.14748,-0.202999999999993,0.0757400000000015)); +#9583=CARTESIAN_POINT('',(-0.14748,-0.202999999999993,0.0757400000000015)); +#9584=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#9585=CARTESIAN_POINT('',(-0.16748,-0.202999999999993,0.0757400000000015)); +#9586=CARTESIAN_POINT('',(-0.16748,-0.202999999999993,0.0757400000000015)); +#9587=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#9588=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#9589=CARTESIAN_POINT('',(0.24622,-0.245,0.0557400000000015)); +#9590=CARTESIAN_POINT('',(0.22622,-0.245,0.0557400000000015)); +#9591=CARTESIAN_POINT('',(0.24622,-0.245,0.0757400000000015)); +#9592=CARTESIAN_POINT('',(0.22622,-0.245,0.0757400000000015)); +#9593=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#9594=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#9595=CARTESIAN_POINT('',(0.16748,-0.245,0.0557400000000015)); +#9596=CARTESIAN_POINT('',(0.14748,-0.245,0.0557400000000015)); +#9597=CARTESIAN_POINT('',(0.16748,-0.245,0.0757400000000015)); +#9598=CARTESIAN_POINT('',(0.14748,-0.245,0.0757400000000015)); +#9599=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#9600=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#9601=CARTESIAN_POINT('',(0.08874,-0.245,0.0557400000000015)); +#9602=CARTESIAN_POINT('',(0.0687400000000001,-0.245,0.0557400000000015)); +#9603=CARTESIAN_POINT('',(0.08874,-0.245,0.0757400000000015)); +#9604=CARTESIAN_POINT('',(0.0687400000000001,-0.245,0.0757400000000015)); +#9605=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#9606=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#9607=CARTESIAN_POINT('',(0.01,-0.245,0.0557400000000015)); +#9608=CARTESIAN_POINT('',(-0.00999999999999993,-0.245,0.0557400000000015)); +#9609=CARTESIAN_POINT('',(0.01,-0.245,0.0757400000000015)); +#9610=CARTESIAN_POINT('',(-0.00999999999999993,-0.245,0.0757400000000015)); +#9611=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#9612=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#9613=CARTESIAN_POINT('',(-0.06874,-0.245,0.0557400000000015)); +#9614=CARTESIAN_POINT('',(-0.0887399999999999,-0.245,0.0557400000000015)); +#9615=CARTESIAN_POINT('',(-0.06874,-0.245,0.0757400000000015)); +#9616=CARTESIAN_POINT('',(-0.0887399999999999,-0.245,0.0757400000000015)); +#9617=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#9618=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#9619=CARTESIAN_POINT('',(-0.14748,-0.245,0.0557400000000015)); +#9620=CARTESIAN_POINT('',(-0.16748,-0.245,0.0557400000000015)); +#9621=CARTESIAN_POINT('',(-0.14748,-0.245,0.0757400000000015)); +#9622=CARTESIAN_POINT('',(-0.16748,-0.245,0.0757400000000015)); +#9623=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#9624=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0557400000000015)); +#9625=CARTESIAN_POINT('',(0.24622,-0.203000000000006,0.0557400000000015)); +#9626=CARTESIAN_POINT('',(0.22622,-0.203000000000006,0.0557400000000015)); +#9627=CARTESIAN_POINT('',(0.24622,-0.245,0.0557400000000015)); +#9628=CARTESIAN_POINT('',(0.22622,-0.245,0.0557400000000015)); +#9629=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#9630=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0557400000000015)); +#9631=CARTESIAN_POINT('',(0.16748,-0.203000000000006,0.0557400000000015)); +#9632=CARTESIAN_POINT('',(0.14748,-0.203000000000006,0.0557400000000015)); +#9633=CARTESIAN_POINT('',(0.16748,-0.245,0.0557400000000015)); +#9634=CARTESIAN_POINT('',(0.14748,-0.245,0.0557400000000015)); +#9635=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#9636=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0557400000000015)); +#9637=CARTESIAN_POINT('',(0.08874,-0.203000000000006,0.0557400000000015)); +#9638=CARTESIAN_POINT('',(0.0687400000000001,-0.203000000000006,0.0557400000000015)); +#9639=CARTESIAN_POINT('',(0.08874,-0.245,0.0557400000000015)); +#9640=CARTESIAN_POINT('',(0.0687400000000001,-0.245,0.0557400000000015)); +#9641=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#9642=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0557400000000015)); +#9643=CARTESIAN_POINT('',(0.01,-0.203000000000006,0.0557400000000015)); +#9644=CARTESIAN_POINT('',(-0.00999999999999993,-0.203000000000006,0.0557400000000015)); +#9645=CARTESIAN_POINT('',(0.01,-0.245,0.0557400000000015)); +#9646=CARTESIAN_POINT('',(-0.00999999999999993,-0.245,0.0557400000000015)); +#9647=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#9648=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0557400000000015)); +#9649=CARTESIAN_POINT('',(-0.06874,-0.203000000000006,0.0557400000000015)); +#9650=CARTESIAN_POINT('',(-0.0887399999999999,-0.203000000000006,0.0557400000000015)); +#9651=CARTESIAN_POINT('',(-0.06874,-0.245,0.0557400000000015)); +#9652=CARTESIAN_POINT('',(-0.0887399999999999,-0.245,0.0557400000000015)); +#9653=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#9654=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0557400000000015)); +#9655=CARTESIAN_POINT('',(-0.14748,-0.203000000000006,0.0557400000000015)); +#9656=CARTESIAN_POINT('',(-0.16748,-0.203000000000006,0.0557400000000015)); +#9657=CARTESIAN_POINT('',(-0.14748,-0.245,0.0557400000000015)); +#9658=CARTESIAN_POINT('',(-0.16748,-0.245,0.0557400000000015)); +#9659=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0257399999999783)); +#9660=CARTESIAN_POINT('',(0.24622,-0.203000000000006,0.0257399999999783)); +#9661=CARTESIAN_POINT('',(0.24622,-0.181786796564393,0.0469532034355904)); +#9662=CARTESIAN_POINT('',(0.22622,-0.203000000000006,0.0257399999999783)); +#9663=CARTESIAN_POINT('',(0.22622,-0.181786796564393,0.0469532034355904)); +#9664=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#9665=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0257399999999783)); +#9666=CARTESIAN_POINT('',(0.16748,-0.203000000000006,0.0257399999999783)); +#9667=CARTESIAN_POINT('',(0.16748,-0.181786796564393,0.0469532034355904)); +#9668=CARTESIAN_POINT('',(0.14748,-0.203000000000006,0.0257399999999783)); +#9669=CARTESIAN_POINT('',(0.14748,-0.181786796564393,0.0469532034355904)); +#9670=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#9671=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0257399999999783)); +#9672=CARTESIAN_POINT('',(0.08874,-0.203000000000006,0.0257399999999783)); +#9673=CARTESIAN_POINT('',(0.08874,-0.181786796564393,0.0469532034355904)); +#9674=CARTESIAN_POINT('',(0.0687400000000001,-0.203000000000006,0.0257399999999783)); +#9675=CARTESIAN_POINT('',(0.0687400000000001,-0.181786796564393,0.0469532034355904)); +#9676=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#9677=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0257399999999783)); +#9678=CARTESIAN_POINT('',(0.01,-0.203000000000006,0.0257399999999783)); +#9679=CARTESIAN_POINT('',(0.01,-0.181786796564393,0.0469532034355904)); +#9680=CARTESIAN_POINT('',(-0.00999999999999993,-0.203000000000006,0.0257399999999783)); +#9681=CARTESIAN_POINT('',(-0.00999999999999993,-0.181786796564393,0.0469532034355904)); +#9682=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#9683=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0257399999999783)); +#9684=CARTESIAN_POINT('',(-0.06874,-0.203000000000006,0.0257399999999783)); +#9685=CARTESIAN_POINT('',(-0.06874,-0.181786796564393,0.0469532034355904)); +#9686=CARTESIAN_POINT('',(-0.0887399999999999,-0.203000000000006,0.0257399999999783)); +#9687=CARTESIAN_POINT('',(-0.0887399999999999,-0.181786796564393,0.0469532034355904)); +#9688=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#9689=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0257399999999783)); +#9690=CARTESIAN_POINT('',(-0.14748,-0.203000000000006,0.0257399999999783)); +#9691=CARTESIAN_POINT('',(-0.14748,-0.181786796564393,0.0469532034355904)); +#9692=CARTESIAN_POINT('',(-0.16748,-0.203000000000006,0.0257399999999783)); +#9693=CARTESIAN_POINT('',(-0.16748,-0.181786796564393,0.0469532034355904)); +#9694=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#9695=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#9696=CARTESIAN_POINT('',(0.25122,-0.10010825406949,-0.0347253390593174)); +#9697=CARTESIAN_POINT('',(0.24622,-0.10010825406949,-0.0347253390593174)); +#9698=CARTESIAN_POINT('',(0.22622,-0.10010825406949,-0.0347253390593174)); +#9699=CARTESIAN_POINT('',(0.24622,-0.181786796564393,0.0469532034355904)); +#9700=CARTESIAN_POINT('',(0.22622,-0.181786796564393,0.0469532034355904)); +#9701=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#9702=CARTESIAN_POINT('',(0.25122,-0.10010825406949,-0.0347253390593174)); +#9703=CARTESIAN_POINT('',(0.16748,-0.10010825406949,-0.0347253390593174)); +#9704=CARTESIAN_POINT('',(0.14748,-0.10010825406949,-0.0347253390593174)); +#9705=CARTESIAN_POINT('',(0.16748,-0.181786796564393,0.0469532034355904)); +#9706=CARTESIAN_POINT('',(0.14748,-0.181786796564393,0.0469532034355904)); +#9707=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#9708=CARTESIAN_POINT('',(0.25122,-0.10010825406949,-0.0347253390593174)); +#9709=CARTESIAN_POINT('',(0.08874,-0.10010825406949,-0.0347253390593174)); +#9710=CARTESIAN_POINT('',(0.0687400000000001,-0.10010825406949,-0.0347253390593174)); +#9711=CARTESIAN_POINT('',(0.08874,-0.181786796564393,0.0469532034355904)); +#9712=CARTESIAN_POINT('',(0.0687400000000001,-0.181786796564393,0.0469532034355904)); +#9713=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#9714=CARTESIAN_POINT('',(0.25122,-0.10010825406949,-0.0347253390593174)); +#9715=CARTESIAN_POINT('',(0.01,-0.10010825406949,-0.0347253390593174)); +#9716=CARTESIAN_POINT('',(-0.00999999999999993,-0.10010825406949,-0.0347253390593174)); +#9717=CARTESIAN_POINT('',(0.01,-0.181786796564393,0.0469532034355904)); +#9718=CARTESIAN_POINT('',(-0.00999999999999993,-0.181786796564393,0.0469532034355904)); +#9719=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#9720=CARTESIAN_POINT('',(0.25122,-0.10010825406949,-0.0347253390593174)); +#9721=CARTESIAN_POINT('',(-0.06874,-0.10010825406949,-0.0347253390593174)); +#9722=CARTESIAN_POINT('',(-0.0887399999999999,-0.10010825406949,-0.0347253390593174)); +#9723=CARTESIAN_POINT('',(-0.06874,-0.181786796564393,0.0469532034355904)); +#9724=CARTESIAN_POINT('',(-0.0887399999999999,-0.181786796564393,0.0469532034355904)); +#9725=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#9726=CARTESIAN_POINT('',(0.25122,-0.10010825406949,-0.0347253390593174)); +#9727=CARTESIAN_POINT('',(-0.14748,-0.10010825406949,-0.0347253390593174)); +#9728=CARTESIAN_POINT('',(-0.16748,-0.10010825406949,-0.0347253390593174)); +#9729=CARTESIAN_POINT('',(-0.14748,-0.181786796564393,0.0469532034355904)); +#9730=CARTESIAN_POINT('',(-0.16748,-0.181786796564393,0.0469532034355904)); +#9731=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,0.000630000000029136)); +#9732=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,-0.0493699999999995)); +#9733=CARTESIAN_POINT('',(0.24622,-0.0647529150101414,-0.0493699999999995)); +#9734=CARTESIAN_POINT('',(0.22622,-0.0647529150101414,-0.0493699999999995)); +#9735=CARTESIAN_POINT('',(0.24622,-0.0647529150101414,0.000630000000029136)); +#9736=CARTESIAN_POINT('',(0.22622,-0.0647529150101414,0.000630000000029136)); +#9737=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,0.000630000000029136)); +#9738=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,-0.0493699999999995)); +#9739=CARTESIAN_POINT('',(0.16748,-0.0647529150101414,-0.0493699999999995)); +#9740=CARTESIAN_POINT('',(0.14748,-0.0647529150101414,-0.0493699999999995)); +#9741=CARTESIAN_POINT('',(0.16748,-0.0647529150101414,0.000630000000029136)); +#9742=CARTESIAN_POINT('',(0.14748,-0.0647529150101414,0.000630000000029136)); +#9743=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,0.000630000000029136)); +#9744=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,-0.0493699999999995)); +#9745=CARTESIAN_POINT('',(0.08874,-0.0647529150101414,-0.0493699999999995)); +#9746=CARTESIAN_POINT('',(0.0687400000000001,-0.0647529150101414,-0.0493699999999995)); +#9747=CARTESIAN_POINT('',(0.08874,-0.0647529150101414,0.000630000000029136)); +#9748=CARTESIAN_POINT('',(0.0687400000000001,-0.0647529150101414,0.000630000000029136)); +#9749=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,0.000630000000029136)); +#9750=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,-0.0493699999999995)); +#9751=CARTESIAN_POINT('',(0.01,-0.0647529150101414,-0.0493699999999995)); +#9752=CARTESIAN_POINT('',(-0.00999999999999993,-0.0647529150101414,-0.0493699999999995)); +#9753=CARTESIAN_POINT('',(0.01,-0.0647529150101414,0.000630000000029136)); +#9754=CARTESIAN_POINT('',(-0.00999999999999993,-0.0647529150101414,0.000630000000029136)); +#9755=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,0.000630000000029136)); +#9756=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,-0.0493699999999995)); +#9757=CARTESIAN_POINT('',(-0.06874,-0.0647529150101414,-0.0493699999999995)); +#9758=CARTESIAN_POINT('',(-0.0887399999999999,-0.0647529150101414,-0.0493699999999995)); +#9759=CARTESIAN_POINT('',(-0.06874,-0.0647529150101414,0.000630000000029136)); +#9760=CARTESIAN_POINT('',(-0.0887399999999999,-0.0647529150101414,0.000630000000029136)); +#9761=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,0.000630000000029136)); +#9762=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,-0.0493699999999995)); +#9763=CARTESIAN_POINT('',(-0.14748,-0.0647529150101414,-0.0493699999999995)); +#9764=CARTESIAN_POINT('',(-0.16748,-0.0647529150101414,-0.0493699999999995)); +#9765=CARTESIAN_POINT('',(-0.14748,-0.0647529150101414,0.000630000000029136)); +#9766=CARTESIAN_POINT('',(-0.16748,-0.0647529150101414,0.000630000000029136)); +#9767=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#9768=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#9769=CARTESIAN_POINT('',(-0.22622,0.19474,-0.0493699999999995)); +#9770=CARTESIAN_POINT('',(-0.24622,0.19474,-0.0493699999999995)); +#9771=CARTESIAN_POINT('',(-0.163313333333334,0.360553333333333,0.0335366666666668)); +#9772=CARTESIAN_POINT('',(-0.24122,0.20474,-0.0443699999999994)); +#9773=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#9774=CARTESIAN_POINT('',(-0.23122,0.20474,-0.0443699999999994)); +#9775=CARTESIAN_POINT('',(-0.146646666666667,0.0355933333333335,-0.128943333333332)); +#9776=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#9777=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#9778=CARTESIAN_POINT('',(-0.14748,0.19474,-0.0493699999999996)); +#9779=CARTESIAN_POINT('',(-0.16748,0.19474,-0.0493699999999995)); +#9780=CARTESIAN_POINT('',(-0.0976966666666677,0.334306666666666,0.0204133333333332)); +#9781=CARTESIAN_POINT('',(-0.16248,0.20474,-0.0443699999999994)); +#9782=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#9783=CARTESIAN_POINT('',(-0.15248,0.20474,-0.0443699999999994)); +#9784=CARTESIAN_POINT('',(-0.0810300000000005,0.0618400000000005,-0.115819999999999)); +#9785=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#9786=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#9787=CARTESIAN_POINT('',(-0.0687399999999999,0.19474,-0.0493699999999995)); +#9788=CARTESIAN_POINT('',(-0.0887399999999999,0.19474,-0.0493699999999995)); +#9789=CARTESIAN_POINT('',(-0.0320800000000005,0.30806,0.0072900000000001)); +#9790=CARTESIAN_POINT('',(-0.0837399999999999,0.20474,-0.0443699999999994)); +#9791=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#9792=CARTESIAN_POINT('',(-0.0737399999999999,0.20474,-0.0443699999999994)); +#9793=CARTESIAN_POINT('',(-0.0154133333333335,0.0880866666666667,-0.102696666666666)); +#9794=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#9795=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#9796=CARTESIAN_POINT('',(0.0100000000000001,0.19474,-0.0493699999999995)); +#9797=CARTESIAN_POINT('',(-0.00999999999999992,0.19474,-0.0493699999999995)); +#9798=CARTESIAN_POINT('',(0.0335366666666665,0.281813333333333,-0.00583333333333307)); +#9799=CARTESIAN_POINT('',(-0.00499999999999995,0.20474,-0.0443699999999994)); +#9800=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#9801=CARTESIAN_POINT('',(0.00500000000000006,0.20474,-0.0443699999999994)); +#9802=CARTESIAN_POINT('',(0.0502033333333332,0.114333333333333,-0.0895733333333326)); +#9803=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#9804=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#9805=CARTESIAN_POINT('',(0.08874,0.19474,-0.0493699999999995)); +#9806=CARTESIAN_POINT('',(0.06874,0.19474,-0.0493699999999995)); +#9807=CARTESIAN_POINT('',(0.0991533333333332,0.255566666666667,-0.0189566666666663)); +#9808=CARTESIAN_POINT('',(0.07374,0.20474,-0.0443699999999994)); +#9809=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#9810=CARTESIAN_POINT('',(0.08374,0.20474,-0.0443699999999994)); +#9811=CARTESIAN_POINT('',(0.11582,0.14058,-0.0764499999999994)); +#9812=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#9813=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#9814=CARTESIAN_POINT('',(0.16748,0.19474,-0.0493699999999995)); +#9815=CARTESIAN_POINT('',(0.14748,0.19474,-0.0493699999999995)); +#9816=CARTESIAN_POINT('',(0.16477,0.22932,-0.0320799999999996)); +#9817=CARTESIAN_POINT('',(0.15248,0.20474,-0.0443699999999994)); +#9818=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#9819=CARTESIAN_POINT('',(0.16248,0.20474,-0.0443699999999994)); +#9820=CARTESIAN_POINT('',(0.181436666666667,0.166826666666667,-0.0633266666666661)); +#9821=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#9822=CARTESIAN_POINT('',(-0.24122,0.20474,0.3)); +#9823=CARTESIAN_POINT('',(-0.24122,0.20474,-0.0343699999999993)); +#9824=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#9825=CARTESIAN_POINT('',(-0.23122,0.20474,-0.0343699999999995)); +#9826=CARTESIAN_POINT('',(-0.23122,0.20474,0.3)); +#9827=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#9828=CARTESIAN_POINT('',(-0.16248,0.20474,0.3)); +#9829=CARTESIAN_POINT('',(-0.16248,0.20474,-0.0343699999999993)); +#9830=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#9831=CARTESIAN_POINT('',(-0.15248,0.20474,-0.0343699999999996)); +#9832=CARTESIAN_POINT('',(-0.15248,0.20474,0.3)); +#9833=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#9834=CARTESIAN_POINT('',(-0.0837399999999999,0.20474,0.3)); +#9835=CARTESIAN_POINT('',(-0.0837399999999999,0.20474,-0.0343699999999993)); +#9836=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#9837=CARTESIAN_POINT('',(-0.0737399999999999,0.20474,-0.0343699999999995)); +#9838=CARTESIAN_POINT('',(-0.0737399999999999,0.20474,0.3)); +#9839=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#9840=CARTESIAN_POINT('',(-0.00499999999999995,0.20474,0.3)); +#9841=CARTESIAN_POINT('',(-0.00499999999999995,0.20474,-0.0343699999999993)); +#9842=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#9843=CARTESIAN_POINT('',(0.00500000000000005,0.20474,-0.0343699999999995)); +#9844=CARTESIAN_POINT('',(0.00500000000000006,0.20474,0.3)); +#9845=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#9846=CARTESIAN_POINT('',(0.07374,0.20474,0.3)); +#9847=CARTESIAN_POINT('',(0.07374,0.20474,-0.0343699999999993)); +#9848=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#9849=CARTESIAN_POINT('',(0.08374,0.20474,-0.0343699999999995)); +#9850=CARTESIAN_POINT('',(0.08374,0.20474,0.3)); +#9851=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#9852=CARTESIAN_POINT('',(0.15248,0.20474,0.3)); +#9853=CARTESIAN_POINT('',(0.15248,0.20474,-0.0343699999999993)); +#9854=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#9855=CARTESIAN_POINT('',(0.16248,0.20474,-0.0343699999999995)); +#9856=CARTESIAN_POINT('',(0.16248,0.20474,0.3)); +#9857=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#9858=CARTESIAN_POINT('',(-0.159146666666667,0.368886666666666,-0.116443333333332)); +#9859=CARTESIAN_POINT('',(-0.24622,0.19474,-0.0293699999999992)); +#9860=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#9861=CARTESIAN_POINT('',(-0.22622,0.19474,-0.0293699999999993)); +#9862=CARTESIAN_POINT('',(-0.150813333333334,0.0439266666666668,0.0460366666666668)); +#9863=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#9864=CARTESIAN_POINT('',(-0.0935300000000009,0.342639999999999,-0.103319999999999)); +#9865=CARTESIAN_POINT('',(-0.16748,0.19474,-0.0293699999999993)); +#9866=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#9867=CARTESIAN_POINT('',(-0.14748,0.19474,-0.0293699999999993)); +#9868=CARTESIAN_POINT('',(-0.0851966666666672,0.0701733333333338,0.0329133333333333)); +#9869=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#9870=CARTESIAN_POINT('',(-0.0279133333333338,0.316393333333333,-0.0901966666666658)); +#9871=CARTESIAN_POINT('',(-0.0887399999999999,0.19474,-0.0293699999999993)); +#9872=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#9873=CARTESIAN_POINT('',(-0.0687399999999999,0.19474,-0.0293699999999993)); +#9874=CARTESIAN_POINT('',(-0.0195800000000002,0.0964200000000001,0.0197900000000002)); +#9875=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#9876=CARTESIAN_POINT('',(0.0377033333333331,0.290146666666666,-0.0770733333333326)); +#9877=CARTESIAN_POINT('',(-0.00999999999999993,0.19474,-0.0293699999999993)); +#9878=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#9879=CARTESIAN_POINT('',(0.01,0.19474,-0.0293699999999993)); +#9880=CARTESIAN_POINT('',(0.0460366666666665,0.122666666666667,0.00666666666666695)); +#9881=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#9882=CARTESIAN_POINT('',(0.10332,0.2639,-0.0639499999999994)); +#9883=CARTESIAN_POINT('',(0.0687400000000001,0.19474,-0.0293699999999993)); +#9884=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#9885=CARTESIAN_POINT('',(0.08874,0.19474,-0.0293699999999993)); +#9886=CARTESIAN_POINT('',(0.111653333333333,0.148913333333333,-0.0064566666666663)); +#9887=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#9888=CARTESIAN_POINT('',(0.168936666666667,0.237653333333333,-0.0508266666666661)); +#9889=CARTESIAN_POINT('',(0.14748,0.19474,-0.0293699999999993)); +#9890=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#9891=CARTESIAN_POINT('',(0.16748,0.19474,-0.0293699999999993)); +#9892=CARTESIAN_POINT('',(0.17727,0.17516,-0.0195799999999996)); +#9893=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#9894=CARTESIAN_POINT('',(0.25122,0.039,-0.0293699999999995)); +#9895=CARTESIAN_POINT('',(-0.22622,0.039,-0.0293699999999993)); +#9896=CARTESIAN_POINT('',(-0.24622,0.039,-0.0293699999999995)); +#9897=CARTESIAN_POINT('',(-0.22622,0.19474,-0.0293699999999995)); +#9898=CARTESIAN_POINT('',(-0.24622,0.19474,-0.0293699999999995)); +#9899=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#9900=CARTESIAN_POINT('',(-0.16748,0.19474,-0.0293699999999995)); +#9901=CARTESIAN_POINT('',(-0.16748,0.039,-0.0293699999999995)); +#9902=CARTESIAN_POINT('',(0.25122,0.039,-0.0293699999999995)); +#9903=CARTESIAN_POINT('',(-0.14748,0.039,-0.0293699999999993)); +#9904=CARTESIAN_POINT('',(-0.14748,0.19474,-0.0293699999999995)); +#9905=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#9906=CARTESIAN_POINT('',(-0.0887399999999999,0.19474,-0.0293699999999995)); +#9907=CARTESIAN_POINT('',(-0.0887399999999999,0.039,-0.0293699999999995)); +#9908=CARTESIAN_POINT('',(0.25122,0.039,-0.0293699999999995)); +#9909=CARTESIAN_POINT('',(-0.0687399999999999,0.039,-0.0293699999999993)); +#9910=CARTESIAN_POINT('',(-0.06874,0.19474,-0.0293699999999995)); +#9911=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#9912=CARTESIAN_POINT('',(-0.00999999999999993,0.19474,-0.0293699999999995)); +#9913=CARTESIAN_POINT('',(-0.00999999999999993,0.039,-0.0293699999999995)); +#9914=CARTESIAN_POINT('',(0.25122,0.039,-0.0293699999999995)); +#9915=CARTESIAN_POINT('',(0.01,0.039,-0.0293699999999993)); +#9916=CARTESIAN_POINT('',(0.01,0.19474,-0.0293699999999995)); +#9917=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#9918=CARTESIAN_POINT('',(0.0687400000000001,0.19474,-0.0293699999999995)); +#9919=CARTESIAN_POINT('',(0.0687400000000001,0.039,-0.0293699999999995)); +#9920=CARTESIAN_POINT('',(0.25122,0.039,-0.0293699999999995)); +#9921=CARTESIAN_POINT('',(0.08874,0.039,-0.0293699999999993)); +#9922=CARTESIAN_POINT('',(0.08874,0.19474,-0.0293699999999995)); +#9923=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#9924=CARTESIAN_POINT('',(0.14748,0.19474,-0.0293699999999995)); +#9925=CARTESIAN_POINT('',(0.14748,0.039,-0.0293699999999995)); +#9926=CARTESIAN_POINT('',(0.25122,0.039,-0.0293699999999995)); +#9927=CARTESIAN_POINT('',(0.16748,0.039,-0.0293699999999993)); +#9928=CARTESIAN_POINT('',(0.16748,0.19474,-0.0293699999999995)); +#9929=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#9930=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#9931=CARTESIAN_POINT('',(-0.22622,0.19474,0.0293699999999997)); +#9932=CARTESIAN_POINT('',(-0.24622,0.194739999999999,0.0293699999999995)); +#9933=CARTESIAN_POINT('',(-0.22622,0.039,0.0293699999999995)); +#9934=CARTESIAN_POINT('',(-0.22622,0.039,0.0293699999999996)); +#9935=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#9936=CARTESIAN_POINT('',(-0.24622,0.039,0.0293699999999995)); +#9937=CARTESIAN_POINT('',(-0.24622,0.039,0.0293699999999995)); +#9938=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#9939=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#9940=CARTESIAN_POINT('',(-0.14748,0.039,0.0293699999999996)); +#9941=CARTESIAN_POINT('',(-0.16748,0.039,0.0293699999999996)); +#9942=CARTESIAN_POINT('',(-0.16748,0.039,0.0293699999999995)); +#9943=CARTESIAN_POINT('',(-0.16748,0.19474,0.0293699999999998)); +#9944=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#9945=CARTESIAN_POINT('',(-0.14748,0.19474,0.0293699999999997)); +#9946=CARTESIAN_POINT('',(-0.14748,0.039,0.0293699999999995)); +#9947=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#9948=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#9949=CARTESIAN_POINT('',(-0.0687399999999999,0.039,0.0293699999999996)); +#9950=CARTESIAN_POINT('',(-0.0887399999999999,0.039,0.0293699999999996)); +#9951=CARTESIAN_POINT('',(-0.0887399999999999,0.039,0.0293699999999995)); +#9952=CARTESIAN_POINT('',(-0.0887399999999999,0.19474,0.0293699999999998)); +#9953=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#9954=CARTESIAN_POINT('',(-0.0687399999999999,0.19474,0.0293699999999997)); +#9955=CARTESIAN_POINT('',(-0.06874,0.039,0.0293699999999995)); +#9956=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#9957=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#9958=CARTESIAN_POINT('',(0.01,0.039,0.0293699999999996)); +#9959=CARTESIAN_POINT('',(-0.00999999999999993,0.039,0.0293699999999996)); +#9960=CARTESIAN_POINT('',(-0.00999999999999993,0.039,0.0293699999999995)); +#9961=CARTESIAN_POINT('',(-0.00999999999999991,0.19474,0.0293699999999997)); +#9962=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#9963=CARTESIAN_POINT('',(0.01,0.19474,0.0293699999999997)); +#9964=CARTESIAN_POINT('',(0.01,0.039,0.0293699999999995)); +#9965=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#9966=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#9967=CARTESIAN_POINT('',(0.08874,0.039,0.0293699999999996)); +#9968=CARTESIAN_POINT('',(0.0687400000000001,0.039,0.0293699999999996)); +#9969=CARTESIAN_POINT('',(0.0687400000000001,0.039,0.0293699999999995)); +#9970=CARTESIAN_POINT('',(0.0687400000000001,0.19474,0.0293699999999997)); +#9971=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#9972=CARTESIAN_POINT('',(0.08874,0.19474,0.0293699999999997)); +#9973=CARTESIAN_POINT('',(0.08874,0.039,0.0293699999999995)); +#9974=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#9975=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#9976=CARTESIAN_POINT('',(0.16748,0.039,0.0293699999999996)); +#9977=CARTESIAN_POINT('',(0.14748,0.039,0.0293699999999996)); +#9978=CARTESIAN_POINT('',(0.14748,0.039,0.0293699999999995)); +#9979=CARTESIAN_POINT('',(0.14748,0.19474,0.0293699999999997)); +#9980=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#9981=CARTESIAN_POINT('',(0.16748,0.19474,0.0293699999999997)); +#9982=CARTESIAN_POINT('',(0.16748,0.039,0.0293699999999995)); +#9983=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#9984=CARTESIAN_POINT('',(-0.163313333333334,0.360553333333333,0.112276666666666)); +#9985=CARTESIAN_POINT('',(-0.24122,0.20474,0.0343699999999998)); +#9986=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#9987=CARTESIAN_POINT('',(-0.23122,0.20474,0.0343699999999998)); +#9988=CARTESIAN_POINT('',(-0.146646666666667,0.0355933333333331,-0.0502033333333335)); +#9989=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#9990=CARTESIAN_POINT('',(-0.0976966666666679,0.334306666666665,0.0991533333333322)); +#9991=CARTESIAN_POINT('',(-0.16248,0.20474,0.0343699999999998)); +#9992=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#9993=CARTESIAN_POINT('',(-0.15248,0.20474,0.0343699999999998)); +#9994=CARTESIAN_POINT('',(-0.0810300000000005,0.0618400000000001,-0.03708)); +#9995=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#9996=CARTESIAN_POINT('',(-0.0320800000000008,0.308059999999999,0.0860299999999992)); +#9997=CARTESIAN_POINT('',(-0.0837399999999999,0.20474,0.0343699999999998)); +#9998=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#9999=CARTESIAN_POINT('',(-0.0737399999999999,0.20474,0.0343699999999998)); +#10000=CARTESIAN_POINT('',(-0.0154133333333333,0.0880866666666664,-0.0239566666666669)); +#10001=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#10002=CARTESIAN_POINT('',(0.0335366666666663,0.281813333333333,0.072906666666666)); +#10003=CARTESIAN_POINT('',(-0.00499999999999995,0.20474,0.0343699999999998)); +#10004=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#10005=CARTESIAN_POINT('',(0.00500000000000006,0.20474,0.0343699999999998)); +#10006=CARTESIAN_POINT('',(0.0502033333333333,0.114333333333333,-0.0108333333333336)); +#10007=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#10008=CARTESIAN_POINT('',(0.099153333333333,0.255566666666666,0.0597833333333327)); +#10009=CARTESIAN_POINT('',(0.07374,0.20474,0.0343699999999998)); +#10010=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#10011=CARTESIAN_POINT('',(0.08374,0.20474,0.0343699999999998)); +#10012=CARTESIAN_POINT('',(0.11582,0.14058,0.00228999999999965)); +#10013=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#10014=CARTESIAN_POINT('',(0.16477,0.229319999999999,0.0466599999999995)); +#10015=CARTESIAN_POINT('',(0.15248,0.20474,0.0343699999999998)); +#10016=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#10017=CARTESIAN_POINT('',(0.16248,0.20474,0.0343699999999998)); +#10018=CARTESIAN_POINT('',(0.181436666666667,0.166826666666666,0.0154133333333329)); +#10019=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#10020=CARTESIAN_POINT('',(-0.24122,0.20474,0.3)); +#10021=CARTESIAN_POINT('',(-0.24122,0.20474,0.0443699999999998)); +#10022=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10023=CARTESIAN_POINT('',(-0.23122,0.20474,0.0443699999999997)); +#10024=CARTESIAN_POINT('',(-0.23122,0.20474,0.3)); +#10025=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#10026=CARTESIAN_POINT('',(-0.16248,0.20474,0.3)); +#10027=CARTESIAN_POINT('',(-0.16248,0.20474,0.0443699999999998)); +#10028=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10029=CARTESIAN_POINT('',(-0.15248,0.20474,0.0443699999999997)); +#10030=CARTESIAN_POINT('',(-0.15248,0.20474,0.3)); +#10031=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#10032=CARTESIAN_POINT('',(-0.0837399999999999,0.20474,0.3)); +#10033=CARTESIAN_POINT('',(-0.0837399999999999,0.20474,0.0443699999999998)); +#10034=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10035=CARTESIAN_POINT('',(-0.0737399999999999,0.20474,0.0443699999999997)); +#10036=CARTESIAN_POINT('',(-0.0737399999999999,0.20474,0.3)); +#10037=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#10038=CARTESIAN_POINT('',(-0.00499999999999995,0.20474,0.3)); +#10039=CARTESIAN_POINT('',(-0.00499999999999995,0.20474,0.0443699999999998)); +#10040=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10041=CARTESIAN_POINT('',(0.00500000000000004,0.20474,0.0443699999999997)); +#10042=CARTESIAN_POINT('',(0.00500000000000006,0.20474,0.3)); +#10043=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#10044=CARTESIAN_POINT('',(0.07374,0.20474,0.3)); +#10045=CARTESIAN_POINT('',(0.07374,0.20474,0.0443699999999998)); +#10046=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10047=CARTESIAN_POINT('',(0.08374,0.20474,0.0443699999999997)); +#10048=CARTESIAN_POINT('',(0.08374,0.20474,0.3)); +#10049=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#10050=CARTESIAN_POINT('',(0.15248,0.20474,0.3)); +#10051=CARTESIAN_POINT('',(0.15248,0.20474,0.0443699999999998)); +#10052=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10053=CARTESIAN_POINT('',(0.16248,0.20474,0.0443699999999997)); +#10054=CARTESIAN_POINT('',(0.16248,0.20474,0.3)); +#10055=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10056=CARTESIAN_POINT('',(-0.159146666666667,0.368886666666666,-0.0377033333333333)); +#10057=CARTESIAN_POINT('',(-0.24622,0.19474,0.04937)); +#10058=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.04937)); +#10059=CARTESIAN_POINT('',(-0.22622,0.19474,0.0493700000000001)); +#10060=CARTESIAN_POINT('',(-0.150813333333334,0.0439266666666669,0.124776666666666)); +#10061=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10062=CARTESIAN_POINT('',(-0.0935300000000011,0.342639999999999,-0.0245799999999997)); +#10063=CARTESIAN_POINT('',(-0.16748,0.19474,0.0493700000000001)); +#10064=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.04937)); +#10065=CARTESIAN_POINT('',(-0.14748,0.19474,0.0493700000000001)); +#10066=CARTESIAN_POINT('',(-0.0851966666666673,0.0701733333333339,0.111653333333333)); +#10067=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10068=CARTESIAN_POINT('',(-0.0279133333333339,0.316393333333333,-0.0114566666666666)); +#10069=CARTESIAN_POINT('',(-0.0887399999999999,0.19474,0.0493700000000001)); +#10070=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.04937)); +#10071=CARTESIAN_POINT('',(-0.0687399999999999,0.19474,0.0493700000000001)); +#10072=CARTESIAN_POINT('',(-0.0195800000000002,0.0964200000000002,0.0985299999999995)); +#10073=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10074=CARTESIAN_POINT('',(0.0377033333333331,0.290146666666666,0.00166666666666658)); +#10075=CARTESIAN_POINT('',(-0.00999999999999993,0.19474,0.0493700000000001)); +#10076=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.04937)); +#10077=CARTESIAN_POINT('',(0.01,0.19474,0.0493700000000001)); +#10078=CARTESIAN_POINT('',(0.0460366666666664,0.122666666666667,0.0854066666666662)); +#10079=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10080=CARTESIAN_POINT('',(0.10332,0.2639,0.0147899999999999)); +#10081=CARTESIAN_POINT('',(0.0687400000000001,0.19474,0.0493700000000001)); +#10082=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.04937)); +#10083=CARTESIAN_POINT('',(0.08874,0.19474,0.0493700000000001)); +#10084=CARTESIAN_POINT('',(0.111653333333333,0.148913333333333,0.072283333333333)); +#10085=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10086=CARTESIAN_POINT('',(0.168936666666667,0.237653333333333,0.0279133333333331)); +#10087=CARTESIAN_POINT('',(0.14748,0.19474,0.0493700000000001)); +#10088=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.04937)); +#10089=CARTESIAN_POINT('',(0.16748,0.19474,0.0493700000000001)); +#10090=CARTESIAN_POINT('',(0.17727,0.17516,0.0591599999999997)); +#10091=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.0543700000000018)); +#10092=CARTESIAN_POINT('',(0.24622,-0.031784892344702,0.0543700000000018)); +#10093=CARTESIAN_POINT('',(0.24622,-0.031784892344702,0.04937)); +#10094=CARTESIAN_POINT('',(0.24622,-0.0361150193636258,0.0518700000000009)); +#10095=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.04937)); +#10096=CARTESIAN_POINT('',(0.22622,-0.031784892344702,0.04937)); +#10097=CARTESIAN_POINT('',(0.22622,-0.031784892344702,0.0543700000000018)); +#10098=CARTESIAN_POINT('',(0.22622,-0.0361150193636258,0.0518700000000009)); +#10099=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10100=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.0543700000000018)); +#10101=CARTESIAN_POINT('',(0.16748,-0.031784892344702,0.0543700000000018)); +#10102=CARTESIAN_POINT('',(0.16748,-0.031784892344702,0.04937)); +#10103=CARTESIAN_POINT('',(0.16748,-0.0361150193636258,0.0518700000000009)); +#10104=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.04937)); +#10105=CARTESIAN_POINT('',(0.14748,-0.031784892344702,0.04937)); +#10106=CARTESIAN_POINT('',(0.14748,-0.031784892344702,0.0543700000000018)); +#10107=CARTESIAN_POINT('',(0.14748,-0.0361150193636258,0.0518700000000009)); +#10108=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10109=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.0543700000000018)); +#10110=CARTESIAN_POINT('',(0.08874,-0.031784892344702,0.0543700000000018)); +#10111=CARTESIAN_POINT('',(0.08874,-0.031784892344702,0.04937)); +#10112=CARTESIAN_POINT('',(0.08874,-0.0361150193636258,0.0518700000000009)); +#10113=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.04937)); +#10114=CARTESIAN_POINT('',(0.0687400000000001,-0.031784892344702,0.04937)); +#10115=CARTESIAN_POINT('',(0.0687400000000001,-0.031784892344702,0.0543700000000018)); +#10116=CARTESIAN_POINT('',(0.0687400000000001,-0.0361150193636258,0.0518700000000009)); +#10117=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10118=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.0543700000000018)); +#10119=CARTESIAN_POINT('',(0.01,-0.031784892344702,0.0543700000000018)); +#10120=CARTESIAN_POINT('',(0.01,-0.031784892344702,0.04937)); +#10121=CARTESIAN_POINT('',(0.01,-0.0361150193636258,0.0518700000000009)); +#10122=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.04937)); +#10123=CARTESIAN_POINT('',(-0.00999999999999993,-0.031784892344702,0.04937)); +#10124=CARTESIAN_POINT('',(-0.00999999999999993,-0.031784892344702,0.0543700000000018)); +#10125=CARTESIAN_POINT('',(-0.00999999999999993,-0.0361150193636258,0.0518700000000009)); +#10126=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10127=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.0543700000000018)); +#10128=CARTESIAN_POINT('',(-0.06874,-0.031784892344702,0.0543700000000018)); +#10129=CARTESIAN_POINT('',(-0.06874,-0.031784892344702,0.04937)); +#10130=CARTESIAN_POINT('',(-0.06874,-0.0361150193636258,0.0518700000000009)); +#10131=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.04937)); +#10132=CARTESIAN_POINT('',(-0.0887399999999999,-0.031784892344702,0.04937)); +#10133=CARTESIAN_POINT('',(-0.0887399999999999,-0.031784892344702,0.0543700000000018)); +#10134=CARTESIAN_POINT('',(-0.0887399999999999,-0.0361150193636258,0.0518700000000009)); +#10135=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10136=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.0543700000000018)); +#10137=CARTESIAN_POINT('',(-0.14748,-0.031784892344702,0.0543700000000018)); +#10138=CARTESIAN_POINT('',(-0.14748,-0.031784892344702,0.04937)); +#10139=CARTESIAN_POINT('',(-0.14748,-0.0361150193636258,0.0518700000000009)); +#10140=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.04937)); +#10141=CARTESIAN_POINT('',(-0.16748,-0.031784892344702,0.04937)); +#10142=CARTESIAN_POINT('',(-0.16748,-0.031784892344702,0.0543700000000018)); +#10143=CARTESIAN_POINT('',(-0.16748,-0.0361150193636258,0.0518700000000009)); +#10144=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10145=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10146=CARTESIAN_POINT('',(0.25122,-0.0426794919243119,0.0632400000000011)); +#10147=CARTESIAN_POINT('',(0.24622,-0.0426794919243119,0.0632400000000011)); +#10148=CARTESIAN_POINT('',(0.22622,-0.0426794919243119,0.0632400000000011)); +#10149=CARTESIAN_POINT('',(0.24622,-0.0361150193636258,0.0518700000000009)); +#10150=CARTESIAN_POINT('',(0.22622,-0.0361150193636258,0.0518700000000009)); +#10151=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10152=CARTESIAN_POINT('',(0.25122,-0.0426794919243119,0.0632400000000011)); +#10153=CARTESIAN_POINT('',(0.16748,-0.0426794919243119,0.0632400000000011)); +#10154=CARTESIAN_POINT('',(0.14748,-0.0426794919243119,0.0632400000000011)); +#10155=CARTESIAN_POINT('',(0.16748,-0.0361150193636258,0.0518700000000009)); +#10156=CARTESIAN_POINT('',(0.14748,-0.0361150193636258,0.0518700000000009)); +#10157=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10158=CARTESIAN_POINT('',(0.25122,-0.0426794919243119,0.0632400000000011)); +#10159=CARTESIAN_POINT('',(0.08874,-0.0426794919243119,0.0632400000000011)); +#10160=CARTESIAN_POINT('',(0.0687400000000001,-0.0426794919243119,0.0632400000000011)); +#10161=CARTESIAN_POINT('',(0.08874,-0.0361150193636258,0.0518700000000009)); +#10162=CARTESIAN_POINT('',(0.0687400000000001,-0.0361150193636258,0.0518700000000009)); +#10163=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10164=CARTESIAN_POINT('',(0.25122,-0.0426794919243119,0.0632400000000011)); +#10165=CARTESIAN_POINT('',(0.01,-0.0426794919243119,0.0632400000000011)); +#10166=CARTESIAN_POINT('',(-0.00999999999999993,-0.0426794919243119,0.0632400000000011)); +#10167=CARTESIAN_POINT('',(0.01,-0.0361150193636258,0.0518700000000009)); +#10168=CARTESIAN_POINT('',(-0.00999999999999993,-0.0361150193636258,0.0518700000000009)); +#10169=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10170=CARTESIAN_POINT('',(0.25122,-0.0426794919243119,0.0632400000000011)); +#10171=CARTESIAN_POINT('',(-0.06874,-0.0426794919243119,0.0632400000000011)); +#10172=CARTESIAN_POINT('',(-0.0887399999999999,-0.0426794919243119,0.0632400000000011)); +#10173=CARTESIAN_POINT('',(-0.06874,-0.0361150193636258,0.0518700000000009)); +#10174=CARTESIAN_POINT('',(-0.0887399999999999,-0.0361150193636258,0.0518700000000009)); +#10175=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10176=CARTESIAN_POINT('',(0.25122,-0.0426794919243119,0.0632400000000011)); +#10177=CARTESIAN_POINT('',(-0.14748,-0.0426794919243119,0.0632400000000011)); +#10178=CARTESIAN_POINT('',(-0.16748,-0.0426794919243119,0.0632400000000011)); +#10179=CARTESIAN_POINT('',(-0.14748,-0.0361150193636258,0.0518700000000009)); +#10180=CARTESIAN_POINT('',(-0.16748,-0.0361150193636258,0.0518700000000009)); +#10181=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0507400000000028)); +#10182=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10183=CARTESIAN_POINT('',(0.24622,-0.06433012701892,0.0757399999999995)); +#10184=CARTESIAN_POINT('',(0.22622,-0.06433012701892,0.0757399999999995)); +#10185=CARTESIAN_POINT('',(0.24622,-0.06433012701892,0.0507400000000028)); +#10186=CARTESIAN_POINT('',(0.22622,-0.06433012701892,0.0507400000000028)); +#10187=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0507400000000028)); +#10188=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10189=CARTESIAN_POINT('',(0.16748,-0.06433012701892,0.0757399999999995)); +#10190=CARTESIAN_POINT('',(0.14748,-0.06433012701892,0.0757399999999995)); +#10191=CARTESIAN_POINT('',(0.16748,-0.06433012701892,0.0507400000000028)); +#10192=CARTESIAN_POINT('',(0.14748,-0.06433012701892,0.0507400000000028)); +#10193=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0507400000000028)); +#10194=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10195=CARTESIAN_POINT('',(0.08874,-0.06433012701892,0.0757399999999995)); +#10196=CARTESIAN_POINT('',(0.0687400000000001,-0.06433012701892,0.0757399999999995)); +#10197=CARTESIAN_POINT('',(0.08874,-0.06433012701892,0.0507400000000028)); +#10198=CARTESIAN_POINT('',(0.0687400000000001,-0.06433012701892,0.0507400000000028)); +#10199=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0507400000000028)); +#10200=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10201=CARTESIAN_POINT('',(0.01,-0.06433012701892,0.0757399999999995)); +#10202=CARTESIAN_POINT('',(-0.00999999999999993,-0.06433012701892,0.0757399999999995)); +#10203=CARTESIAN_POINT('',(0.01,-0.06433012701892,0.0507400000000028)); +#10204=CARTESIAN_POINT('',(-0.00999999999999993,-0.06433012701892,0.0507400000000028)); +#10205=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0507400000000028)); +#10206=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10207=CARTESIAN_POINT('',(-0.06874,-0.06433012701892,0.0757399999999995)); +#10208=CARTESIAN_POINT('',(-0.0887399999999999,-0.06433012701892,0.0757399999999995)); +#10209=CARTESIAN_POINT('',(-0.06874,-0.06433012701892,0.0507400000000028)); +#10210=CARTESIAN_POINT('',(-0.0887399999999999,-0.06433012701892,0.0507400000000028)); +#10211=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0507400000000028)); +#10212=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10213=CARTESIAN_POINT('',(-0.14748,-0.06433012701892,0.0757399999999995)); +#10214=CARTESIAN_POINT('',(-0.16748,-0.06433012701892,0.0757399999999995)); +#10215=CARTESIAN_POINT('',(-0.14748,-0.06433012701892,0.0507400000000028)); +#10216=CARTESIAN_POINT('',(-0.16748,-0.06433012701892,0.0507400000000028)); +#10217=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10218=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10219=CARTESIAN_POINT('',(0.24622,-0.11,0.0757399999999995)); +#10220=CARTESIAN_POINT('',(0.22622,-0.11,0.0757399999999995)); +#10221=CARTESIAN_POINT('',(0.24622,-0.06433012701892,0.0757399999999995)); +#10222=CARTESIAN_POINT('',(0.22622,-0.06433012701892,0.0757399999999995)); +#10223=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10224=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10225=CARTESIAN_POINT('',(0.16748,-0.11,0.0757399999999995)); +#10226=CARTESIAN_POINT('',(0.14748,-0.11,0.0757399999999995)); +#10227=CARTESIAN_POINT('',(0.16748,-0.06433012701892,0.0757399999999995)); +#10228=CARTESIAN_POINT('',(0.14748,-0.06433012701892,0.0757399999999995)); +#10229=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10230=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10231=CARTESIAN_POINT('',(0.08874,-0.11,0.0757399999999995)); +#10232=CARTESIAN_POINT('',(0.0687400000000001,-0.11,0.0757399999999995)); +#10233=CARTESIAN_POINT('',(0.08874,-0.06433012701892,0.0757399999999995)); +#10234=CARTESIAN_POINT('',(0.0687400000000001,-0.06433012701892,0.0757399999999995)); +#10235=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10236=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10237=CARTESIAN_POINT('',(0.01,-0.11,0.0757399999999995)); +#10238=CARTESIAN_POINT('',(-0.00999999999999993,-0.11,0.0757399999999995)); +#10239=CARTESIAN_POINT('',(0.01,-0.06433012701892,0.0757399999999995)); +#10240=CARTESIAN_POINT('',(-0.00999999999999993,-0.06433012701892,0.0757399999999995)); +#10241=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10242=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10243=CARTESIAN_POINT('',(-0.06874,-0.11,0.0757399999999995)); +#10244=CARTESIAN_POINT('',(-0.0887399999999999,-0.11,0.0757399999999995)); +#10245=CARTESIAN_POINT('',(-0.06874,-0.06433012701892,0.0757399999999995)); +#10246=CARTESIAN_POINT('',(-0.0887399999999999,-0.06433012701892,0.0757399999999995)); +#10247=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10248=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10249=CARTESIAN_POINT('',(-0.14748,-0.11,0.0757399999999995)); +#10250=CARTESIAN_POINT('',(-0.16748,-0.11,0.0757399999999995)); +#10251=CARTESIAN_POINT('',(-0.14748,-0.06433012701892,0.0757399999999995)); +#10252=CARTESIAN_POINT('',(-0.16748,-0.06433012701892,0.0757399999999995)); +#10253=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10254=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10255=CARTESIAN_POINT('',(0.24622,-0.11,0.0557399999999995)); +#10256=CARTESIAN_POINT('',(0.22622,-0.11,0.0557399999999995)); +#10257=CARTESIAN_POINT('',(0.24622,-0.11,0.0757399999999995)); +#10258=CARTESIAN_POINT('',(0.22622,-0.11,0.0757399999999995)); +#10259=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10260=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10261=CARTESIAN_POINT('',(0.16748,-0.11,0.0557399999999995)); +#10262=CARTESIAN_POINT('',(0.14748,-0.11,0.0557399999999995)); +#10263=CARTESIAN_POINT('',(0.16748,-0.11,0.0757399999999995)); +#10264=CARTESIAN_POINT('',(0.14748,-0.11,0.0757399999999995)); +#10265=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10266=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10267=CARTESIAN_POINT('',(0.08874,-0.11,0.0557399999999995)); +#10268=CARTESIAN_POINT('',(0.0687400000000001,-0.11,0.0557399999999995)); +#10269=CARTESIAN_POINT('',(0.08874,-0.11,0.0757399999999995)); +#10270=CARTESIAN_POINT('',(0.0687400000000001,-0.11,0.0757399999999995)); +#10271=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10272=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10273=CARTESIAN_POINT('',(0.01,-0.11,0.0557399999999995)); +#10274=CARTESIAN_POINT('',(-0.00999999999999993,-0.11,0.0557399999999995)); +#10275=CARTESIAN_POINT('',(0.01,-0.11,0.0757399999999995)); +#10276=CARTESIAN_POINT('',(-0.00999999999999993,-0.11,0.0757399999999995)); +#10277=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10278=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10279=CARTESIAN_POINT('',(-0.06874,-0.11,0.0557399999999995)); +#10280=CARTESIAN_POINT('',(-0.0887399999999999,-0.11,0.0557399999999995)); +#10281=CARTESIAN_POINT('',(-0.06874,-0.11,0.0757399999999995)); +#10282=CARTESIAN_POINT('',(-0.0887399999999999,-0.11,0.0757399999999995)); +#10283=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10284=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10285=CARTESIAN_POINT('',(-0.14748,-0.11,0.0557399999999995)); +#10286=CARTESIAN_POINT('',(-0.16748,-0.11,0.0557399999999995)); +#10287=CARTESIAN_POINT('',(-0.14748,-0.11,0.0757399999999995)); +#10288=CARTESIAN_POINT('',(-0.16748,-0.11,0.0757399999999995)); +#10289=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10290=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0557399999999995)); +#10291=CARTESIAN_POINT('',(0.24622,-0.0643301270189187,0.0557399999999995)); +#10292=CARTESIAN_POINT('',(0.22622,-0.0643301270189187,0.0557399999999995)); +#10293=CARTESIAN_POINT('',(0.24622,-0.11,0.0557399999999995)); +#10294=CARTESIAN_POINT('',(0.22622,-0.11,0.0557399999999995)); +#10295=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10296=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0557399999999995)); +#10297=CARTESIAN_POINT('',(0.16748,-0.0643301270189187,0.0557399999999995)); +#10298=CARTESIAN_POINT('',(0.14748,-0.0643301270189187,0.0557399999999995)); +#10299=CARTESIAN_POINT('',(0.16748,-0.11,0.0557399999999995)); +#10300=CARTESIAN_POINT('',(0.14748,-0.11,0.0557399999999995)); +#10301=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10302=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0557399999999995)); +#10303=CARTESIAN_POINT('',(0.08874,-0.0643301270189187,0.0557399999999995)); +#10304=CARTESIAN_POINT('',(0.0687400000000001,-0.0643301270189187,0.0557399999999995)); +#10305=CARTESIAN_POINT('',(0.08874,-0.11,0.0557399999999995)); +#10306=CARTESIAN_POINT('',(0.0687400000000001,-0.11,0.0557399999999995)); +#10307=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10308=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0557399999999995)); +#10309=CARTESIAN_POINT('',(0.01,-0.0643301270189187,0.0557399999999995)); +#10310=CARTESIAN_POINT('',(-0.00999999999999993,-0.0643301270189187,0.0557399999999995)); +#10311=CARTESIAN_POINT('',(0.01,-0.11,0.0557399999999995)); +#10312=CARTESIAN_POINT('',(-0.00999999999999993,-0.11,0.0557399999999995)); +#10313=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10314=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0557399999999995)); +#10315=CARTESIAN_POINT('',(-0.06874,-0.0643301270189187,0.0557399999999995)); +#10316=CARTESIAN_POINT('',(-0.0887399999999999,-0.0643301270189187,0.0557399999999995)); +#10317=CARTESIAN_POINT('',(-0.06874,-0.11,0.0557399999999995)); +#10318=CARTESIAN_POINT('',(-0.0887399999999999,-0.11,0.0557399999999995)); +#10319=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10320=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0557399999999995)); +#10321=CARTESIAN_POINT('',(-0.14748,-0.0643301270189187,0.0557399999999995)); +#10322=CARTESIAN_POINT('',(-0.16748,-0.0643301270189187,0.0557399999999995)); +#10323=CARTESIAN_POINT('',(-0.14748,-0.11,0.0557399999999995)); +#10324=CARTESIAN_POINT('',(-0.16748,-0.11,0.0557399999999995)); +#10325=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0507400000000055)); +#10326=CARTESIAN_POINT('',(0.24622,-0.0643301270189187,0.0507400000000055)); +#10327=CARTESIAN_POINT('',(0.24622,-0.0600000000000017,0.0532400000000025)); +#10328=CARTESIAN_POINT('',(0.22622,-0.0643301270189187,0.0507400000000055)); +#10329=CARTESIAN_POINT('',(0.22622,-0.0600000000000017,0.0532400000000025)); +#10330=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10331=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0507400000000055)); +#10332=CARTESIAN_POINT('',(0.16748,-0.0643301270189187,0.0507400000000055)); +#10333=CARTESIAN_POINT('',(0.16748,-0.0600000000000017,0.0532400000000025)); +#10334=CARTESIAN_POINT('',(0.14748,-0.0643301270189187,0.0507400000000055)); +#10335=CARTESIAN_POINT('',(0.14748,-0.0600000000000017,0.0532400000000025)); +#10336=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10337=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0507400000000055)); +#10338=CARTESIAN_POINT('',(0.08874,-0.0643301270189187,0.0507400000000055)); +#10339=CARTESIAN_POINT('',(0.08874,-0.0600000000000017,0.0532400000000025)); +#10340=CARTESIAN_POINT('',(0.0687400000000001,-0.0643301270189187,0.0507400000000055)); +#10341=CARTESIAN_POINT('',(0.0687400000000001,-0.0600000000000017,0.0532400000000025)); +#10342=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10343=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0507400000000055)); +#10344=CARTESIAN_POINT('',(0.01,-0.0643301270189187,0.0507400000000055)); +#10345=CARTESIAN_POINT('',(0.01,-0.0600000000000017,0.0532400000000025)); +#10346=CARTESIAN_POINT('',(-0.00999999999999993,-0.0643301270189187,0.0507400000000055)); +#10347=CARTESIAN_POINT('',(-0.00999999999999996,-0.0600000000000017,0.0532400000000025)); +#10348=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10349=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0507400000000055)); +#10350=CARTESIAN_POINT('',(-0.06874,-0.0643301270189187,0.0507400000000055)); +#10351=CARTESIAN_POINT('',(-0.06874,-0.0600000000000017,0.0532400000000025)); +#10352=CARTESIAN_POINT('',(-0.0887399999999999,-0.0643301270189187,0.0507400000000055)); +#10353=CARTESIAN_POINT('',(-0.0887399999999999,-0.0600000000000017,0.0532400000000025)); +#10354=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10355=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0507400000000055)); +#10356=CARTESIAN_POINT('',(-0.14748,-0.0643301270189187,0.0507400000000055)); +#10357=CARTESIAN_POINT('',(-0.14748,-0.0600000000000017,0.0532400000000025)); +#10358=CARTESIAN_POINT('',(-0.16748,-0.0643301270189187,0.0507400000000055)); +#10359=CARTESIAN_POINT('',(-0.16748,-0.0600000000000017,0.0532400000000025)); +#10360=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10361=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10362=CARTESIAN_POINT('',(0.25122,-0.0534355274393149,0.0418700000000012)); +#10363=CARTESIAN_POINT('',(0.24622,-0.0534355274393149,0.0418700000000012)); +#10364=CARTESIAN_POINT('',(0.22622,-0.0534355274393149,0.0418700000000012)); +#10365=CARTESIAN_POINT('',(0.24622,-0.0600000000000017,0.0532400000000025)); +#10366=CARTESIAN_POINT('',(0.22622,-0.0600000000000017,0.0532400000000025)); +#10367=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10368=CARTESIAN_POINT('',(0.25122,-0.0534355274393149,0.0418700000000012)); +#10369=CARTESIAN_POINT('',(0.16748,-0.0534355274393149,0.0418700000000012)); +#10370=CARTESIAN_POINT('',(0.14748,-0.0534355274393149,0.0418700000000012)); +#10371=CARTESIAN_POINT('',(0.16748,-0.0600000000000017,0.0532400000000025)); +#10372=CARTESIAN_POINT('',(0.14748,-0.0600000000000017,0.0532400000000025)); +#10373=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10374=CARTESIAN_POINT('',(0.25122,-0.0534355274393149,0.0418700000000012)); +#10375=CARTESIAN_POINT('',(0.08874,-0.0534355274393149,0.0418700000000012)); +#10376=CARTESIAN_POINT('',(0.0687400000000001,-0.0534355274393149,0.0418700000000012)); +#10377=CARTESIAN_POINT('',(0.08874,-0.0600000000000017,0.0532400000000025)); +#10378=CARTESIAN_POINT('',(0.0687400000000001,-0.0600000000000017,0.0532400000000025)); +#10379=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10380=CARTESIAN_POINT('',(0.25122,-0.0534355274393149,0.0418700000000012)); +#10381=CARTESIAN_POINT('',(0.01,-0.0534355274393149,0.0418700000000012)); +#10382=CARTESIAN_POINT('',(-0.00999999999999993,-0.0534355274393149,0.0418700000000012)); +#10383=CARTESIAN_POINT('',(0.01,-0.0600000000000017,0.0532400000000025)); +#10384=CARTESIAN_POINT('',(-0.00999999999999996,-0.0600000000000017,0.0532400000000025)); +#10385=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10386=CARTESIAN_POINT('',(0.25122,-0.0534355274393149,0.0418700000000012)); +#10387=CARTESIAN_POINT('',(-0.06874,-0.0534355274393149,0.0418700000000012)); +#10388=CARTESIAN_POINT('',(-0.0887399999999999,-0.0534355274393149,0.0418700000000012)); +#10389=CARTESIAN_POINT('',(-0.06874,-0.0600000000000017,0.0532400000000025)); +#10390=CARTESIAN_POINT('',(-0.0887399999999999,-0.0600000000000017,0.0532400000000025)); +#10391=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10392=CARTESIAN_POINT('',(0.25122,-0.0534355274393149,0.0418700000000012)); +#10393=CARTESIAN_POINT('',(-0.14748,-0.0534355274393149,0.0418700000000012)); +#10394=CARTESIAN_POINT('',(-0.16748,-0.0534355274393149,0.0418700000000012)); +#10395=CARTESIAN_POINT('',(-0.14748,-0.0600000000000017,0.0532400000000025)); +#10396=CARTESIAN_POINT('',(-0.16748,-0.0600000000000017,0.0532400000000025)); +#10397=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.054370000000003)); +#10398=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10399=CARTESIAN_POINT('',(0.24622,-0.0317848923447009,0.0293699999999995)); +#10400=CARTESIAN_POINT('',(0.22622,-0.0317848923447009,0.0293699999999995)); +#10401=CARTESIAN_POINT('',(0.24622,-0.0317848923447009,0.054370000000003)); +#10402=CARTESIAN_POINT('',(0.22622,-0.0317848923447009,0.054370000000003)); +#10403=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.054370000000003)); +#10404=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10405=CARTESIAN_POINT('',(0.16748,-0.0317848923447009,0.0293699999999995)); +#10406=CARTESIAN_POINT('',(0.14748,-0.0317848923447009,0.0293699999999995)); +#10407=CARTESIAN_POINT('',(0.16748,-0.0317848923447009,0.054370000000003)); +#10408=CARTESIAN_POINT('',(0.14748,-0.0317848923447009,0.054370000000003)); +#10409=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.054370000000003)); +#10410=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10411=CARTESIAN_POINT('',(0.08874,-0.0317848923447009,0.0293699999999995)); +#10412=CARTESIAN_POINT('',(0.0687400000000001,-0.0317848923447009,0.0293699999999995)); +#10413=CARTESIAN_POINT('',(0.08874,-0.0317848923447009,0.054370000000003)); +#10414=CARTESIAN_POINT('',(0.0687400000000001,-0.0317848923447009,0.054370000000003)); +#10415=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.054370000000003)); +#10416=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10417=CARTESIAN_POINT('',(0.01,-0.0317848923447009,0.0293699999999995)); +#10418=CARTESIAN_POINT('',(-0.00999999999999993,-0.0317848923447009,0.0293699999999995)); +#10419=CARTESIAN_POINT('',(0.01,-0.0317848923447009,0.054370000000003)); +#10420=CARTESIAN_POINT('',(-0.00999999999999993,-0.0317848923447009,0.054370000000003)); +#10421=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.054370000000003)); +#10422=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10423=CARTESIAN_POINT('',(-0.06874,-0.0317848923447009,0.0293699999999995)); +#10424=CARTESIAN_POINT('',(-0.0887399999999999,-0.0317848923447009,0.0293699999999995)); +#10425=CARTESIAN_POINT('',(-0.06874,-0.0317848923447009,0.054370000000003)); +#10426=CARTESIAN_POINT('',(-0.0887399999999999,-0.0317848923447009,0.054370000000003)); +#10427=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.054370000000003)); +#10428=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10429=CARTESIAN_POINT('',(-0.14748,-0.0317848923447009,0.0293699999999995)); +#10430=CARTESIAN_POINT('',(-0.16748,-0.0317848923447009,0.0293699999999995)); +#10431=CARTESIAN_POINT('',(-0.14748,-0.0317848923447009,0.054370000000003)); +#10432=CARTESIAN_POINT('',(-0.16748,-0.0317848923447009,0.054370000000003)); +#10433=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10434=CARTESIAN_POINT('',(0.25122,0.0299999999999999,0.0293699999999995)); +#10435=CARTESIAN_POINT('',(0.24622,0.0300000000000001,0.0293699999999996)); +#10436=CARTESIAN_POINT('',(0.22622,0.03,0.0293699999999996)); +#10437=CARTESIAN_POINT('',(0.24622,-0.0317848923447009,0.0293699999999995)); +#10438=CARTESIAN_POINT('',(0.22622,-0.0317848923447009,0.0293699999999995)); +#10439=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10440=CARTESIAN_POINT('',(0.25122,0.0299999999999999,0.0293699999999995)); +#10441=CARTESIAN_POINT('',(0.16748,0.0300000000000001,0.0293699999999996)); +#10442=CARTESIAN_POINT('',(0.14748,0.03,0.0293699999999996)); +#10443=CARTESIAN_POINT('',(0.16748,-0.0317848923447009,0.0293699999999995)); +#10444=CARTESIAN_POINT('',(0.14748,-0.0317848923447009,0.0293699999999995)); +#10445=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10446=CARTESIAN_POINT('',(0.25122,0.0299999999999999,0.0293699999999995)); +#10447=CARTESIAN_POINT('',(0.08874,0.0300000000000001,0.0293699999999996)); +#10448=CARTESIAN_POINT('',(0.0687400000000001,0.03,0.0293699999999996)); +#10449=CARTESIAN_POINT('',(0.08874,-0.0317848923447009,0.0293699999999995)); +#10450=CARTESIAN_POINT('',(0.0687400000000001,-0.0317848923447009,0.0293699999999995)); +#10451=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10452=CARTESIAN_POINT('',(0.25122,0.0299999999999999,0.0293699999999995)); +#10453=CARTESIAN_POINT('',(0.01,0.0300000000000001,0.0293699999999996)); +#10454=CARTESIAN_POINT('',(-0.00999999999999993,0.03,0.0293699999999996)); +#10455=CARTESIAN_POINT('',(0.01,-0.0317848923447009,0.0293699999999995)); +#10456=CARTESIAN_POINT('',(-0.00999999999999993,-0.0317848923447009,0.0293699999999995)); +#10457=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10458=CARTESIAN_POINT('',(0.25122,0.0299999999999999,0.0293699999999995)); +#10459=CARTESIAN_POINT('',(-0.0687399999999999,0.0300000000000001,0.0293699999999996)); +#10460=CARTESIAN_POINT('',(-0.0887399999999999,0.03,0.0293699999999996)); +#10461=CARTESIAN_POINT('',(-0.06874,-0.0317848923447009,0.0293699999999995)); +#10462=CARTESIAN_POINT('',(-0.0887399999999999,-0.0317848923447009,0.0293699999999995)); +#10463=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10464=CARTESIAN_POINT('',(0.25122,0.0299999999999999,0.0293699999999995)); +#10465=CARTESIAN_POINT('',(-0.14748,0.0300000000000001,0.0293699999999996)); +#10466=CARTESIAN_POINT('',(-0.16748,0.03,0.0293699999999996)); +#10467=CARTESIAN_POINT('',(-0.14748,-0.0317848923447009,0.0293699999999995)); +#10468=CARTESIAN_POINT('',(-0.16748,-0.0317848923447009,0.0293699999999995)); +#10469=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10470=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,-0.0293699999999995)); +#10471=CARTESIAN_POINT('',(0.24622,-0.0647529150101428,-0.0293699999999995)); +#10472=CARTESIAN_POINT('',(0.22622,-0.0647529150101428,-0.0293699999999995)); +#10473=CARTESIAN_POINT('',(0.24622,0.0299999999999999,-0.0293699999999995)); +#10474=CARTESIAN_POINT('',(0.24622,0.0300000000000001,-0.0293699999999993)); +#10475=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10476=CARTESIAN_POINT('',(0.22622,0.03,-0.0293699999999993)); +#10477=CARTESIAN_POINT('',(0.22622,0.0299999999999999,-0.0293699999999995)); +#10478=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10479=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,-0.0293699999999995)); +#10480=CARTESIAN_POINT('',(0.16748,-0.0647529150101428,-0.0293699999999995)); +#10481=CARTESIAN_POINT('',(0.14748,-0.0647529150101428,-0.0293699999999995)); +#10482=CARTESIAN_POINT('',(0.16748,0.0299999999999999,-0.0293699999999995)); +#10483=CARTESIAN_POINT('',(0.16748,0.0300000000000001,-0.0293699999999993)); +#10484=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10485=CARTESIAN_POINT('',(0.14748,0.03,-0.0293699999999993)); +#10486=CARTESIAN_POINT('',(0.14748,0.0299999999999999,-0.0293699999999995)); +#10487=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10488=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,-0.0293699999999995)); +#10489=CARTESIAN_POINT('',(0.08874,-0.0647529150101428,-0.0293699999999995)); +#10490=CARTESIAN_POINT('',(0.0687400000000001,-0.0647529150101428,-0.0293699999999995)); +#10491=CARTESIAN_POINT('',(0.08874,0.0299999999999999,-0.0293699999999995)); +#10492=CARTESIAN_POINT('',(0.08874,0.0300000000000001,-0.0293699999999993)); +#10493=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10494=CARTESIAN_POINT('',(0.0687400000000001,0.03,-0.0293699999999993)); +#10495=CARTESIAN_POINT('',(0.0687400000000001,0.0299999999999999,-0.0293699999999995)); +#10496=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10497=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,-0.0293699999999995)); +#10498=CARTESIAN_POINT('',(0.01,-0.0647529150101428,-0.0293699999999995)); +#10499=CARTESIAN_POINT('',(-0.00999999999999993,-0.0647529150101428,-0.0293699999999995)); +#10500=CARTESIAN_POINT('',(0.01,0.0299999999999999,-0.0293699999999995)); +#10501=CARTESIAN_POINT('',(0.01,0.0300000000000001,-0.0293699999999993)); +#10502=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10503=CARTESIAN_POINT('',(-0.00999999999999993,0.03,-0.0293699999999993)); +#10504=CARTESIAN_POINT('',(-0.00999999999999993,0.0299999999999999,-0.0293699999999995)); +#10505=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10506=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,-0.0293699999999995)); +#10507=CARTESIAN_POINT('',(-0.06874,-0.0647529150101428,-0.0293699999999995)); +#10508=CARTESIAN_POINT('',(-0.0887399999999999,-0.0647529150101428,-0.0293699999999995)); +#10509=CARTESIAN_POINT('',(-0.06874,0.0299999999999999,-0.0293699999999995)); +#10510=CARTESIAN_POINT('',(-0.0687399999999999,0.0300000000000001,-0.0293699999999993)); +#10511=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10512=CARTESIAN_POINT('',(-0.0887399999999999,0.03,-0.0293699999999993)); +#10513=CARTESIAN_POINT('',(-0.0887399999999999,0.0299999999999999,-0.0293699999999995)); +#10514=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10515=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,-0.0293699999999995)); +#10516=CARTESIAN_POINT('',(-0.14748,-0.0647529150101428,-0.0293699999999995)); +#10517=CARTESIAN_POINT('',(-0.16748,-0.0647529150101428,-0.0293699999999995)); +#10518=CARTESIAN_POINT('',(-0.14748,0.0299999999999999,-0.0293699999999995)); +#10519=CARTESIAN_POINT('',(-0.14748,0.0300000000000001,-0.0293699999999993)); +#10520=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10521=CARTESIAN_POINT('',(-0.16748,0.03,-0.0293699999999993)); +#10522=CARTESIAN_POINT('',(-0.16748,0.0299999999999999,-0.0293699999999995)); +#10523=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,0.00063000000002786)); +#10524=CARTESIAN_POINT('',(0.24622,-0.0647529150101428,0.00063000000002786)); +#10525=CARTESIAN_POINT('',(0.24622,-0.0859661184457592,-0.0205832034355873)); +#10526=CARTESIAN_POINT('',(0.22622,-0.0647529150101428,0.00063000000002786)); +#10527=CARTESIAN_POINT('',(0.22622,-0.0859661184457592,-0.0205832034355873)); +#10528=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10529=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,0.00063000000002786)); +#10530=CARTESIAN_POINT('',(0.16748,-0.0647529150101428,0.00063000000002786)); +#10531=CARTESIAN_POINT('',(0.16748,-0.0859661184457592,-0.0205832034355873)); +#10532=CARTESIAN_POINT('',(0.14748,-0.0647529150101428,0.00063000000002786)); +#10533=CARTESIAN_POINT('',(0.14748,-0.0859661184457592,-0.0205832034355873)); +#10534=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10535=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,0.00063000000002786)); +#10536=CARTESIAN_POINT('',(0.08874,-0.0647529150101428,0.00063000000002786)); +#10537=CARTESIAN_POINT('',(0.08874,-0.0859661184457592,-0.0205832034355873)); +#10538=CARTESIAN_POINT('',(0.0687400000000001,-0.0647529150101428,0.00063000000002786)); +#10539=CARTESIAN_POINT('',(0.0687400000000001,-0.0859661184457592,-0.0205832034355873)); +#10540=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10541=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,0.00063000000002786)); +#10542=CARTESIAN_POINT('',(0.01,-0.0647529150101428,0.00063000000002786)); +#10543=CARTESIAN_POINT('',(0.01,-0.0859661184457592,-0.0205832034355873)); +#10544=CARTESIAN_POINT('',(-0.00999999999999993,-0.0647529150101428,0.00063000000002786)); +#10545=CARTESIAN_POINT('',(-0.00999999999999993,-0.0859661184457592,-0.0205832034355873)); +#10546=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10547=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,0.00063000000002786)); +#10548=CARTESIAN_POINT('',(-0.06874,-0.0647529150101428,0.00063000000002786)); +#10549=CARTESIAN_POINT('',(-0.06874,-0.0859661184457592,-0.0205832034355873)); +#10550=CARTESIAN_POINT('',(-0.0887399999999999,-0.0647529150101428,0.00063000000002786)); +#10551=CARTESIAN_POINT('',(-0.0887399999999999,-0.0859661184457592,-0.0205832034355873)); +#10552=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10553=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,0.00063000000002786)); +#10554=CARTESIAN_POINT('',(-0.14748,-0.0647529150101428,0.00063000000002786)); +#10555=CARTESIAN_POINT('',(-0.14748,-0.0859661184457592,-0.0205832034355873)); +#10556=CARTESIAN_POINT('',(-0.16748,-0.0647529150101428,0.00063000000002786)); +#10557=CARTESIAN_POINT('',(-0.16748,-0.0859661184457592,-0.0205832034355873)); +#10558=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10559=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10560=CARTESIAN_POINT('',(0.25122,-0.167644660940673,0.0610953390593311)); +#10561=CARTESIAN_POINT('',(0.24622,-0.167644660940673,0.0610953390593311)); +#10562=CARTESIAN_POINT('',(0.22622,-0.167644660940673,0.0610953390593311)); +#10563=CARTESIAN_POINT('',(0.24622,-0.0859661184457592,-0.0205832034355873)); +#10564=CARTESIAN_POINT('',(0.22622,-0.0859661184457592,-0.0205832034355873)); +#10565=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10566=CARTESIAN_POINT('',(0.25122,-0.167644660940673,0.0610953390593311)); +#10567=CARTESIAN_POINT('',(0.16748,-0.167644660940673,0.0610953390593311)); +#10568=CARTESIAN_POINT('',(0.14748,-0.167644660940673,0.0610953390593311)); +#10569=CARTESIAN_POINT('',(0.16748,-0.0859661184457592,-0.0205832034355873)); +#10570=CARTESIAN_POINT('',(0.14748,-0.0859661184457592,-0.0205832034355873)); +#10571=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10572=CARTESIAN_POINT('',(0.25122,-0.167644660940673,0.0610953390593311)); +#10573=CARTESIAN_POINT('',(0.08874,-0.167644660940673,0.0610953390593311)); +#10574=CARTESIAN_POINT('',(0.0687400000000001,-0.167644660940673,0.0610953390593311)); +#10575=CARTESIAN_POINT('',(0.08874,-0.0859661184457592,-0.0205832034355873)); +#10576=CARTESIAN_POINT('',(0.0687400000000001,-0.0859661184457592,-0.0205832034355873)); +#10577=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10578=CARTESIAN_POINT('',(0.25122,-0.167644660940673,0.0610953390593311)); +#10579=CARTESIAN_POINT('',(0.01,-0.167644660940673,0.0610953390593311)); +#10580=CARTESIAN_POINT('',(-0.00999999999999993,-0.167644660940673,0.0610953390593311)); +#10581=CARTESIAN_POINT('',(0.01,-0.0859661184457592,-0.0205832034355873)); +#10582=CARTESIAN_POINT('',(-0.00999999999999993,-0.0859661184457592,-0.0205832034355873)); +#10583=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10584=CARTESIAN_POINT('',(0.25122,-0.167644660940673,0.0610953390593311)); +#10585=CARTESIAN_POINT('',(-0.06874,-0.167644660940673,0.0610953390593311)); +#10586=CARTESIAN_POINT('',(-0.0887399999999999,-0.167644660940673,0.0610953390593311)); +#10587=CARTESIAN_POINT('',(-0.06874,-0.0859661184457592,-0.0205832034355873)); +#10588=CARTESIAN_POINT('',(-0.0887399999999999,-0.0859661184457592,-0.0205832034355873)); +#10589=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10590=CARTESIAN_POINT('',(0.25122,-0.167644660940673,0.0610953390593311)); +#10591=CARTESIAN_POINT('',(-0.14748,-0.167644660940673,0.0610953390593311)); +#10592=CARTESIAN_POINT('',(-0.16748,-0.167644660940673,0.0610953390593311)); +#10593=CARTESIAN_POINT('',(-0.14748,-0.0859661184457592,-0.0205832034355873)); +#10594=CARTESIAN_POINT('',(-0.16748,-0.0859661184457592,-0.0205832034355873)); +#10595=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.025740000000013)); +#10596=CARTESIAN_POINT('',(0.24622,-0.202999999999993,0.025740000000013)); +#10597=CARTESIAN_POINT('',(0.22622,-0.202999999999993,0.025740000000013)); +#10598=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.025740000000013)); +#10599=CARTESIAN_POINT('',(0.16748,-0.202999999999993,0.025740000000013)); +#10600=CARTESIAN_POINT('',(0.14748,-0.202999999999993,0.025740000000013)); +#10601=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.025740000000013)); +#10602=CARTESIAN_POINT('',(0.08874,-0.202999999999993,0.025740000000013)); +#10603=CARTESIAN_POINT('',(0.0687400000000001,-0.202999999999993,0.025740000000013)); +#10604=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.025740000000013)); +#10605=CARTESIAN_POINT('',(0.01,-0.202999999999993,0.025740000000013)); +#10606=CARTESIAN_POINT('',(-0.00999999999999993,-0.202999999999993,0.025740000000013)); +#10607=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.025740000000013)); +#10608=CARTESIAN_POINT('',(-0.06874,-0.202999999999993,0.025740000000013)); +#10609=CARTESIAN_POINT('',(-0.0887399999999999,-0.202999999999993,0.025740000000013)); +#10610=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.025740000000013)); +#10611=CARTESIAN_POINT('',(-0.14748,-0.202999999999993,0.025740000000013)); +#10612=CARTESIAN_POINT('',(-0.16748,-0.202999999999993,0.025740000000013)); +#10613=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#10614=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#10615=CARTESIAN_POINT('',(-0.22622,-0.245,0.0757400000000015)); +#10616=CARTESIAN_POINT('',(-0.24622,-0.245,0.0757400000000015)); +#10617=CARTESIAN_POINT('',(-0.22622,-0.202999999999993,0.0757400000000015)); +#10618=CARTESIAN_POINT('',(-0.22622,-0.202999999999993,0.0757400000000015)); +#10619=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.0757400000000015)); +#10620=CARTESIAN_POINT('',(-0.24622,-0.202999999999993,0.0757400000000015)); +#10621=CARTESIAN_POINT('',(-0.24622,-0.202999999999993,0.0757400000000015)); +#10622=CARTESIAN_POINT('',(0.25122,-0.245,0.0757400000000015)); +#10623=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#10624=CARTESIAN_POINT('',(-0.22622,-0.245,0.0557400000000015)); +#10625=CARTESIAN_POINT('',(-0.24622,-0.245,0.0557400000000015)); +#10626=CARTESIAN_POINT('',(-0.22622,-0.245,0.0757400000000015)); +#10627=CARTESIAN_POINT('',(-0.24622,-0.245,0.0757400000000015)); +#10628=CARTESIAN_POINT('',(0.25122,-0.245,0.0557400000000015)); +#10629=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0557400000000015)); +#10630=CARTESIAN_POINT('',(-0.22622,-0.203000000000006,0.0557400000000015)); +#10631=CARTESIAN_POINT('',(-0.24622,-0.203000000000006,0.0557400000000015)); +#10632=CARTESIAN_POINT('',(-0.22622,-0.245,0.0557400000000015)); +#10633=CARTESIAN_POINT('',(-0.24622,-0.245,0.0557400000000015)); +#10634=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0257399999999783)); +#10635=CARTESIAN_POINT('',(-0.22622,-0.203000000000006,0.0257399999999783)); +#10636=CARTESIAN_POINT('',(-0.22622,-0.181786796564393,0.0469532034355904)); +#10637=CARTESIAN_POINT('',(-0.24622,-0.203000000000006,0.0257399999999783)); +#10638=CARTESIAN_POINT('',(-0.24622,-0.181786796564393,0.0469532034355904)); +#10639=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#10640=CARTESIAN_POINT('',(0.25122,-0.181786796564393,0.0469532034355904)); +#10641=CARTESIAN_POINT('',(0.25122,-0.10010825406949,-0.0347253390593174)); +#10642=CARTESIAN_POINT('',(-0.22622,-0.10010825406949,-0.0347253390593174)); +#10643=CARTESIAN_POINT('',(-0.24622,-0.10010825406949,-0.0347253390593174)); +#10644=CARTESIAN_POINT('',(-0.22622,-0.181786796564393,0.0469532034355904)); +#10645=CARTESIAN_POINT('',(-0.24622,-0.181786796564393,0.0469532034355904)); +#10646=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,0.000630000000029136)); +#10647=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,-0.0493699999999995)); +#10648=CARTESIAN_POINT('',(-0.22622,-0.0647529150101414,-0.0493699999999995)); +#10649=CARTESIAN_POINT('',(-0.24622,-0.0647529150101414,-0.0493699999999995)); +#10650=CARTESIAN_POINT('',(-0.22622,-0.0647529150101414,0.000630000000029136)); +#10651=CARTESIAN_POINT('',(-0.24622,-0.0647529150101414,0.000630000000029136)); +#10652=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,-0.0493699999999995)); +#10653=CARTESIAN_POINT('',(-0.22622,-0.0647529150101414,-0.0493699999999995)); +#10654=CARTESIAN_POINT('',(-0.22622,0.039,-0.0493699999999994)); +#10655=CARTESIAN_POINT('',(0.25122,0.0390000000000001,-0.0493699999999995)); +#10656=CARTESIAN_POINT('',(-0.16748,0.039,-0.0493699999999994)); +#10657=CARTESIAN_POINT('',(-0.16748,-0.0647529150101414,-0.0493699999999995)); +#10658=CARTESIAN_POINT('',(-0.14748,-0.0647529150101414,-0.0493699999999995)); +#10659=CARTESIAN_POINT('',(-0.14748,0.039,-0.0493699999999994)); +#10660=CARTESIAN_POINT('',(0.25122,0.039,-0.0493699999999995)); +#10661=CARTESIAN_POINT('',(-0.0887399999999999,0.039,-0.0493699999999994)); +#10662=CARTESIAN_POINT('',(-0.0887399999999999,-0.0647529150101414,-0.0493699999999995)); +#10663=CARTESIAN_POINT('',(-0.06874,-0.0647529150101414,-0.0493699999999995)); +#10664=CARTESIAN_POINT('',(-0.0687399999999999,0.039,-0.0493699999999994)); +#10665=CARTESIAN_POINT('',(0.25122,0.039,-0.0493699999999995)); +#10666=CARTESIAN_POINT('',(-0.00999999999999993,0.039,-0.0493699999999994)); +#10667=CARTESIAN_POINT('',(-0.00999999999999993,-0.0647529150101414,-0.0493699999999995)); +#10668=CARTESIAN_POINT('',(0.01,-0.0647529150101414,-0.0493699999999995)); +#10669=CARTESIAN_POINT('',(0.01,0.039,-0.0493699999999994)); +#10670=CARTESIAN_POINT('',(0.25122,0.039,-0.0493699999999995)); +#10671=CARTESIAN_POINT('',(0.0687400000000001,0.039,-0.0493699999999994)); +#10672=CARTESIAN_POINT('',(0.0687400000000001,-0.0647529150101414,-0.0493699999999995)); +#10673=CARTESIAN_POINT('',(0.08874,-0.0647529150101414,-0.0493699999999995)); +#10674=CARTESIAN_POINT('',(0.08874,0.039,-0.0493699999999994)); +#10675=CARTESIAN_POINT('',(0.25122,0.039,-0.0493699999999995)); +#10676=CARTESIAN_POINT('',(0.14748,0.039,-0.0493699999999994)); +#10677=CARTESIAN_POINT('',(0.14748,-0.0647529150101414,-0.0493699999999995)); +#10678=CARTESIAN_POINT('',(0.16748,-0.0647529150101414,-0.0493699999999995)); +#10679=CARTESIAN_POINT('',(0.16748,0.039,-0.0493699999999994)); +#10680=CARTESIAN_POINT('',(0.25122,0.039,-0.0493699999999995)); +#10681=CARTESIAN_POINT('',(0.22622,0.039,-0.0493699999999994)); +#10682=CARTESIAN_POINT('',(0.22622,-0.0647529150101414,-0.0493699999999995)); +#10683=CARTESIAN_POINT('',(0.22622,0.19474,-0.0493699999999995)); +#10684=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#10685=CARTESIAN_POINT('',(0.24622,0.19474,-0.0493699999999995)); +#10686=CARTESIAN_POINT('',(0.24622,-0.0647529150101414,-0.0493699999999995)); +#10687=CARTESIAN_POINT('',(0.24622,0.039,-0.0493699999999994)); +#10688=CARTESIAN_POINT('',(0.25122,0.039,-0.0493699999999995)); +#10689=CARTESIAN_POINT('',(0.25122,0.039,-0.0493699999999995)); +#10690=CARTESIAN_POINT('',(0.25122,-0.0647529150101414,-0.0493699999999995)); +#10691=CARTESIAN_POINT('',(0.25122,0.03,-0.0493699999999995)); +#10692=CARTESIAN_POINT('',(0.25122,0.03,-0.0493699999999995)); +#10693=CARTESIAN_POINT('',(0.24622,0.0300000000000001,-0.0493699999999994)); +#10694=CARTESIAN_POINT('',(0.24622,-0.0647529150101414,-0.0493699999999995)); +#10695=CARTESIAN_POINT('',(0.22622,-0.0647529150101414,-0.0493699999999995)); +#10696=CARTESIAN_POINT('',(0.22622,0.03,-0.0493699999999994)); +#10697=CARTESIAN_POINT('',(0.25122,0.03,-0.0493699999999995)); +#10698=CARTESIAN_POINT('',(0.16748,0.0300000000000001,-0.0493699999999994)); +#10699=CARTESIAN_POINT('',(0.16748,-0.0647529150101414,-0.0493699999999995)); +#10700=CARTESIAN_POINT('',(0.14748,-0.0647529150101414,-0.0493699999999995)); +#10701=CARTESIAN_POINT('',(0.14748,0.03,-0.0493699999999994)); +#10702=CARTESIAN_POINT('',(0.25122,0.03,-0.0493699999999995)); +#10703=CARTESIAN_POINT('',(0.08874,0.0300000000000001,-0.0493699999999994)); +#10704=CARTESIAN_POINT('',(0.08874,-0.0647529150101414,-0.0493699999999995)); +#10705=CARTESIAN_POINT('',(0.0687400000000001,-0.0647529150101414,-0.0493699999999995)); +#10706=CARTESIAN_POINT('',(0.0687400000000001,0.03,-0.0493699999999994)); +#10707=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0493699999999995)); +#10708=CARTESIAN_POINT('',(0.01,0.0300000000000001,-0.0493699999999994)); +#10709=CARTESIAN_POINT('',(0.01,-0.0647529150101414,-0.0493699999999995)); +#10710=CARTESIAN_POINT('',(-0.00999999999999993,-0.0647529150101414,-0.0493699999999995)); +#10711=CARTESIAN_POINT('',(-0.00999999999999993,0.03,-0.0493699999999994)); +#10712=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0493699999999995)); +#10713=CARTESIAN_POINT('',(-0.0687399999999999,0.0300000000000001,-0.0493699999999994)); +#10714=CARTESIAN_POINT('',(-0.06874,-0.0647529150101414,-0.0493699999999995)); +#10715=CARTESIAN_POINT('',(-0.0887399999999999,-0.0647529150101414,-0.0493699999999995)); +#10716=CARTESIAN_POINT('',(-0.0887399999999999,0.03,-0.0493699999999994)); +#10717=CARTESIAN_POINT('',(0.25122,0.0299999999999998,-0.0493699999999995)); +#10718=CARTESIAN_POINT('',(-0.14748,0.0300000000000001,-0.0493699999999994)); +#10719=CARTESIAN_POINT('',(-0.14748,-0.0647529150101414,-0.0493699999999995)); +#10720=CARTESIAN_POINT('',(-0.16748,-0.0647529150101414,-0.0493699999999995)); +#10721=CARTESIAN_POINT('',(-0.16748,0.03,-0.0493699999999994)); +#10722=CARTESIAN_POINT('',(0.25122,0.0299999999999998,-0.0493699999999995)); +#10723=CARTESIAN_POINT('',(-0.22622,0.0300000000000001,-0.0493699999999994)); +#10724=CARTESIAN_POINT('',(-0.22622,-0.0647529150101414,-0.0493699999999995)); +#10725=CARTESIAN_POINT('',(-0.24622,-0.0647529150101414,-0.0493699999999995)); +#10726=CARTESIAN_POINT('',(0.25122,0.19474,-0.0493699999999995)); +#10727=CARTESIAN_POINT('',(0.230386666666667,0.203073333333333,-0.0452033333333328)); +#10728=CARTESIAN_POINT('',(0.23122,0.20474,-0.0443699999999994)); +#10729=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#10730=CARTESIAN_POINT('',(0.24122,0.20474,-0.0443699999999994)); +#10731=CARTESIAN_POINT('',(0.247053333333333,0.193073333333333,-0.0502033333333328)); +#10732=CARTESIAN_POINT('',(0.25122,0.20474,-0.0443699999999995)); +#10733=CARTESIAN_POINT('',(0.23122,0.20474,0.3)); +#10734=CARTESIAN_POINT('',(0.23122,0.20474,-0.0343699999999993)); +#10735=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#10736=CARTESIAN_POINT('',(0.24122,0.20474,-0.0343699999999995)); +#10737=CARTESIAN_POINT('',(0.24122,0.20474,0.3)); +#10738=CARTESIAN_POINT('',(0.25122,0.20474,-0.0343699999999995)); +#10739=CARTESIAN_POINT('',(0.234553333333333,0.211406666666667,-0.0377033333333328)); +#10740=CARTESIAN_POINT('',(0.22622,0.19474,-0.0293699999999993)); +#10741=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#10742=CARTESIAN_POINT('',(0.24622,0.19474,-0.0293699999999993)); +#10743=CARTESIAN_POINT('',(0.242886666666667,0.201406666666667,-0.0327033333333328)); +#10744=CARTESIAN_POINT('',(0.25122,0.19474,-0.0293699999999995)); +#10745=CARTESIAN_POINT('',(0.22622,0.19474,-0.0293699999999995)); +#10746=CARTESIAN_POINT('',(0.22622,0.039,-0.0293699999999995)); +#10747=CARTESIAN_POINT('',(0.25122,0.039,-0.0293699999999995)); +#10748=CARTESIAN_POINT('',(0.24622,0.039,-0.0293699999999993)); +#10749=CARTESIAN_POINT('',(0.24622,0.19474,-0.0293699999999995)); +#10750=CARTESIAN_POINT('',(0.25122,0.039,-0.0293699999999995)); +#10751=CARTESIAN_POINT('',(0.22622,0.039,0.3)); +#10752=CARTESIAN_POINT('',(0.16748,0.039,0.3)); +#10753=CARTESIAN_POINT('',(0.14748,0.039,0.3)); +#10754=CARTESIAN_POINT('',(0.08874,0.039,0.3)); +#10755=CARTESIAN_POINT('',(0.0687400000000001,0.039,0.3)); +#10756=CARTESIAN_POINT('',(0.01,0.039,0.3)); +#10757=CARTESIAN_POINT('',(-0.00999999999999993,0.039,0.3)); +#10758=CARTESIAN_POINT('',(-0.0687399999999999,0.039,0.3)); +#10759=CARTESIAN_POINT('',(-0.0887399999999999,0.039,0.3)); +#10760=CARTESIAN_POINT('',(-0.14748,0.039,0.3)); +#10761=CARTESIAN_POINT('',(-0.16748,0.039,0.3)); +#10762=CARTESIAN_POINT('',(-0.22622,0.039,0.3)); +#10763=CARTESIAN_POINT('',(-0.24622,0.039,-0.0293699999999995)); +#10764=CARTESIAN_POINT('',(-0.22622,0.039,0.3)); +#10765=CARTESIAN_POINT('',(-0.22622,0.039,0.0493700000000001)); +#10766=CARTESIAN_POINT('',(0.25122,0.0390000000000001,0.04937)); +#10767=CARTESIAN_POINT('',(-0.16748,0.039,0.04937)); +#10768=CARTESIAN_POINT('',(-0.16748,0.039,0.3)); +#10769=CARTESIAN_POINT('',(-0.14748,0.039,0.3)); +#10770=CARTESIAN_POINT('',(-0.14748,0.039,0.0493700000000001)); +#10771=CARTESIAN_POINT('',(0.25122,0.039,0.04937)); +#10772=CARTESIAN_POINT('',(-0.0887399999999999,0.039,0.04937)); +#10773=CARTESIAN_POINT('',(-0.0887399999999999,0.039,0.3)); +#10774=CARTESIAN_POINT('',(-0.0687399999999999,0.039,0.3)); +#10775=CARTESIAN_POINT('',(-0.0687399999999999,0.039,0.0493700000000001)); +#10776=CARTESIAN_POINT('',(0.25122,0.039,0.04937)); +#10777=CARTESIAN_POINT('',(-0.00999999999999993,0.039,0.04937)); +#10778=CARTESIAN_POINT('',(-0.00999999999999993,0.039,0.3)); +#10779=CARTESIAN_POINT('',(0.01,0.039,0.3)); +#10780=CARTESIAN_POINT('',(0.01,0.039,0.0493700000000001)); +#10781=CARTESIAN_POINT('',(0.25122,0.039,0.04937)); +#10782=CARTESIAN_POINT('',(0.0687400000000001,0.039,0.04937)); +#10783=CARTESIAN_POINT('',(0.0687400000000001,0.039,0.3)); +#10784=CARTESIAN_POINT('',(0.08874,0.039,0.3)); +#10785=CARTESIAN_POINT('',(0.08874,0.039,0.0493700000000001)); +#10786=CARTESIAN_POINT('',(0.25122,0.039,0.04937)); +#10787=CARTESIAN_POINT('',(0.14748,0.039,0.04937)); +#10788=CARTESIAN_POINT('',(0.14748,0.039,0.3)); +#10789=CARTESIAN_POINT('',(0.16748,0.039,0.3)); +#10790=CARTESIAN_POINT('',(0.16748,0.039,0.0493700000000001)); +#10791=CARTESIAN_POINT('',(0.25122,0.039,0.04937)); +#10792=CARTESIAN_POINT('',(0.22622,0.039,0.04937)); +#10793=CARTESIAN_POINT('',(0.22622,0.039,0.3)); +#10794=CARTESIAN_POINT('',(0.22622,0.039,0.0293699999999996)); +#10795=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#10796=CARTESIAN_POINT('',(0.24622,0.039,0.0293699999999996)); +#10797=CARTESIAN_POINT('',(0.24622,0.039,0.3)); +#10798=CARTESIAN_POINT('',(0.24622,0.039,0.0493700000000001)); +#10799=CARTESIAN_POINT('',(0.25122,0.039,0.04937)); +#10800=CARTESIAN_POINT('',(0.25122,0.039,0.04937)); +#10801=CARTESIAN_POINT('',(0.25122,0.039,-0.0293699999999995)); +#10802=CARTESIAN_POINT('',(0.24622,0.039,0.3)); +#10803=CARTESIAN_POINT('',(0.25122,0.039,0.0293699999999995)); +#10804=CARTESIAN_POINT('',(0.22622,0.039,0.0293699999999995)); +#10805=CARTESIAN_POINT('',(0.22622,0.19474,0.0293699999999997)); +#10806=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#10807=CARTESIAN_POINT('',(0.24622,0.19474,0.0293699999999997)); +#10808=CARTESIAN_POINT('',(0.24622,0.039,0.0293699999999995)); +#10809=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.0293699999999995)); +#10810=CARTESIAN_POINT('',(0.230386666666666,0.203073333333333,0.0335366666666662)); +#10811=CARTESIAN_POINT('',(0.23122,0.20474,0.0343699999999998)); +#10812=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#10813=CARTESIAN_POINT('',(0.24122,0.20474,0.0343699999999998)); +#10814=CARTESIAN_POINT('',(0.247053333333334,0.193073333333333,0.0285366666666662)); +#10815=CARTESIAN_POINT('',(0.25122,0.20474,0.0343699999999997)); +#10816=CARTESIAN_POINT('',(0.23122,0.20474,0.3)); +#10817=CARTESIAN_POINT('',(0.23122,0.20474,0.0443699999999998)); +#10818=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10819=CARTESIAN_POINT('',(0.24122,0.20474,0.0443699999999997)); +#10820=CARTESIAN_POINT('',(0.24122,0.20474,0.3)); +#10821=CARTESIAN_POINT('',(0.25122,0.20474,0.0443699999999997)); +#10822=CARTESIAN_POINT('',(0.234553333333333,0.211406666666667,0.0410366666666664)); +#10823=CARTESIAN_POINT('',(0.22622,0.19474,0.0493700000000001)); +#10824=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.04937)); +#10825=CARTESIAN_POINT('',(0.24622,0.19474,0.0493700000000001)); +#10826=CARTESIAN_POINT('',(0.242886666666667,0.201406666666667,0.0460366666666664)); +#10827=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.04937)); +#10828=CARTESIAN_POINT('',(-0.22622,0.194739999999999,0.04937)); +#10829=CARTESIAN_POINT('',(-0.24622,0.194739999999999,0.04937)); +#10830=CARTESIAN_POINT('',(-0.24622,-0.031784892344702,0.04937)); +#10831=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.04937)); +#10832=CARTESIAN_POINT('',(-0.22622,-0.031784892344702,0.04937)); +#10833=CARTESIAN_POINT('',(-0.22622,0.194739999999999,0.04937)); +#10834=CARTESIAN_POINT('',(-0.22622,0.0300000000000001,0.0493700000000001)); +#10835=CARTESIAN_POINT('',(0.25122,0.0299999999999998,0.04937)); +#10836=CARTESIAN_POINT('',(-0.16748,0.03,0.0493700000000001)); +#10837=CARTESIAN_POINT('',(-0.16748,0.194739999999999,0.04937)); +#10838=CARTESIAN_POINT('',(-0.14748,0.194739999999999,0.04937)); +#10839=CARTESIAN_POINT('',(-0.14748,0.0300000000000001,0.0493700000000001)); +#10840=CARTESIAN_POINT('',(0.25122,0.0299999999999998,0.04937)); +#10841=CARTESIAN_POINT('',(-0.0887399999999999,0.03,0.0493700000000001)); +#10842=CARTESIAN_POINT('',(-0.0887399999999999,0.194739999999999,0.04937)); +#10843=CARTESIAN_POINT('',(-0.06874,0.194739999999999,0.04937)); +#10844=CARTESIAN_POINT('',(-0.0687399999999999,0.0300000000000001,0.0493700000000001)); +#10845=CARTESIAN_POINT('',(0.25122,0.0299999999999999,0.04937)); +#10846=CARTESIAN_POINT('',(-0.00999999999999993,0.03,0.0493700000000001)); +#10847=CARTESIAN_POINT('',(-0.00999999999999993,0.194739999999999,0.04937)); +#10848=CARTESIAN_POINT('',(0.01,0.194739999999999,0.04937)); +#10849=CARTESIAN_POINT('',(0.01,0.0300000000000001,0.0493700000000001)); +#10850=CARTESIAN_POINT('',(0.25122,0.0299999999999999,0.04937)); +#10851=CARTESIAN_POINT('',(0.0687400000000001,0.03,0.0493700000000001)); +#10852=CARTESIAN_POINT('',(0.0687400000000001,0.194739999999999,0.04937)); +#10853=CARTESIAN_POINT('',(0.08874,0.194739999999999,0.04937)); +#10854=CARTESIAN_POINT('',(0.08874,0.0300000000000001,0.0493700000000001)); +#10855=CARTESIAN_POINT('',(0.25122,0.03,0.04937)); +#10856=CARTESIAN_POINT('',(0.14748,0.03,0.0493700000000001)); +#10857=CARTESIAN_POINT('',(0.14748,0.194739999999999,0.04937)); +#10858=CARTESIAN_POINT('',(0.16748,0.194739999999999,0.04937)); +#10859=CARTESIAN_POINT('',(0.16748,0.0300000000000001,0.0493700000000001)); +#10860=CARTESIAN_POINT('',(0.25122,0.03,0.04937)); +#10861=CARTESIAN_POINT('',(0.22622,0.03,0.0493700000000001)); +#10862=CARTESIAN_POINT('',(0.22622,0.194739999999999,0.04937)); +#10863=CARTESIAN_POINT('',(0.24622,0.194739999999999,0.04937)); +#10864=CARTESIAN_POINT('',(0.24622,0.0300000000000001,0.0493700000000001)); +#10865=CARTESIAN_POINT('',(0.251219999999999,0.03,0.04937)); +#10866=CARTESIAN_POINT('',(0.25122,0.03,0.04937)); +#10867=CARTESIAN_POINT('',(0.25122,0.194739999999999,0.04937)); +#10868=CARTESIAN_POINT('',(0.24622,0.194739999999999,0.04937)); +#10869=CARTESIAN_POINT('',(0.22622,0.194739999999999,0.04937)); +#10870=CARTESIAN_POINT('',(0.16748,0.194739999999999,0.04937)); +#10871=CARTESIAN_POINT('',(0.14748,0.194739999999999,0.04937)); +#10872=CARTESIAN_POINT('',(0.08874,0.194739999999999,0.04937)); +#10873=CARTESIAN_POINT('',(0.0687400000000001,0.194739999999999,0.04937)); +#10874=CARTESIAN_POINT('',(0.01,0.194739999999999,0.04937)); +#10875=CARTESIAN_POINT('',(-0.00999999999999993,0.194739999999999,0.04937)); +#10876=CARTESIAN_POINT('',(-0.06874,0.194739999999999,0.04937)); +#10877=CARTESIAN_POINT('',(-0.0887399999999999,0.194739999999999,0.04937)); +#10878=CARTESIAN_POINT('',(-0.14748,0.194739999999999,0.04937)); +#10879=CARTESIAN_POINT('',(-0.16748,0.194739999999999,0.04937)); +#10880=CARTESIAN_POINT('',(0.25122,-0.031784892344702,0.0543700000000018)); +#10881=CARTESIAN_POINT('',(-0.22622,-0.031784892344702,0.0543700000000018)); +#10882=CARTESIAN_POINT('',(-0.22622,-0.0361150193636258,0.0518700000000009)); +#10883=CARTESIAN_POINT('',(-0.24622,-0.031784892344702,0.0543700000000018)); +#10884=CARTESIAN_POINT('',(-0.24622,-0.0361150193636258,0.0518700000000009)); +#10885=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10886=CARTESIAN_POINT('',(0.25122,-0.0361150193636258,0.0518700000000009)); +#10887=CARTESIAN_POINT('',(0.25122,-0.0426794919243119,0.0632400000000011)); +#10888=CARTESIAN_POINT('',(-0.22622,-0.0426794919243119,0.0632400000000011)); +#10889=CARTESIAN_POINT('',(-0.24622,-0.0426794919243119,0.0632400000000011)); +#10890=CARTESIAN_POINT('',(-0.22622,-0.0361150193636258,0.0518700000000009)); +#10891=CARTESIAN_POINT('',(-0.24622,-0.0361150193636258,0.0518700000000009)); +#10892=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0507400000000028)); +#10893=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10894=CARTESIAN_POINT('',(-0.22622,-0.06433012701892,0.0757399999999995)); +#10895=CARTESIAN_POINT('',(-0.24622,-0.06433012701892,0.0757399999999995)); +#10896=CARTESIAN_POINT('',(-0.22622,-0.06433012701892,0.0507400000000028)); +#10897=CARTESIAN_POINT('',(-0.24622,-0.06433012701892,0.0507400000000028)); +#10898=CARTESIAN_POINT('',(0.25122,-0.06433012701892,0.0757399999999995)); +#10899=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10900=CARTESIAN_POINT('',(-0.22622,-0.11,0.0757399999999995)); +#10901=CARTESIAN_POINT('',(-0.24622,-0.11,0.0757399999999995)); +#10902=CARTESIAN_POINT('',(-0.22622,-0.06433012701892,0.0757399999999995)); +#10903=CARTESIAN_POINT('',(-0.24622,-0.06433012701892,0.0757399999999995)); +#10904=CARTESIAN_POINT('',(0.25122,-0.11,0.0757399999999995)); +#10905=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10906=CARTESIAN_POINT('',(-0.22622,-0.11,0.0557399999999995)); +#10907=CARTESIAN_POINT('',(-0.24622,-0.11,0.0557399999999995)); +#10908=CARTESIAN_POINT('',(-0.22622,-0.11,0.0757399999999995)); +#10909=CARTESIAN_POINT('',(-0.24622,-0.11,0.0757399999999995)); +#10910=CARTESIAN_POINT('',(0.25122,-0.11,0.0557399999999995)); +#10911=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0557399999999995)); +#10912=CARTESIAN_POINT('',(-0.22622,-0.0643301270189187,0.0557399999999995)); +#10913=CARTESIAN_POINT('',(-0.24622,-0.0643301270189187,0.0557399999999995)); +#10914=CARTESIAN_POINT('',(-0.22622,-0.11,0.0557399999999995)); +#10915=CARTESIAN_POINT('',(-0.24622,-0.11,0.0557399999999995)); +#10916=CARTESIAN_POINT('',(0.25122,-0.0643301270189187,0.0507400000000055)); +#10917=CARTESIAN_POINT('',(-0.22622,-0.0643301270189187,0.0507400000000055)); +#10918=CARTESIAN_POINT('',(-0.22622,-0.0600000000000017,0.0532400000000025)); +#10919=CARTESIAN_POINT('',(-0.24622,-0.0643301270189187,0.0507400000000055)); +#10920=CARTESIAN_POINT('',(-0.24622,-0.0600000000000017,0.0532400000000025)); +#10921=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10922=CARTESIAN_POINT('',(0.25122,-0.0600000000000017,0.0532400000000025)); +#10923=CARTESIAN_POINT('',(0.25122,-0.0534355274393149,0.0418700000000012)); +#10924=CARTESIAN_POINT('',(-0.22622,-0.0534355274393149,0.0418700000000012)); +#10925=CARTESIAN_POINT('',(-0.24622,-0.0534355274393149,0.0418700000000012)); +#10926=CARTESIAN_POINT('',(-0.22622,-0.0600000000000017,0.0532400000000025)); +#10927=CARTESIAN_POINT('',(-0.24622,-0.0600000000000017,0.0532400000000025)); +#10928=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.054370000000003)); +#10929=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10930=CARTESIAN_POINT('',(-0.22622,-0.0317848923447009,0.0293699999999995)); +#10931=CARTESIAN_POINT('',(-0.24622,-0.0317848923447009,0.0293699999999995)); +#10932=CARTESIAN_POINT('',(-0.22622,-0.0317848923447009,0.054370000000003)); +#10933=CARTESIAN_POINT('',(-0.24622,-0.0317848923447009,0.054370000000003)); +#10934=CARTESIAN_POINT('',(0.25122,-0.0317848923447009,0.0293699999999995)); +#10935=CARTESIAN_POINT('',(0.25122,0.0299999999999999,0.0293699999999995)); +#10936=CARTESIAN_POINT('',(-0.22622,0.0300000000000001,0.0293699999999996)); +#10937=CARTESIAN_POINT('',(-0.24622,0.0299999999999999,0.0293699999999995)); +#10938=CARTESIAN_POINT('',(-0.22622,-0.0317848923447009,0.0293699999999995)); +#10939=CARTESIAN_POINT('',(-0.24622,-0.0317848923447009,0.0293699999999995)); +#10940=CARTESIAN_POINT('',(0.25122,0.0299999999999999,0.0293699999999995)); +#10941=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10942=CARTESIAN_POINT('',(-0.22622,0.0300000000000001,-0.0293699999999993)); +#10943=CARTESIAN_POINT('',(-0.24622,0.0299999999999999,-0.0293699999999995)); +#10944=CARTESIAN_POINT('',(-0.22622,0.0300000000000001,0.3)); +#10945=CARTESIAN_POINT('',(-0.16748,0.03,0.3)); +#10946=CARTESIAN_POINT('',(-0.14748,0.0300000000000001,0.3)); +#10947=CARTESIAN_POINT('',(-0.0887399999999999,0.03,0.3)); +#10948=CARTESIAN_POINT('',(-0.0687399999999999,0.0300000000000001,0.3)); +#10949=CARTESIAN_POINT('',(-0.00999999999999993,0.03,0.3)); +#10950=CARTESIAN_POINT('',(0.01,0.0300000000000001,0.3)); +#10951=CARTESIAN_POINT('',(0.0687400000000001,0.03,0.3)); +#10952=CARTESIAN_POINT('',(0.08874,0.0300000000000001,0.3)); +#10953=CARTESIAN_POINT('',(0.14748,0.03,0.3)); +#10954=CARTESIAN_POINT('',(0.16748,0.0300000000000001,0.3)); +#10955=CARTESIAN_POINT('',(0.22622,0.03,0.3)); +#10956=CARTESIAN_POINT('',(0.24622,0.0300000000000001,0.3)); +#10957=CARTESIAN_POINT('',(0.25122,0.0299999999999999,0.0293699999999995)); +#10958=CARTESIAN_POINT('',(0.24622,0.0300000000000001,0.3)); +#10959=CARTESIAN_POINT('',(0.22622,0.03,0.3)); +#10960=CARTESIAN_POINT('',(0.16748,0.0300000000000001,0.3)); +#10961=CARTESIAN_POINT('',(0.14748,0.03,0.3)); +#10962=CARTESIAN_POINT('',(0.08874,0.0300000000000001,0.3)); +#10963=CARTESIAN_POINT('',(0.0687400000000001,0.03,0.3)); +#10964=CARTESIAN_POINT('',(0.01,0.0300000000000001,0.3)); +#10965=CARTESIAN_POINT('',(-0.00999999999999993,0.03,0.3)); +#10966=CARTESIAN_POINT('',(-0.0687399999999999,0.0300000000000001,0.3)); +#10967=CARTESIAN_POINT('',(-0.0887399999999999,0.03,0.3)); +#10968=CARTESIAN_POINT('',(-0.14748,0.0300000000000001,0.3)); +#10969=CARTESIAN_POINT('',(-0.16748,0.03,0.3)); +#10970=CARTESIAN_POINT('',(-0.22622,0.0300000000000001,0.3)); +#10971=CARTESIAN_POINT('',(-0.24622,0.0299999999999999,0.0293699999999995)); +#10972=CARTESIAN_POINT('',(0.25122,0.0299999999999999,-0.0293699999999995)); +#10973=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,-0.0293699999999995)); +#10974=CARTESIAN_POINT('',(-0.22622,-0.0647529150101428,-0.0293699999999995)); +#10975=CARTESIAN_POINT('',(-0.24622,-0.0647529150101428,-0.0293699999999995)); +#10976=CARTESIAN_POINT('',(-0.22622,0.0299999999999999,-0.0293699999999995)); +#10977=CARTESIAN_POINT('',(-0.24622,0.0299999999999999,-0.0293699999999995)); +#10978=CARTESIAN_POINT('',(0.25122,-0.0647529150101428,0.00063000000002786)); +#10979=CARTESIAN_POINT('',(-0.22622,-0.0647529150101428,0.00063000000002786)); +#10980=CARTESIAN_POINT('',(-0.22622,-0.0859661184457592,-0.0205832034355873)); +#10981=CARTESIAN_POINT('',(-0.24622,-0.0647529150101428,0.00063000000002786)); +#10982=CARTESIAN_POINT('',(-0.24622,-0.0859661184457592,-0.0205832034355873)); +#10983=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10984=CARTESIAN_POINT('',(0.25122,-0.0859661184457592,-0.0205832034355873)); +#10985=CARTESIAN_POINT('',(0.25122,-0.167644660940673,0.0610953390593311)); +#10986=CARTESIAN_POINT('',(-0.22622,-0.167644660940673,0.0610953390593311)); +#10987=CARTESIAN_POINT('',(-0.24622,-0.167644660940673,0.0610953390593311)); +#10988=CARTESIAN_POINT('',(-0.22622,-0.0859661184457592,-0.0205832034355873)); +#10989=CARTESIAN_POINT('',(-0.24622,-0.0859661184457592,-0.0205832034355873)); +#10990=CARTESIAN_POINT('',(0.25122,-0.202999999999993,0.025740000000013)); +#10991=CARTESIAN_POINT('',(-0.22622,-0.202999999999993,0.025740000000013)); +#10992=CARTESIAN_POINT('',(-0.24622,-0.202999999999993,0.025740000000013)); +#10993=CARTESIAN_POINT('',(0.25122,-0.203000000000006,0.0257399999999783)); +#10994=CARTESIAN_POINT('',(-0.24622,-0.203000000000006,0.0257399999999783)); +#10995=CARTESIAN_POINT('',(-0.24622,0.19474,0.0257399999999783)); +#10996=CARTESIAN_POINT('',(-0.24622,0.19474,0.0257399999999783)); +#10997=CARTESIAN_POINT('',(-0.24122,0.20474,0.3)); +#10998=CARTESIAN_POINT('',(-0.24122,0.20474,0.3)); +#10999=CARTESIAN_POINT('',(0.24622,-0.628,0.3)); +#11000=CARTESIAN_POINT('',(0.24622,-0.628,0.3)); +#11001=CARTESIAN_POINT('',(0.24622,0.039,0.3)); +#11002=CARTESIAN_POINT('',(0.24622,0.19474,0.3)); +#11003=CARTESIAN_POINT('',(0.24622,0.19474,0.3)); +#11004=CARTESIAN_POINT('',(0.24622,0.039,0.3)); +#11005=CARTESIAN_POINT('',(0.24622,0.19474,0.3)); +#11006=CARTESIAN_POINT('',(0.24622,0.19474,0.3)); +#11007=CARTESIAN_POINT('',(-0.22622,-0.628,0.3)); +#11008=CARTESIAN_POINT('',(-0.16748,0.03,0.3)); +#11009=CARTESIAN_POINT('',(-0.14748,-0.628,0.3)); +#11010=CARTESIAN_POINT('',(-0.0887399999999999,0.03,0.3)); +#11011=CARTESIAN_POINT('',(-0.0687399999999999,-0.628,0.3)); +#11012=CARTESIAN_POINT('',(-0.00999999999999993,0.03,0.3)); +#11013=CARTESIAN_POINT('',(0.01,-0.628,0.3)); +#11014=CARTESIAN_POINT('',(0.0687400000000001,0.03,0.3)); +#11015=CARTESIAN_POINT('',(0.08874,-0.628,0.3)); +#11016=CARTESIAN_POINT('',(0.14748,0.03,0.3)); +#11017=CARTESIAN_POINT('',(0.16748,-0.628,0.3)); +#11018=CARTESIAN_POINT('',(0.22622,0.03,0.3)); +#11019=CARTESIAN_POINT('',(-0.22622,-0.628,0.3)); +#11020=CARTESIAN_POINT('',(-0.16748,0.03,0.3)); +#11021=CARTESIAN_POINT('',(-0.14748,-0.628,0.3)); +#11022=CARTESIAN_POINT('',(-0.0887399999999999,0.03,0.3)); +#11023=CARTESIAN_POINT('',(-0.0687399999999999,-0.628,0.3)); +#11024=CARTESIAN_POINT('',(-0.00999999999999993,0.03,0.3)); +#11025=CARTESIAN_POINT('',(0.01,-0.628,0.3)); +#11026=CARTESIAN_POINT('',(0.0687400000000001,0.03,0.3)); +#11027=CARTESIAN_POINT('',(0.08874,-0.628,0.3)); +#11028=CARTESIAN_POINT('',(0.14748,0.03,0.3)); +#11029=CARTESIAN_POINT('',(0.16748,-0.628,0.3)); +#11030=CARTESIAN_POINT('',(0.22622,0.03,0.3)); +#11031=CARTESIAN_POINT('',(0.22622,0.19474,0.3)); +#11032=CARTESIAN_POINT('',(0.22622,0.19474,0.3)); +#11033=CARTESIAN_POINT('',(0.16748,0.039,0.3)); +#11034=CARTESIAN_POINT('',(0.16748,0.19474,0.3)); +#11035=CARTESIAN_POINT('',(0.23122,0.20474,0.3)); +#11036=CARTESIAN_POINT('',(0.16748,0.19474,0.3)); +#11037=CARTESIAN_POINT('',(0.14748,0.19474,0.3)); +#11038=CARTESIAN_POINT('',(0.14748,0.19474,0.3)); +#11039=CARTESIAN_POINT('',(0.08874,0.039,0.3)); +#11040=CARTESIAN_POINT('',(0.08874,0.19474,0.3)); +#11041=CARTESIAN_POINT('',(0.15248,0.20474,0.3)); +#11042=CARTESIAN_POINT('',(0.08874,0.19474,0.3)); +#11043=CARTESIAN_POINT('',(0.0687400000000001,0.19474,0.3)); +#11044=CARTESIAN_POINT('',(0.0687400000000001,0.19474,0.3)); +#11045=CARTESIAN_POINT('',(0.01,0.039,0.3)); +#11046=CARTESIAN_POINT('',(0.01,0.19474,0.3)); +#11047=CARTESIAN_POINT('',(0.07374,0.20474,0.3)); +#11048=CARTESIAN_POINT('',(0.01,0.19474,0.3)); +#11049=CARTESIAN_POINT('',(-0.00999999999999993,0.19474,0.3)); +#11050=CARTESIAN_POINT('',(-0.00999999999999993,0.19474,0.3)); +#11051=CARTESIAN_POINT('',(-0.0687399999999999,0.039,0.3)); +#11052=CARTESIAN_POINT('',(-0.0687399999999999,0.19474,0.3)); +#11053=CARTESIAN_POINT('',(-0.00499999999999995,0.20474,0.3)); +#11054=CARTESIAN_POINT('',(-0.0687399999999999,0.19474,0.3)); +#11055=CARTESIAN_POINT('',(-0.0887399999999999,0.19474,0.3)); +#11056=CARTESIAN_POINT('',(-0.0887399999999999,0.19474,0.3)); +#11057=CARTESIAN_POINT('',(-0.14748,0.039,0.3)); +#11058=CARTESIAN_POINT('',(-0.14748,0.19474,0.3)); +#11059=CARTESIAN_POINT('',(-0.0837399999999999,0.20474,0.3)); +#11060=CARTESIAN_POINT('',(-0.14748,0.19474,0.3)); +#11061=CARTESIAN_POINT('',(-0.16748,0.19474,0.3)); +#11062=CARTESIAN_POINT('',(-0.16748,0.19474,0.3)); +#11063=CARTESIAN_POINT('',(-0.22622,0.039,0.3)); +#11064=CARTESIAN_POINT('',(-0.22622,0.19474,0.3)); +#11065=CARTESIAN_POINT('',(-0.16248,0.20474,0.3)); +#11066=CARTESIAN_POINT('',(-0.22622,0.19474,0.3)); +#11067=CARTESIAN_POINT('',(0.22622,0.19474,0.3)); +#11068=CARTESIAN_POINT('',(0.22622,0.19474,0.3)); +#11069=CARTESIAN_POINT('',(0.16748,0.039,0.3)); +#11070=CARTESIAN_POINT('',(0.16748,0.19474,0.3)); +#11071=CARTESIAN_POINT('',(0.23122,0.20474,0.3)); +#11072=CARTESIAN_POINT('',(0.16748,0.19474,0.3)); +#11073=CARTESIAN_POINT('',(0.14748,0.19474,0.3)); +#11074=CARTESIAN_POINT('',(0.14748,0.19474,0.3)); +#11075=CARTESIAN_POINT('',(0.08874,0.039,0.3)); +#11076=CARTESIAN_POINT('',(0.08874,0.19474,0.3)); +#11077=CARTESIAN_POINT('',(0.15248,0.20474,0.3)); +#11078=CARTESIAN_POINT('',(0.08874,0.19474,0.3)); +#11079=CARTESIAN_POINT('',(0.0687400000000001,0.19474,0.3)); +#11080=CARTESIAN_POINT('',(0.0687400000000001,0.19474,0.3)); +#11081=CARTESIAN_POINT('',(0.01,0.039,0.3)); +#11082=CARTESIAN_POINT('',(0.01,0.19474,0.3)); +#11083=CARTESIAN_POINT('',(0.07374,0.20474,0.3)); +#11084=CARTESIAN_POINT('',(0.01,0.19474,0.3)); +#11085=CARTESIAN_POINT('',(-0.00999999999999993,0.19474,0.3)); +#11086=CARTESIAN_POINT('',(-0.00999999999999993,0.19474,0.3)); +#11087=CARTESIAN_POINT('',(-0.0687399999999999,0.039,0.3)); +#11088=CARTESIAN_POINT('',(-0.0687399999999999,0.19474,0.3)); +#11089=CARTESIAN_POINT('',(-0.00499999999999995,0.20474,0.3)); +#11090=CARTESIAN_POINT('',(-0.0687399999999999,0.19474,0.3)); +#11091=CARTESIAN_POINT('',(-0.0887399999999999,0.19474,0.3)); +#11092=CARTESIAN_POINT('',(-0.0887399999999999,0.19474,0.3)); +#11093=CARTESIAN_POINT('',(-0.14748,0.039,0.3)); +#11094=CARTESIAN_POINT('',(-0.14748,0.19474,0.3)); +#11095=CARTESIAN_POINT('',(-0.0837399999999999,0.20474,0.3)); +#11096=CARTESIAN_POINT('',(-0.14748,0.19474,0.3)); +#11097=CARTESIAN_POINT('',(-0.22622,0.19474,0.3)); +#11098=CARTESIAN_POINT('',(-0.22622,0.19474,0.3)); +#11099=CARTESIAN_POINT('',(-0.16248,0.20474,0.3)); +#11100=CARTESIAN_POINT('',(-0.16748,0.19474,0.3)); +#11101=CARTESIAN_POINT('',(-0.22622,0.039,0.3)); +#11102=CARTESIAN_POINT('',(-0.16748,0.19474,0.3)); +#11103=CARTESIAN_POINT('',(0.,0.,0.)); +#11104=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#6863, +#6864),#11105); +#11105=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#11108)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#11113,#11112,#11111)) +REPRESENTATION_CONTEXT('MMT-107-01-F-DH-A','TOP_LEVEL_ASSEMBLY_PART') +); +#11106=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#11109)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#11113,#11112,#11111)) +REPRESENTATION_CONTEXT('MMT-107-01-F-DH-A_body','COMPONENT_PART') +); +#11107=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#11110)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#11113,#11112,#11111)) +REPRESENTATION_CONTEXT('MMT-107-01-F-DH-A_pins','COMPONENT_PART') +); +#11108=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000196850393700787), +#11113,'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#11109=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000196850393700787), +#11113,'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#11110=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000196850393700787), +#11113,'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#11111=( +NAMED_UNIT(*) +SI_UNIT($,.STERADIAN.) +SOLID_ANGLE_UNIT() +); +#11112=( +NAMED_UNIT(*) +PLANE_ANGLE_UNIT() +SI_UNIT($,.RADIAN.) +); +#11113=( +CONVERSION_BASED_UNIT('INCH',#11115) +LENGTH_UNIT() +NAMED_UNIT(#11114) +); +#11114=DIMENSIONAL_EXPONENTS(1.,0.,0.,0.,0.,0.,0.); +#11115=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(25.4),#11116); +#11116=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.MILLI.,.METRE.) +); +#11117=PRODUCT_DEFINITION_SHAPE('','',#11122); +#11118=PRODUCT_DEFINITION_SHAPE('','',#11123); +#11119=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#21); +#11120=PRODUCT_DEFINITION_SHAPE('','',#11124); +#11121=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#22); +#11122=PRODUCT_DEFINITION('','',#11128,#11125); +#11123=PRODUCT_DEFINITION('','',#11129,#11126); +#11124=PRODUCT_DEFINITION('','',#11130,#11127); +#11125=PRODUCT_DEFINITION_CONTEXT('',#11144,'design'); +#11126=PRODUCT_DEFINITION_CONTEXT('',#11144,'design'); +#11127=PRODUCT_DEFINITION_CONTEXT('',#11144,'design'); +#11128=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#11134, + .NOT_KNOWN.); +#11129=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#11135, + .NOT_KNOWN.); +#11130=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#11136, + .NOT_KNOWN.); +#11131=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#11134)); +#11132=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#11135)); +#11133=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#11136)); +#11134=PRODUCT('MMT-107-01-F-DH-A','MMT-107-01-F-DH-A', +'MMT-107-01-F-DH-A',(#11140)); +#11135=PRODUCT('MMT-107-01-F-DH-A_body','MMT-107-01-F-DH-A_body', +'MMT-107-01-F-DH-A_body',(#11141)); +#11136=PRODUCT('MMT-107-01-F-DH-A_pins','MMT-107-01-F-DH-A_pins', +'MMT-107-01-F-DH-A_pins',(#11142)); +#11137=PRODUCT_CATEGORY('',''); +#11138=PRODUCT_CATEGORY('',''); +#11139=PRODUCT_CATEGORY('',''); +#11140=PRODUCT_CONTEXT('',#11144,'mechanical'); +#11141=PRODUCT_CONTEXT('',#11144,'mechanical'); +#11142=PRODUCT_CONTEXT('',#11144,'mechanical'); +#11143=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2010,#11144); +#11144=APPLICATION_CONTEXT( +'core data for automotive mechanical design processes'); +ENDSEC; +END-ISO-10303-21; diff --git a/ceres.pretty/step/MS270-10F.step b/ceres.pretty/step/MS270-10F.step new file mode 100644 index 0000000..7df10c6 --- /dev/null +++ b/ceres.pretty/step/MS270-10F.step @@ -0,0 +1,2827 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION (( 'STEP AP203' ), + '1' ); +FILE_NAME ('MS270-10F.step', + '2020-11-05T11:59:10', + ( '' ), + ( '' ), + 'SwSTEP 2.0', + 'SolidWorks 2018', + '' ); +FILE_SCHEMA (( 'CONFIG_CONTROL_DESIGN' )); +ENDSEC; + +DATA; +#1 = EDGE_CURVE ( 'NONE', #1049, #771, #319, .T. ) ; +#2 = DATE_AND_TIME ( #1824, #579 ) ; +#3 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, 0.0000000000000000000, 13.50000000000000178 ) ) ; +#4 = LINE ( 'NONE', #1702, #1086 ) ; +#5 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#6 = TOROIDAL_SURFACE ( 'NONE', #870, 0.7999999999999986011, 1.000000000000000000 ) ; +#7 = EDGE_CURVE ( 'NONE', #394, #934, #389, .T. ) ; +#8 = CIRCLE ( 'NONE', #512, 0.6499999999999995781 ) ; +#9 = VECTOR ( 'NONE', #1149, 1000.000000000000000 ) ; +#10 = CIRCLE ( 'NONE', #750, 0.6499999999999995781 ) ; +#11 = CIRCLE ( 'NONE', #1271, 0.6499999999999995781 ) ; +#12 = AXIS2_PLACEMENT_3D ( 'NONE', #2121, #2757, #2391 ) ; +#13 = VECTOR ( 'NONE', #116, 1000.000000000000000 ) ; +#14 = ORIENTED_EDGE ( 'NONE', *, *, #2509, .F. ) ; +#15 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#16 = EDGE_CURVE ( 'NONE', #2038, #2077, #928, .T. ) ; +#17 = ORIENTED_EDGE ( 'NONE', *, *, #772, .F. ) ; +#18 = VERTEX_POINT ( 'NONE', #2085 ) ; +#19 = EDGE_CURVE ( 'NONE', #2395, #2730, #2550, .T. ) ; +#20 = ORIENTED_EDGE ( 'NONE', *, *, #1644, .T. ) ; +#21 = ORIENTED_EDGE ( 'NONE', *, *, #1369, .T. ) ; +#22 = EDGE_LOOP ( 'NONE', ( #1425, #2086, #1914, #1531 ) ) ; +#23 = VERTEX_POINT ( 'NONE', #591 ) ; +#24 = VERTEX_POINT ( 'NONE', #2073 ) ; +#25 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#26 = CARTESIAN_POINT ( 'NONE', ( -7.850000000000001421, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#27 = DATE_AND_TIME ( #1084, #2305 ) ; +#28 = CARTESIAN_POINT ( 'NONE', ( -7.225580516513119278, 0.0000000000000000000, -0.8194444444444441977 ) ) ; +#29 = APPROVAL ( #2027, 'UNSPECIFIED' ) ; +#30 = LINE ( 'NONE', #1012, #1260 ) ; +#31 = FACE_BOUND ( 'NONE', #1209, .T. ) ; +#32 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#33 = CARTESIAN_POINT ( 'NONE', ( 3.823076896299275607, 0.0000000000000000000, 1.176470588235294601 ) ) ; +#34 = VECTOR ( 'NONE', #1736, 1000.000000000000000 ) ; +#35 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#36 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#37 = VECTOR ( 'NONE', #1938, 1000.000000000000000 ) ; +#38 = VECTOR ( 'NONE', #1985, 1000.000000000000000 ) ; +#39 = CARTESIAN_POINT ( 'NONE', ( 7.225580516513119278, 0.0000000000000000000, 0.8194444444444440867 ) ) ; +#40 = EDGE_CURVE ( 'NONE', #531, #1776, #2319, .T. ) ; +#41 = EDGE_LOOP ( 'NONE', ( #2497, #1850, #1242, #2499, #1220, #1416, #1984, #460 ) ) ; +#42 = EDGE_LOOP ( 'NONE', ( #1205, #2659, #2369, #2002 ) ) ; +#43 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999999289, -6.000000000000000000, -13.50000000000000178 ) ) ; +#44 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -6.000000000000000000, 13.50000000000000355 ) ) ; +#45 = AXIS2_PLACEMENT_3D ( 'NONE', #2145, #853, #2553 ) ; +#46 = ORIENTED_EDGE ( 'NONE', *, *, #1690, .F. ) ; +#47 = PLANE ( 'NONE', #2458 ) ; +#48 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#49 = EDGE_CURVE ( 'NONE', #2778, #24, #1966, .T. ) ; +#50 = ORIENTED_EDGE ( 'NONE', *, *, #1704, .T. ) ; +#51 = ORIENTED_EDGE ( 'NONE', *, *, #2614, .T. ) ; +#52 = CARTESIAN_POINT ( 'NONE', ( -6.500000000000002665, -7.000000000000000000, 13.20000000000000284 ) ) ; +#53 = ORIENTED_EDGE ( 'NONE', *, *, #2418, .F. ) ; +#54 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, -0.2999999999999999889, 11.00000000000000000 ) ) ; +#55 = ORIENTED_EDGE ( 'NONE', *, *, #2622, .T. ) ; +#56 = EDGE_CURVE ( 'NONE', #124, #1874, #758, .T. ) ; +#57 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#58 = ORIENTED_EDGE ( 'NONE', *, *, #1430, .T. ) ; +#59 = VERTEX_POINT ( 'NONE', #796 ) ; +#60 = VERTEX_POINT ( 'NONE', #136 ) ; +#61 = AXIS2_PLACEMENT_3D ( 'NONE', #1656, #1885, #2747 ) ; +#62 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#63 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#64 = LINE ( 'NONE', #687, #443 ) ; +#65 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#66 = VECTOR ( 'NONE', #1035, 1000.000000000000000 ) ; +#67 = VECTOR ( 'NONE', #1825, 1000.000000000000000 ) ; +#68 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -1.000000000000000000, 12.50000000000000000 ) ) ; +#69 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, -0.2999999999999999889, 11.00000000000000000 ) ) ; +#70 = AXIS2_PLACEMENT_3D ( 'NONE', #2807, #1509, #821 ) ; +#71 = CYLINDRICAL_SURFACE ( 'NONE', #2399, 0.6999999999999992895 ) ; +#72 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#73 = APPLICATION_PROTOCOL_DEFINITION ( 'international standard', 'config_control_design', 1994, #2451 ) ; +#74 = ORIENTED_EDGE ( 'NONE', *, *, #1556, .F. ) ; +#75 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -6.000000000000000000, 11.70000000000000107 ) ) ; +#76 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#77 = ORIENTED_EDGE ( 'NONE', *, *, #704, .F. ) ; +#78 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#79 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#80 = ORIENTED_EDGE ( 'NONE', *, *, #1899, .F. ) ; +#81 = CARTESIAN_POINT ( 'NONE', ( -7.850000000000001421, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#82 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#83 = AXIS2_PLACEMENT_3D ( 'NONE', #1655, #1959, #858 ) ; +#84 = CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT ( #2402, #2211, ( #815 ) ) ; +#85 = ORIENTED_EDGE ( 'NONE', *, *, #2378, .F. ) ; +#86 = CARTESIAN_POINT ( 'NONE', ( 5.500000000000001776, -7.000000000000000000, -13.19999999999999751 ) ) ; +#87 = CARTESIAN_POINT ( 'NONE', ( -6.500000000000002665, -7.000000000000000000, 13.50000000000000355 ) ) ; +#88 = ORIENTED_EDGE ( 'NONE', *, *, #1797, .F. ) ; +#89 = ORIENTED_EDGE ( 'NONE', *, *, #1841, .T. ) ; +#90 = CARTESIAN_POINT ( 'NONE', ( 9.999999999999998224, -1.000000000000000000, -11.70000000000000462 ) ) ; +#91 = ADVANCED_FACE ( 'NONE', ( #2615 ), #962, .F. ) ; +#92 = VERTEX_POINT ( 'NONE', #2103 ) ; +#93 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, 0.0000000000000000000, -1.250000000000000000 ) ) ; +#94 = EDGE_LOOP ( 'NONE', ( #2773, #115, #2330, #541 ) ) ; +#95 = EDGE_CURVE ( 'NONE', #1506, #2554, #997, .T. ) ; +#96 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#97 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#98 = FACE_OUTER_BOUND ( 'NONE', #769, .T. ) ; +#99 = AXIS2_PLACEMENT_3D ( 'NONE', #490, #32, #989 ) ; +#100 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#101 = LINE ( 'NONE', #1537, #1591 ) ; +#102 = EDGE_CURVE ( 'NONE', #1937, #974, #183, .T. ) ; +#103 = FACE_OUTER_BOUND ( 'NONE', #1705, .T. ) ; +#104 = CIRCLE ( 'NONE', #2376, 0.6499999999999995781 ) ; +#105 = LINE ( 'NONE', #1052, #1935 ) ; +#106 = CIRCLE ( 'NONE', #2712, 0.7999999999999969358 ) ; +#107 = EDGE_CURVE ( 'NONE', #155, #330, #2070, .T. ) ; +#108 = VECTOR ( 'NONE', #1374, 1000.000000000000000 ) ; +#109 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, 0.0000000000000000000, 12.00000000000000000 ) ) ; +#110 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 7.657137397853898870E-16 ) ) ; +#111 = EDGE_CURVE ( 'NONE', #1176, #767, #2243, .T. ) ; +#112 = EDGE_LOOP ( 'NONE', ( #540, #2433, #287, #489 ) ) ; +#113 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#114 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#115 = ORIENTED_EDGE ( 'NONE', *, *, #642, .F. ) ; +#116 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#117 = VERTEX_POINT ( 'NONE', #2551 ) ; +#118 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#119 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#120 = ORIENTED_EDGE ( 'NONE', *, *, #2230, .F. ) ; +#121 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#122 = ORIENTED_EDGE ( 'NONE', *, *, #2370, .T. ) ; +#123 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, -6.000000000000000000, -13.49999999999999822 ) ) ; +#124 = VERTEX_POINT ( 'NONE', #824 ) ; +#125 = CARTESIAN_POINT ( 'NONE', ( -5.500000000000001776, -6.000000000000000000, 13.50000000000000355 ) ) ; +#126 = ORIENTED_EDGE ( 'NONE', *, *, #1841, .F. ) ; +#127 = AXIS2_PLACEMENT_3D ( 'NONE', #2651, #951, #2681 ) ; +#128 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, -0.2999999999999999889, 0.0000000000000000000 ) ) ; +#129 = EDGE_LOOP ( 'NONE', ( #878, #2740, #407, #2175 ) ) ; +#130 = ORIENTED_EDGE ( 'NONE', *, *, #206, .F. ) ; +#131 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#132 = EDGE_CURVE ( 'NONE', #1422, #2333, #1842, .T. ) ; +#133 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, -6.000000000000000000, 11.70000000000000107 ) ) ; +#134 = ADVANCED_FACE ( 'NONE', ( #1033 ), #167, .T. ) ; +#135 = LINE ( 'NONE', #1739, #2132 ) ; +#136 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#137 = AXIS2_PLACEMENT_3D ( 'NONE', #360, #149, #2095 ) ; +#138 = VECTOR ( 'NONE', #62, 1000.000000000000000 ) ; +#139 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#140 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#141 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, -0.2999999999999999889, 0.0000000000000000000 ) ) ; +#142 = EDGE_CURVE ( 'NONE', #603, #1542, #246, .T. ) ; +#143 = VECTOR ( 'NONE', #169, 1000.000000000000000 ) ; +#144 = CIRCLE ( 'NONE', #1945, 1.000000000000000888 ) ; +#145 = LINE ( 'NONE', #2790, #1903 ) ; +#146 = ORIENTED_EDGE ( 'NONE', *, *, #1556, .T. ) ; +#147 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#148 = EDGE_CURVE ( 'NONE', #984, #403, #421, .T. ) ; +#149 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#150 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052850492E-41, -1.779203565104417128E-16 ) ) ; +#151 = ORIENTED_EDGE ( 'NONE', *, *, #2481, .F. ) ; +#152 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#153 = VERTEX_POINT ( 'NONE', #507 ) ; +#154 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, -0.2999999999999999889, 0.9999999999999997780 ) ) ; +#155 = VERTEX_POINT ( 'NONE', #39 ) ; +#156 = AXIS2_PLACEMENT_3D ( 'NONE', #1381, #1589, #517 ) ; +#157 = ORIENTED_EDGE ( 'NONE', *, *, #2029, .F. ) ; +#158 = EDGE_CURVE ( 'NONE', #23, #2562, #1095, .T. ) ; +#159 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#160 = ORIENTED_EDGE ( 'NONE', *, *, #2385, .T. ) ; +#161 = EDGE_LOOP ( 'NONE', ( #405, #392, #1251, #1320 ) ) ; +#162 = EDGE_CURVE ( 'NONE', #2420, #2362, #1503, .T. ) ; +#163 = ORIENTED_EDGE ( 'NONE', *, *, #95, .T. ) ; +#164 = ADVANCED_FACE ( 'NONE', ( #1667 ), #1338, .T. ) ; +#165 = VERTEX_POINT ( 'NONE', #1161 ) ; +#166 = CALENDAR_DATE ( 2020, 5, 11 ) ; +#167 = PLANE ( 'NONE', #1188 ) ; +#168 = AXIS2_PLACEMENT_3D ( 'NONE', #861, #205, #2123 ) ; +#169 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#170 = CYLINDRICAL_SURFACE ( 'NONE', #2698, 1.000000000000000888 ) ; +#171 = CYLINDRICAL_SURFACE ( 'NONE', #1641, 1.799999999999999600 ) ; +#172 = CARTESIAN_POINT ( 'NONE', ( -3.823076896299275607, -0.2999999999999999889, -1.176470588235294379 ) ) ; +#173 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -2.200000000000104983, 6.850000000000002309 ) ) ; +#174 = LINE ( 'NONE', #947, #2409 ) ; +#175 = FACE_OUTER_BOUND ( 'NONE', #966, .T. ) ; +#176 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#177 = AXIS2_PLACEMENT_3D ( 'NONE', #2575, #560, #2293 ) ; +#178 = LINE ( 'NONE', #1270, #1197 ) ; +#179 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -1.000000000000000000, 11.70000000000000107 ) ) ; +#180 = ADVANCED_FACE ( 'NONE', ( #521 ), #1579, .T. ) ; +#181 = LINE ( 'NONE', #1387, #1269 ) ; +#182 = AXIS2_PLACEMENT_3D ( 'NONE', #2631, #15, #474 ) ; +#183 = LINE ( 'NONE', #2329, #13 ) ; +#184 = ORIENTED_EDGE ( 'NONE', *, *, #1413, .T. ) ; +#185 = CARTESIAN_POINT ( 'NONE', ( -9.700000000000002842, -2.200000000000104983, 7.500000000000001776 ) ) ; +#186 = VERTEX_POINT ( 'NONE', #297 ) ; +#187 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052850492E-41, -1.779203565104417128E-16 ) ) ; +#188 = ORIENTED_EDGE ( 'NONE', *, *, #990, .T. ) ; +#189 = EDGE_CURVE ( 'NONE', #322, #259, #2279, .T. ) ; +#190 = ORIENTED_EDGE ( 'NONE', *, *, #56, .T. ) ; +#191 = CARTESIAN_POINT ( 'NONE', ( 5.500000000000001776, -6.000000000000000000, -13.49999999999999822 ) ) ; +#192 = CARTESIAN_POINT ( 'NONE', ( -5.500000000000001776, -7.000000000000000000, 13.50000000000000355 ) ) ; +#193 = ADVANCED_FACE ( 'NONE', ( #1907 ), #278, .F. ) ; +#194 = CARTESIAN_POINT ( 'NONE', ( 7.500000000000000888, -0.2999999999999999889, -12.00000000000000000 ) ) ; +#195 = ORIENTED_EDGE ( 'NONE', *, *, #704, .T. ) ; +#196 = AXIS2_PLACEMENT_3D ( 'NONE', #2363, #413, #639 ) ; +#197 = EDGE_CURVE ( 'NONE', #1284, #404, #1202, .T. ) ; +#198 = ORIENTED_EDGE ( 'NONE', *, *, #2682, .T. ) ; +#199 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#200 = ORIENTED_EDGE ( 'NONE', *, *, #1915, .F. ) ; +#201 = ORIENTED_EDGE ( 'NONE', *, *, #2099, .T. ) ; +#202 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, -0.2999999999999999889, -12.00000000000000000 ) ) ; +#203 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#204 = PERSON_AND_ORGANIZATION ( #2573, #301 ) ; +#205 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#206 = EDGE_CURVE ( 'NONE', #2190, #1534, #2583, .T. ) ; +#207 = VECTOR ( 'NONE', #2727, 1000.000000000000000 ) ; +#208 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, 0.0000000000000000000, 11.00000000000000000 ) ) ; +#209 = LINE ( 'NONE', #1175, #67 ) ; +#210 = AXIS2_PLACEMENT_3D ( 'NONE', #730, #719, #2228 ) ; +#211 = LINE ( 'NONE', #123, #1527 ) ; +#212 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#213 = CIRCLE ( 'NONE', #458, 1.000000000000000888 ) ; +#214 = EDGE_LOOP ( 'NONE', ( #1480, #1683, #1379, #2390 ) ) ; +#215 = EDGE_CURVE ( 'NONE', #611, #1046, #1734, .T. ) ; +#216 = ORIENTED_EDGE ( 'NONE', *, *, #254, .T. ) ; +#217 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000004619, -1.000000000000000000, 12.49999999999999822 ) ) ; +#218 = CARTESIAN_POINT ( 'NONE', ( -4.062019202317980415, -0.2999999999999999889, -1.250000000000000000 ) ) ; +#219 = PLANE ( 'NONE', #2688 ) ; +#220 = ORIENTED_EDGE ( 'NONE', *, *, #2476, .F. ) ; +#221 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#222 = AXIS2_PLACEMENT_3D ( 'NONE', #582, #2321, #1239 ) ; +#223 = VERTEX_POINT ( 'NONE', #2670 ) ; +#224 = ORIENTED_EDGE ( 'NONE', *, *, #420, .T. ) ; +#225 = DIRECTION ( 'NONE', ( -1.836970198721029688E-16, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#226 = ORIENTED_EDGE ( 'NONE', *, *, #2142, .T. ) ; +#227 = VERTEX_POINT ( 'NONE', #1127 ) ; +#228 = AXIS2_PLACEMENT_3D ( 'NONE', #1743, #225, #1927 ) ; +#229 = ORIENTED_EDGE ( 'NONE', *, *, #1754, .T. ) ; +#230 = AXIS2_PLACEMENT_3D ( 'NONE', #1147, #318, #2220 ) ; +#231 = VERTEX_POINT ( 'NONE', #927 ) ; +#232 = CALENDAR_DATE ( 2020, 5, 11 ) ; +#233 = ADVANCED_BREP_SHAPE_REPRESENTATION ( 'MS270-10F', ( #2125, #701 ), #328 ) ; +#234 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#235 = CIRCLE ( 'NONE', #1214, 1.000000000000000888 ) ; +#236 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#237 = VECTOR ( 'NONE', #2508, 1000.000000000000000 ) ; +#238 = FACE_OUTER_BOUND ( 'NONE', #1819, .T. ) ; +#239 = EDGE_CURVE ( 'NONE', #2223, #2522, #1164, .T. ) ; +#240 = LINE ( 'NONE', #1679, #587 ) ; +#241 = LINE ( 'NONE', #194, #315 ) ; +#242 = VECTOR ( 'NONE', #662, 1000.000000000000000 ) ; +#243 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, -1.000000000000000000, 11.70000000000000107 ) ) ; +#244 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#245 = AXIS2_PLACEMENT_3D ( 'NONE', #2216, #282, #1153 ) ; +#246 = LINE ( 'NONE', #1992, #1272 ) ; +#247 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#248 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#249 = EDGE_CURVE ( 'NONE', #766, #1923, #2721, .T. ) ; +#250 = ORIENTED_EDGE ( 'NONE', *, *, #408, .F. ) ; +#251 = ORIENTED_EDGE ( 'NONE', *, *, #2726, .T. ) ; +#252 = ORIENTED_EDGE ( 'NONE', *, *, #453, .F. ) ; +#253 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#254 = EDGE_CURVE ( 'NONE', #322, #2038, #2036, .T. ) ; +#255 = EDGE_CURVE ( 'NONE', #435, #1858, #2756, .T. ) ; +#256 = ORIENTED_EDGE ( 'NONE', *, *, #844, .T. ) ; +#257 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#258 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#259 = VERTEX_POINT ( 'NONE', #693 ) ; +#260 = VERTEX_POINT ( 'NONE', #1571 ) ; +#261 = ORIENTED_EDGE ( 'NONE', *, *, #1558, .F. ) ; +#262 = ORIENTED_EDGE ( 'NONE', *, *, #158, .T. ) ; +#263 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, -6.000000000000000000, -13.49999999999999822 ) ) ; +#264 = CARTESIAN_POINT ( 'NONE', ( -9.000000000000000000, -1.000000000000000000, -11.70000000000000284 ) ) ; +#265 = ORIENTED_EDGE ( 'NONE', *, *, #2426, .F. ) ; +#266 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -1.000000000000000000, -11.70000000000000284 ) ) ; +#267 = AXIS2_PLACEMENT_3D ( 'NONE', #1815, #2024, #511 ) ; +#268 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#269 = FACE_OUTER_BOUND ( 'NONE', #2256, .T. ) ; +#270 = FACE_OUTER_BOUND ( 'NONE', #129, .T. ) ; +#271 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#272 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#273 = AXIS2_PLACEMENT_3D ( 'NONE', #2776, #1293, #2560 ) ; +#274 = CYLINDRICAL_SURFACE ( 'NONE', #327, 0.6499999999999995781 ) ; +#275 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#276 = LINE ( 'NONE', #1409, #953 ) ; +#277 = LINE ( 'NONE', #792, #1630 ) ; +#278 = PLANE ( 'NONE', #2046 ) ; +#279 = LINE ( 'NONE', #807, #1307 ) ; +#280 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#281 = ORIENTED_EDGE ( 'NONE', *, *, #1125, .T. ) ; +#282 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#283 = ORIENTED_EDGE ( 'NONE', *, *, #2069, .F. ) ; +#284 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#285 = CARTESIAN_POINT ( 'NONE', ( -7.225580516513119278, -0.2999999999999999889, -0.8194444444444441977 ) ) ; +#286 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#287 = ORIENTED_EDGE ( 'NONE', *, *, #1217, .F. ) ; +#288 = ORIENTED_EDGE ( 'NONE', *, *, #1024, .T. ) ; +#289 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000001066, -1.000000000000000000, 11.70000000000000107 ) ) ; +#290 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, 0.0000000000000000000 ) ) ; +#291 = ORIENTED_EDGE ( 'NONE', *, *, #2282, .F. ) ; +#292 = EDGE_CURVE ( 'NONE', #934, #1340, #2203, .T. ) ; +#293 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#294 = APPROVAL_ROLE ( '' ) ; +#295 = VERTEX_POINT ( 'NONE', #87 ) ; +#296 = CARTESIAN_POINT ( 'NONE', ( 7.850000000000001421, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#297 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -1.000000000000000000, 13.50000000000000355 ) ) ; +#298 = ORIENTED_EDGE ( 'NONE', *, *, #2661, .F. ) ; +#299 = AXIS2_PLACEMENT_3D ( 'NONE', #2787, #2148, #622 ) ; +#300 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#301 = ORGANIZATION ( 'UNSPECIFIED', 'UNSPECIFIED', '' ) ; +#302 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#303 = EDGE_CURVE ( 'NONE', #604, #1805, #1600, .T. ) ; +#304 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#305 = CIRCLE ( 'NONE', #2360, 0.9999999999999991118 ) ; +#306 = CARTESIAN_POINT ( 'NONE', ( 9.000000000000001776, -1.000000000000000000, 11.70000000000000107 ) ) ; +#307 = ADVANCED_FACE ( 'NONE', ( #627 ), #829, .T. ) ; +#308 = EDGE_CURVE ( 'NONE', #1071, #1079, #1475, .T. ) ; +#309 = CIRCLE ( 'NONE', #2697, 0.6499999999999995781 ) ; +#310 = CIRCLE ( 'NONE', #710, 0.6499999999999995781 ) ; +#311 = VECTOR ( 'NONE', #2538, 1000.000000000000000 ) ; +#312 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#313 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -1.000000000000000000, -11.70000000000000284 ) ) ; +#314 = AXIS2_PLACEMENT_3D ( 'NONE', #2359, #637, #1698 ) ; +#315 = VECTOR ( 'NONE', #1894, 1000.000000000000000 ) ; +#316 = CYLINDRICAL_SURFACE ( 'NONE', #648, 1.500000000000003109 ) ; +#317 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000004619, 0.0000000000000000000, 13.19999999999999929 ) ) ; +#318 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#319 = LINE ( 'NONE', #125, #2354 ) ; +#320 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#321 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#322 = VERTEX_POINT ( 'NONE', #1833 ) ; +#323 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000533, -2.200000000000000178, -8.149999999999996803 ) ) ; +#324 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104417128E-16 ) ) ; +#325 = ADVANCED_FACE ( 'NONE', ( #1562 ), #1339, .F. ) ; +#326 = ORIENTED_EDGE ( 'NONE', *, *, #1717, .T. ) ; +#327 = AXIS2_PLACEMENT_3D ( 'NONE', #739, #1594, #1582 ) ; +#328 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #2419 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #1799, #1134, #2011 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#329 = CARTESIAN_POINT ( 'NONE', ( -9.699999999999999289, -2.200000000000000178, -7.499999999999998224 ) ) ; +#330 = VERTEX_POINT ( 'NONE', #1208 ) ; +#331 = ORIENTED_EDGE ( 'NONE', *, *, #189, .T. ) ; +#332 = ORIENTED_EDGE ( 'NONE', *, *, #786, .T. ) ; +#333 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#334 = ORIENTED_EDGE ( 'NONE', *, *, #1704, .F. ) ; +#335 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#336 = ORIENTED_EDGE ( 'NONE', *, *, #2189, .T. ) ; +#337 = EDGE_CURVE ( 'NONE', #984, #437, #1632, .T. ) ; +#338 = EDGE_LOOP ( 'NONE', ( #809, #1949, #811, #1581 ) ) ; +#339 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#340 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#341 = LINE ( 'NONE', #285, #851 ) ; +#342 = AXIS2_PLACEMENT_3D ( 'NONE', #68, #499, #1783 ) ; +#343 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#344 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000001066, -1.000000000000000000, -12.50000000000000000 ) ) ; +#345 = FACE_OUTER_BOUND ( 'NONE', #1634, .T. ) ; +#346 = EDGE_CURVE ( 'NONE', #1315, #295, #1405, .T. ) ; +#347 = CIRCLE ( 'NONE', #1099, 0.8000000000000020428 ) ; +#348 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052850492E-41, -1.779203565104417128E-16 ) ) ; +#349 = VECTOR ( 'NONE', #1076, 1000.000000000000000 ) ; +#350 = FACE_OUTER_BOUND ( 'NONE', #638, .T. ) ; +#351 = EDGE_CURVE ( 'NONE', #428, #2460, #386, .T. ) ; +#352 = ORIENTED_EDGE ( 'NONE', *, *, #2246, .T. ) ; +#353 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, -7.000000000000000000, 0.0000000000000000000 ) ) ; +#354 = ORIENTED_EDGE ( 'NONE', *, *, #420, .F. ) ; +#355 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#356 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104416882E-16 ) ) ; +#357 = ORIENTED_EDGE ( 'NONE', *, *, #2288, .F. ) ; +#358 = AXIS2_PLACEMENT_3D ( 'NONE', #81, #1794, #1596 ) ; +#359 = EDGE_LOOP ( 'NONE', ( #1288, #126, #1640, #1383 ) ) ; +#360 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, -0.2999999999999999889, -12.00000000000000000 ) ) ; +#361 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#362 = EDGE_LOOP ( 'NONE', ( #1204, #2655, #1354, #1100 ) ) ; +#363 = EDGE_CURVE ( 'NONE', #2362, #223, #1472, .T. ) ; +#364 = ORIENTED_EDGE ( 'NONE', *, *, #2135, .F. ) ; +#365 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999999289, -0.2999999999999999889, -13.20000000000000107 ) ) ; +#366 = ADVANCED_FACE ( 'NONE', ( #2240 ), #1216, .F. ) ; +#367 = ORIENTED_EDGE ( 'NONE', *, *, #408, .T. ) ; +#368 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#369 = ORIENTED_EDGE ( 'NONE', *, *, #2432, .T. ) ; +#370 = AXIS2_PLACEMENT_3D ( 'NONE', #703, #1590, #258 ) ; +#371 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -6.000000000000000000, 13.50000000000000355 ) ) ; +#372 = VERTEX_POINT ( 'NONE', #1873 ) ; +#373 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, 0.0000000000000000000, 1.250000000000000000 ) ) ; +#374 = CIRCLE ( 'NONE', #527, 0.6499999999999995781 ) ; +#375 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#376 = FACE_OUTER_BOUND ( 'NONE', #2802, .T. ) ; +#377 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#378 = AXIS2_PLACEMENT_3D ( 'NONE', #2524, #581, #1888 ) ; +#379 = FACE_OUTER_BOUND ( 'NONE', #561, .T. ) ; +#380 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -6.000000000000000000, -11.70000000000000284 ) ) ; +#381 = ADVANCED_FACE ( 'NONE', ( #891 ), #2493, .F. ) ; +#382 = AXIS2_PLACEMENT_3D ( 'NONE', #1089, #1566, #463 ) ; +#383 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999999289, -0.2999999999999999334, -12.50000000000000000 ) ) ; +#384 = LINE ( 'NONE', #2574, #349 ) ; +#385 = FACE_BOUND ( 'NONE', #1314, .T. ) ; +#386 = CIRCLE ( 'NONE', #1144, 0.6999999999999992895 ) ; +#387 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, -0.2999999999999999889, -11.00000000000000000 ) ) ; +#388 = VECTOR ( 'NONE', #482, 1000.000000000000000 ) ; +#389 = LINE ( 'NONE', #2490, #1066 ) ; +#390 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#391 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#392 = ORIENTED_EDGE ( 'NONE', *, *, #215, .T. ) ; +#393 = EDGE_CURVE ( 'NONE', #1776, #60, #721, .T. ) ; +#394 = VERTEX_POINT ( 'NONE', #2065 ) ; +#395 = VERTEX_POINT ( 'NONE', #1635 ) ; +#396 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000004619, 0.0000000000000000000, 12.49999999999999822 ) ) ; +#397 = ADVANCED_FACE ( 'NONE', ( #1336 ), #1848, .F. ) ; +#398 = CARTESIAN_POINT ( 'NONE', ( 7.225580516513119278, -0.2999999999999999889, 0.8194444444444440867 ) ) ; +#399 = ORIENTED_EDGE ( 'NONE', *, *, #2569, .T. ) ; +#400 = AXIS2_PLACEMENT_3D ( 'NONE', #2662, #2031, #1843 ) ; +#401 = ORIENTED_EDGE ( 'NONE', *, *, #1333, .F. ) ; +#402 = EDGE_CURVE ( 'NONE', #1951, #1071, #10, .T. ) ; +#403 = VERTEX_POINT ( 'NONE', #1436 ) ; +#404 = VERTEX_POINT ( 'NONE', #2736 ) ; +#405 = ORIENTED_EDGE ( 'NONE', *, *, #1606, .T. ) ; +#406 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#407 = ORIENTED_EDGE ( 'NONE', *, *, #690, .T. ) ; +#408 = EDGE_CURVE ( 'NONE', #186, #729, #825, .T. ) ; +#409 = ORIENTED_EDGE ( 'NONE', *, *, #742, .T. ) ; +#410 = EDGE_CURVE ( 'NONE', #1650, #2730, #2170, .T. ) ; +#411 = ADVANCED_FACE ( 'NONE', ( #2612 ), #682, .T. ) ; +#412 = LINE ( 'NONE', #2660, #2572 ) ; +#413 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#414 = VECTOR ( 'NONE', #2307, 1000.000000000000000 ) ; +#415 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#416 = CYLINDRICAL_SURFACE ( 'NONE', #45, 1.000000000000000888 ) ; +#417 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#418 = LINE ( 'NONE', #2165, #2102 ) ; +#419 = AXIS2_PLACEMENT_3D ( 'NONE', #485, #1352, #48 ) ; +#420 = EDGE_CURVE ( 'NONE', #1738, #1650, #1441, .T. ) ; +#421 = LINE ( 'NONE', #915, #108 ) ; +#422 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#423 = ADVANCED_FACE ( 'NONE', ( #863 ), #2033, .T. ) ; +#424 = ORIENTED_EDGE ( 'NONE', *, *, #1891, .F. ) ; +#425 = AXIS2_PLACEMENT_3D ( 'NONE', #495, #1769, #65 ) ; +#426 = ORIENTED_EDGE ( 'NONE', *, *, #1093, .F. ) ; +#427 = VERTEX_POINT ( 'NONE', #1026 ) ; +#428 = VERTEX_POINT ( 'NONE', #383 ) ; +#429 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#430 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, -0.2999999999999999334, -12.49999999999999645 ) ) ; +#431 = VERTEX_POINT ( 'NONE', #2315 ) ; +#432 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#433 = EDGE_LOOP ( 'NONE', ( #2564, #1170 ) ) ; +#434 = AXIS2_PLACEMENT_3D ( 'NONE', #547, #2270, #293 ) ; +#435 = VERTEX_POINT ( 'NONE', #2535 ) ; +#436 = ADVANCED_FACE ( 'NONE', ( #1029 ), #2097, .F. ) ; +#437 = VERTEX_POINT ( 'NONE', #1660 ) ; +#438 = ORIENTED_EDGE ( 'NONE', *, *, #1931, .F. ) ; +#439 = CARTESIAN_POINT ( 'NONE', ( 7.500000000000000888, 0.0000000000000000000, 12.00000000000000000 ) ) ; +#440 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -1.000000000000000000, 11.70000000000000107 ) ) ; +#441 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#442 = EDGE_CURVE ( 'NONE', #1805, #2223, #279, .T. ) ; +#443 = VECTOR ( 'NONE', #1795, 1000.000000000000000 ) ; +#444 = FACE_OUTER_BOUND ( 'NONE', #2325, .T. ) ; +#445 = CIRCLE ( 'NONE', #1173, 1.799999999999997824 ) ; +#446 = AXIS2_PLACEMENT_3D ( 'NONE', #1610, #2020, #96 ) ; +#447 = FACE_OUTER_BOUND ( 'NONE', #1015, .T. ) ; +#448 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#449 = EDGE_CURVE ( 'NONE', #2487, #2333, #2515, .T. ) ; +#450 = CIRCLE ( 'NONE', #1334, 1.800000000000002931 ) ; +#451 = FACE_OUTER_BOUND ( 'NONE', #1778, .T. ) ; +#452 = VECTOR ( 'NONE', #147, 1000.000000000000000 ) ; +#453 = EDGE_CURVE ( 'NONE', #973, #1313, #241, .T. ) ; +#454 = ORIENTED_EDGE ( 'NONE', *, *, #249, .T. ) ; +#455 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#456 = EDGE_CURVE ( 'NONE', #1011, #2146, #2683, .T. ) ; +#457 = ORIENTED_EDGE ( 'NONE', *, *, #16, .F. ) ; +#458 = AXIS2_PLACEMENT_3D ( 'NONE', #868, #2384, #1085 ) ; +#459 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#460 = ORIENTED_EDGE ( 'NONE', *, *, #1541, .T. ) ; +#461 = ORIENTED_EDGE ( 'NONE', *, *, #2079, .T. ) ; +#462 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, 0.0000000000000000000, -13.49999999999999822 ) ) ; +#463 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#464 = ORIENTED_EDGE ( 'NONE', *, *, #2630, .F. ) ; +#465 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#466 = ORIENTED_EDGE ( 'NONE', *, *, #2652, .F. ) ; +#467 = CC_DESIGN_DATE_AND_TIME_ASSIGNMENT ( #2, #1178, ( #2738 ) ) ; +#468 = VERTEX_POINT ( 'NONE', #2769 ) ; +#469 = ORIENTED_EDGE ( 'NONE', *, *, #40, .T. ) ; +#470 = CARTESIAN_POINT ( 'NONE', ( 6.500000000000002665, -7.000000000000000000, -13.19999999999999751 ) ) ; +#471 = CARTESIAN_POINT ( 'NONE', ( -8.200000000000001066, -6.000000000000000000, -13.19999999999999929 ) ) ; +#472 = CIRCLE ( 'NONE', #182, 1.000000000000000888 ) ; +#473 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#474 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#475 = LINE ( 'NONE', #317, #2407 ) ; +#476 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#477 = EDGE_CURVE ( 'NONE', #59, #1786, #1268, .T. ) ; +#478 = VECTOR ( 'NONE', #2735, 1000.000000000000000 ) ; +#479 = LINE ( 'NONE', #797, #716 ) ; +#480 = CYLINDRICAL_SURFACE ( 'NONE', #2672, 0.6499999999999995781 ) ; +#481 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#482 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#483 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#484 = AXIS2_PLACEMENT_3D ( 'NONE', #2424, #2648, #1557 ) ; +#485 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, -0.2999999999999999889, 1.250000000000000000 ) ) ; +#486 = EDGE_CURVE ( 'NONE', #871, #1318, #2106, .T. ) ; +#487 = ORIENTED_EDGE ( 'NONE', *, *, #1696, .F. ) ; +#488 = VERTEX_POINT ( 'NONE', #841 ) ; +#489 = ORIENTED_EDGE ( 'NONE', *, *, #19, .F. ) ; +#490 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, -0.2999999999999999889, 11.00000000000000000 ) ) ; +#491 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999999289, -1.000000000000000000, -12.49999999999999645 ) ) ; +#492 = EDGE_CURVE ( 'NONE', #2584, #934, #791, .T. ) ; +#493 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#494 = ORIENTED_EDGE ( 'NONE', *, *, #971, .T. ) ; +#495 = CARTESIAN_POINT ( 'NONE', ( -7.850000000000001421, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#496 = ORIENTED_EDGE ( 'NONE', *, *, #673, .T. ) ; +#497 = ORIENTED_EDGE ( 'NONE', *, *, #1201, .T. ) ; +#498 = AXIS2_PLACEMENT_3D ( 'NONE', #2474, #324, #2290 ) ; +#499 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, 1.482669637587014273E-16 ) ) ; +#500 = ORIENTED_EDGE ( 'NONE', *, *, #772, .T. ) ; +#501 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -2.200000000000104983, 7.500000000000001776 ) ) ; +#502 = DIRECTION ( 'NONE', ( -9.637352644315578973E-16, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#503 = EDGE_LOOP ( 'NONE', ( #1967, #780, #1855, #2225 ) ) ; +#504 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#505 = COORDINATED_UNIVERSAL_TIME_OFFSET ( 2, 0, .AHEAD. ) ; +#506 = CARTESIAN_POINT ( 'NONE', ( 6.985419176710472300, -0.2999999999999999889, 0.7500000000000000000 ) ) ; +#507 = CARTESIAN_POINT ( 'NONE', ( 3.823076896299275607, -0.2999999999999999889, 1.176470588235294601 ) ) ; +#508 = EDGE_CURVE ( 'NONE', #437, #700, #105, .T. ) ; +#509 = LINE ( 'NONE', #2397, #1022 ) ; +#510 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, 0.0000000000000000000, -0.7500000000000000000 ) ) ; +#511 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -9.637352644315596722E-16 ) ) ; +#512 = AXIS2_PLACEMENT_3D ( 'NONE', #1900, #150, #1447 ) ; +#513 = VECTOR ( 'NONE', #1129, 1000.000000000000000 ) ; +#514 = PERSON_AND_ORGANIZATION_ROLE ( 'creator' ) ; +#515 = VECTOR ( 'NONE', #2705, 1000.000000000000000 ) ; +#516 = LINE ( 'NONE', #963, #2169 ) ; +#517 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#518 = LINE ( 'NONE', #173, #1998 ) ; +#519 = LINE ( 'NONE', #2593, #38 ) ; +#520 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#521 = FACE_OUTER_BOUND ( 'NONE', #1077, .T. ) ; +#522 = DIRECTION ( 'NONE', ( -1.057758217059028608E-16, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#523 = CARTESIAN_POINT ( 'NONE', ( -5.500000000000001776, -7.000000000000000000, 13.50000000000000355 ) ) ; +#524 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#525 = AXIS2_PLACEMENT_3D ( 'NONE', #2685, #1574, #2204 ) ; +#526 = ORIENTED_EDGE ( 'NONE', *, *, #1355, .F. ) ; +#527 = AXIS2_PLACEMENT_3D ( 'NONE', #2019, #2649, #1830 ) ; +#528 = DIRECTION ( 'NONE', ( 6.123233995736766036E-17, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#529 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -1.000000000000000000, 11.70000000000000107 ) ) ; +#530 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#531 = VERTEX_POINT ( 'NONE', #398 ) ; +#532 = ORIENTED_EDGE ( 'NONE', *, *, #1479, .F. ) ; +#533 = CARTESIAN_POINT ( 'NONE', ( -6.500000000000002665, -7.000000000000000000, 13.50000000000000355 ) ) ; +#534 = ORIENTED_EDGE ( 'NONE', *, *, #2666, .T. ) ; +#535 = ORIENTED_EDGE ( 'NONE', *, *, #2569, .F. ) ; +#536 = EDGE_CURVE ( 'NONE', #435, #702, #1597, .T. ) ; +#537 = CARTESIAN_POINT ( 'NONE', ( 9.999999999999998224, -6.000000000000000000, -11.70000000000000462 ) ) ; +#538 = ADVANCED_FACE ( 'NONE', ( #2318, #1872, #2545 ), #852, .T. ) ; +#539 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#540 = ORIENTED_EDGE ( 'NONE', *, *, #2630, .T. ) ; +#541 = ORIENTED_EDGE ( 'NONE', *, *, #2418, .T. ) ; +#542 = AXIS2_PLACEMENT_3D ( 'NONE', #1403, #2039, #2274 ) ; +#543 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#544 = ORIENTED_EDGE ( 'NONE', *, *, #2221, .F. ) ; +#545 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -2.200000000000104983, 7.500000000000001776 ) ) ; +#546 = EDGE_CURVE ( 'NONE', #1313, #1284, #2351, .T. ) ; +#547 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, -6.000000000000000000, -13.49999999999999822 ) ) ; +#548 = FACE_OUTER_BOUND ( 'NONE', #1677, .T. ) ; +#549 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000004619, -6.000000000000000000, 13.50000000000000000 ) ) ; +#550 = LINE ( 'NONE', #1156, #2746 ) ; +#551 = FACE_OUTER_BOUND ( 'NONE', #1003, .T. ) ; +#552 = EDGE_CURVE ( 'NONE', #983, #165, #178, .T. ) ; +#553 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#554 = PLANE ( 'NONE', #1032 ) ; +#555 = ADVANCED_FACE ( 'NONE', ( #1370 ), #2446, .F. ) ; +#556 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -1.000000000000000000, 13.50000000000000178 ) ) ; +#557 = EDGE_CURVE ( 'NONE', #2554, #2663, #145, .T. ) ; +#558 = CIRCLE ( 'NONE', #1774, 0.2499999999999995837 ) ; +#559 = LINE ( 'NONE', #172, #1662 ) ; +#560 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#561 = EDGE_LOOP ( 'NONE', ( #157, #1544, #229, #1538 ) ) ; +#562 = ORIENTED_EDGE ( 'NONE', *, *, #2532, .F. ) ; +#563 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#564 = ORIENTED_EDGE ( 'NONE', *, *, #254, .F. ) ; +#565 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -1.000000000000000000, 11.70000000000000107 ) ) ; +#566 = ORIENTED_EDGE ( 'NONE', *, *, #2183, .F. ) ; +#567 = EDGE_CURVE ( 'NONE', #2633, #260, #1238, .T. ) ; +#568 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#569 = ADVANCED_FACE ( 'NONE', ( #2275 ), #1802, .F. ) ; +#570 = ORIENTED_EDGE ( 'NONE', *, *, #2183, .T. ) ; +#571 = EDGE_LOOP ( 'NONE', ( #1275, #737, #1105, #1039 ) ) ; +#572 = CARTESIAN_POINT ( 'NONE', ( -4.062019202317980415, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#573 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#574 = EDGE_LOOP ( 'NONE', ( #1648, #1750, #570, #352 ) ) ; +#575 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#576 = VERTEX_POINT ( 'NONE', #2587 ) ; +#577 = ORIENTED_EDGE ( 'NONE', *, *, #410, .T. ) ; +#578 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#579 = LOCAL_TIME ( 13, 59, 10.00000000000000000, #1860 ) ; +#580 = AXIS2_PLACEMENT_3D ( 'NONE', #1798, #679, #1775 ) ; +#581 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#582 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000001066, -2.200000000000000178, -7.500000000000001776 ) ) ; +#583 = ADVANCED_FACE ( 'NONE', ( #1760 ), #1302, .F. ) ; +#584 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#585 = CIRCLE ( 'NONE', #2495, 1.000000000000000888 ) ; +#586 = CARTESIAN_POINT ( 'NONE', ( -9.999999999999998224, 0.0000000000000000000, -11.70000000000000462 ) ) ; +#587 = VECTOR ( 'NONE', #2542, 1000.000000000000000 ) ; +#588 = CARTESIAN_POINT ( 'NONE', ( 7.500000000000000888, -0.2999999999999999889, 12.00000000000000000 ) ) ; +#589 = FACE_OUTER_BOUND ( 'NONE', #1485, .T. ) ; +#590 = CYLINDRICAL_SURFACE ( 'NONE', #2437, 0.2499999999999995837 ) ; +#591 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, 0.0000000000000000000, -1.000000000000000444 ) ) ; +#592 = EDGE_CURVE ( 'NONE', #186, #1858, #1528, .T. ) ; +#593 = VECTOR ( 'NONE', #1429, 1000.000000000000000 ) ; +#594 = LINE ( 'NONE', #695, #1901 ) ; +#595 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#596 = VECTOR ( 'NONE', #2281, 1000.000000000000000 ) ; +#597 = VERTEX_POINT ( 'NONE', #656 ) ; +#598 = AXIS2_PLACEMENT_3D ( 'NONE', #506, #320, #2701 ) ; +#599 = ORIENTED_EDGE ( 'NONE', *, *, #1, .F. ) ; +#600 = EDGE_CURVE ( 'NONE', #395, #1318, #518, .T. ) ; +#601 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, -0.2999999999999999889, 0.9999999999999991118 ) ) ; +#602 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#603 = VERTEX_POINT ( 'NONE', #2549 ) ; +#604 = VERTEX_POINT ( 'NONE', #877 ) ; +#605 = CARTESIAN_POINT ( 'NONE', ( 7.500000000000000888, 0.0000000000000000000, -11.00000000000000000 ) ) ; +#606 = ORIENTED_EDGE ( 'NONE', *, *, #2498, .T. ) ; +#607 = EDGE_CURVE ( 'NONE', #2361, #186, #450, .T. ) ; +#608 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000533, -2.200000000000000178, -6.849999999999998757 ) ) ; +#609 = ORIENTED_EDGE ( 'NONE', *, *, #2523, .F. ) ; +#610 = EDGE_CURVE ( 'NONE', #1096, #1916, #213, .T. ) ; +#611 = VERTEX_POINT ( 'NONE', #1721 ) ; +#612 = ADVANCED_FACE ( 'NONE', ( #958 ), #71, .F. ) ; +#613 = ORIENTED_EDGE ( 'NONE', *, *, #2392, .T. ) ; +#614 = CARTESIAN_POINT ( 'NONE', ( -6.500000000000002665, -7.000000000000000000, 13.50000000000000355 ) ) ; +#615 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#616 = VECTOR ( 'NONE', #82, 1000.000000000000000 ) ; +#617 = AXIS2_PLACEMENT_3D ( 'NONE', #901, #2434, #1371 ) ; +#618 = VECTOR ( 'NONE', #1400, 1000.000000000000000 ) ; +#619 = AXIS2_PLACEMENT_3D ( 'NONE', #1954, #1756, #459 ) ; +#620 = CYLINDRICAL_SURFACE ( 'NONE', #2277, 1.800000000000002931 ) ; +#621 = CYLINDRICAL_SURFACE ( 'NONE', #1827, 0.6499999999999995781 ) ; +#622 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#623 = VECTOR ( 'NONE', #1241, 1000.000000000000000 ) ; +#624 = ADVANCED_FACE ( 'NONE', ( #1731 ), #219, .F. ) ; +#625 = FACE_OUTER_BOUND ( 'NONE', #1816, .T. ) ; +#626 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#627 = FACE_OUTER_BOUND ( 'NONE', #42, .T. ) ; +#628 = ORIENTED_EDGE ( 'NONE', *, *, #2717, .T. ) ; +#629 = EDGE_CURVE ( 'NONE', #117, #2562, #2237, .T. ) ; +#630 = ORIENTED_EDGE ( 'NONE', *, *, #2804, .T. ) ; +#631 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, -0.2999999999999999889, 11.00000000000000000 ) ) ; +#632 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#633 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, 1.482669637587014273E-16 ) ) ; +#634 = ORIENTED_EDGE ( 'NONE', *, *, #1137, .T. ) ; +#635 = ORIENTED_EDGE ( 'NONE', *, *, #2666, .F. ) ; +#636 = EDGE_CURVE ( 'NONE', #1612, #2584, #1800, .T. ) ; +#637 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#638 = EDGE_LOOP ( 'NONE', ( #2212, #2297, #1912, #697 ) ) ; +#639 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#640 = EDGE_LOOP ( 'NONE', ( #2184, #842, #2053, #1373 ) ) ; +#641 = ORIENTED_EDGE ( 'NONE', *, *, #987, .T. ) ; +#642 = EDGE_CURVE ( 'NONE', #1315, #771, #479, .T. ) ; +#643 = ORIENTED_EDGE ( 'NONE', *, *, #2392, .F. ) ; +#644 = COORDINATED_UNIVERSAL_TIME_OFFSET ( 2, 0, .AHEAD. ) ; +#645 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#646 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999999289, -1.000000000000000000, -13.20000000000000107 ) ) ; +#647 = FACE_OUTER_BOUND ( 'NONE', #640, .T. ) ; +#648 = AXIS2_PLACEMENT_3D ( 'NONE', #1943, #645, #874 ) ; +#649 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 9.637352644315578973E-16 ) ) ; +#650 = VECTOR ( 'NONE', #543, 1000.000000000000000 ) ; +#651 = FACE_OUTER_BOUND ( 'NONE', #2084, .T. ) ; +#652 = FACE_OUTER_BOUND ( 'NONE', #1883, .T. ) ; +#653 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -0.2999999999999999334, -11.70000000000000284 ) ) ; +#654 = PLANE ( 'NONE', #382 ) ; +#655 = ORIENTED_EDGE ( 'NONE', *, *, #629, .T. ) ; +#656 = CARTESIAN_POINT ( 'NONE', ( -9.000000000000001776, -0.2999999999999999334, 11.70000000000000107 ) ) ; +#657 = AXIS2_PLACEMENT_3D ( 'NONE', #2693, #321, #1820 ) ; +#658 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, 0.0000000000000000000, 0.7500000000000000000 ) ) ; +#659 = VERTEX_POINT ( 'NONE', #1680 ) ; +#660 = VERTEX_POINT ( 'NONE', #2762 ) ; +#661 = ORIENTED_EDGE ( 'NONE', *, *, #292, .T. ) ; +#662 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#663 = VERTEX_POINT ( 'NONE', #572 ) ; +#664 = CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT ( #946, #514, ( #1199 ) ) ; +#665 = ORIENTED_EDGE ( 'NONE', *, *, #2783, .F. ) ; +#666 = ORIENTED_EDGE ( 'NONE', *, *, #2485, .T. ) ; +#667 = EDGE_LOOP ( 'NONE', ( #630, #1181 ) ) ; +#668 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -2.200000000000104983, 8.150000000000000355 ) ) ; +#669 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#670 = APPROVAL_DATE_TIME ( #1018, #2118 ) ; +#671 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -2.200000000000104983, 7.500000000000001776 ) ) ; +#672 = FACE_OUTER_BOUND ( 'NONE', #214, .T. ) ; +#673 = EDGE_CURVE ( 'NONE', #1126, #395, #310, .T. ) ; +#674 = CIRCLE ( 'NONE', #1678, 0.6499999999999995781 ) ; +#675 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -6.000000000000000000, 11.70000000000000107 ) ) ; +#676 = LINE ( 'NONE', #2520, #1198 ) ; +#677 = AXIS2_PLACEMENT_3D ( 'NONE', #2187, #1103, #2422 ) ; +#678 = LINE ( 'NONE', #1615, #1304 ) ; +#679 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#680 = CARTESIAN_POINT ( 'NONE', ( 7.500000000000000888, -0.2999999999999999889, 12.00000000000000000 ) ) ; +#681 = EDGE_CURVE ( 'NONE', #1672, #1139, #2749, .T. ) ; +#682 = CYLINDRICAL_SURFACE ( 'NONE', #1445, 4.000000000000000888 ) ; +#683 = CIRCLE ( 'NONE', #2298, 0.9999999999999991118 ) ; +#684 = VECTOR ( 'NONE', #2021, 1000.000000000000000 ) ; +#685 = ORIENTED_EDGE ( 'NONE', *, *, #1617, .T. ) ; +#686 = CARTESIAN_POINT ( 'NONE', ( -9.699999999999999289, -6.000000000000000000, -11.70000000000000284 ) ) ; +#687 = CARTESIAN_POINT ( 'NONE', ( 5.500000000000001776, -7.000000000000000000, -13.50000000000000178 ) ) ; +#688 = EDGE_CURVE ( 'NONE', #724, #1422, #2101, .T. ) ; +#689 = ORIENTED_EDGE ( 'NONE', *, *, #546, .F. ) ; +#690 = EDGE_CURVE ( 'NONE', #468, #2522, #2580, .T. ) ; +#691 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -1.000000000000000000, -11.70000000000000284 ) ) ; +#692 = ORIENTED_EDGE ( 'NONE', *, *, #1040, .T. ) ; +#693 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#694 = ORIENTED_EDGE ( 'NONE', *, *, #111, .T. ) ; +#695 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000002842, -1.000000000000000000, 11.70000000000000107 ) ) ; +#696 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#697 = ORIENTED_EDGE ( 'NONE', *, *, #2282, .T. ) ; +#698 = PLANE ( 'NONE', #1929 ) ; +#699 = APPROVAL_STATUS ( 'not_yet_approved' ) ; +#700 = VERTEX_POINT ( 'NONE', #1671 ) ; +#701 = AXIS2_PLACEMENT_3D ( 'NONE', #2232, #1401, #2668 ) ; +#702 = VERTEX_POINT ( 'NONE', #826 ) ; +#703 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, 0.0000000000000000000, -11.00000000000000000 ) ) ; +#704 = EDGE_CURVE ( 'NONE', #1874, #92, #1468, .T. ) ; +#705 = ORIENTED_EDGE ( 'NONE', *, *, #1327, .F. ) ; +#706 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#707 = VECTOR ( 'NONE', #2466, 1000.000000000000000 ) ; +#708 = CARTESIAN_POINT ( 'NONE', ( -9.700000000000002842, -2.200000000000104983, 6.850000000000002309 ) ) ; +#709 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#710 = AXIS2_PLACEMENT_3D ( 'NONE', #814, #1016, #2525 ) ; +#711 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -6.000000000000000000, 13.50000000000000178 ) ) ; +#712 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000533, -2.200000000000000178, -8.149999999999996803 ) ) ; +#713 = CIRCLE ( 'NONE', #2590, 0.2499999999999995837 ) ; +#714 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#715 = CIRCLE ( 'NONE', #1154, 1.499999999999997780 ) ; +#716 = VECTOR ( 'NONE', #1247, 1000.000000000000000 ) ; +#717 = VECTOR ( 'NONE', #1674, 1000.000000000000000 ) ; +#718 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -0.2999999999999999334, 11.70000000000000107 ) ) ; +#719 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#720 = AXIS2_PLACEMENT_3D ( 'NONE', #2080, #1856, #121 ) ; +#721 = LINE ( 'NONE', #1459, #593 ) ; +#722 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#723 = APPLICATION_PROTOCOL_DEFINITION ( 'international standard', 'config_control_design', 1994, #1999 ) ; +#724 = VERTEX_POINT ( 'NONE', #2117 ) ; +#725 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#726 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#727 = EDGE_LOOP ( 'NONE', ( #1426, #2462, #2427, #2335 ) ) ; +#728 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#729 = VERTEX_POINT ( 'NONE', #1473 ) ; +#730 = CARTESIAN_POINT ( 'NONE', ( 6.985419176710472300, -0.2999999999999999889, -0.7500000000000000000 ) ) ; +#731 = CARTESIAN_POINT ( 'NONE', ( -7.850000000000001421, -0.2999999999999999889, -0.3500000000000004774 ) ) ; +#732 = EDGE_CURVE ( 'NONE', #1310, #231, #8, .T. ) ; +#733 = EDGE_LOOP ( 'NONE', ( #1276, #896, #1847, #2302 ) ) ; +#734 = PERSON_AND_ORGANIZATION_ROLE ( 'classification_officer' ) ; +#735 = CARTESIAN_POINT ( 'NONE', ( -4.062019202317980415, -0.2999999999999999889, 1.000000000000000444 ) ) ; +#736 = ORIENTED_EDGE ( 'NONE', *, *, #2537, .F. ) ; +#737 = ORIENTED_EDGE ( 'NONE', *, *, #1024, .F. ) ; +#738 = AXIS2_PLACEMENT_3D ( 'NONE', #1385, #563, #1195 ) ; +#739 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000533, -2.200000000000000178, -7.499999999999998224 ) ) ; +#740 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, -0.2999999999999999889, -1.250000000000000000 ) ) ; +#741 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#742 = EDGE_CURVE ( 'NONE', #1014, #322, #558, .T. ) ; +#743 = EDGE_LOOP ( 'NONE', ( #906, #566, #1346, #655 ) ) ; +#744 = CARTESIAN_POINT ( 'NONE', ( 7.500000000000000888, -0.2999999999999999889, -11.00000000000000000 ) ) ; +#745 = ORIENTED_EDGE ( 'NONE', *, *, #987, .F. ) ; +#746 = DATE_AND_TIME ( #166, #1187 ) ; +#747 = CARTESIAN_POINT ( 'NONE', ( -9.999999999999996447, -6.000000000000000000, -11.70000000000000284 ) ) ; +#748 = CYLINDRICAL_SURFACE ( 'NONE', #2408, 0.2499999999999995837 ) ; +#749 = EDGE_CURVE ( 'NONE', #18, #702, #1090, .T. ) ; +#750 = AXIS2_PLACEMENT_3D ( 'NONE', #2078, #2304, #2516 ) ; +#751 = CIRCLE ( 'NONE', #957, 0.2499999999999995837 ) ; +#752 = CARTESIAN_POINT ( 'NONE', ( -8.200000000000001066, -6.000000000000000000, -13.49999999999999822 ) ) ; +#753 = FACE_OUTER_BOUND ( 'NONE', #743, .T. ) ; +#754 = CYLINDRICAL_SURFACE ( 'NONE', #1694, 4.000000000000000888 ) ; +#755 = VECTOR ( 'NONE', #2716, 1000.000000000000000 ) ; +#756 = CIRCLE ( 'NONE', #1234, 0.6499999999999995781 ) ; +#757 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#758 = LINE ( 'NONE', #1887, #452 ) ; +#759 = CARTESIAN_POINT ( 'NONE', ( 7.500000000000000888, -0.2999999999999999889, -12.00000000000000000 ) ) ; +#760 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#761 = AXIS2_PLACEMENT_3D ( 'NONE', #1972, #2191, #473 ) ; +#762 = ORIENTED_EDGE ( 'NONE', *, *, #980, .T. ) ; +#763 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#764 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -2.200000000000104983, 8.150000000000000355 ) ) ; +#765 = ADVANCED_FACE ( 'NONE', ( #1932 ), #2316, .T. ) ; +#766 = VERTEX_POINT ( 'NONE', #1047 ) ; +#767 = VERTEX_POINT ( 'NONE', #1435 ) ; +#768 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#769 = EDGE_LOOP ( 'NONE', ( #2624, #2126, #1375, #1636 ) ) ; +#770 = EDGE_LOOP ( 'NONE', ( #2703, #609, #251, #944 ) ) ; +#771 = VERTEX_POINT ( 'NONE', #2313 ) ; +#772 = EDGE_CURVE ( 'NONE', #2130, #18, #1661, .T. ) ; +#773 = CARTESIAN_POINT ( 'NONE', ( -3.823076896299276051, 0.0000000000000000000, 1.176470588235294823 ) ) ; +#774 = ORIENTED_EDGE ( 'NONE', *, *, #636, .F. ) ; +#775 = ORIENTED_EDGE ( 'NONE', *, *, #477, .F. ) ; +#776 = AXIS2_PLACEMENT_3D ( 'NONE', #1462, #1670, #2088 ) ; +#777 = ORIENTED_EDGE ( 'NONE', *, *, #1669, .T. ) ; +#778 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052850492E-41, -1.779203565104417128E-16 ) ) ; +#779 = CARTESIAN_POINT ( 'NONE', ( -6.500000000000002665, -7.000000000000000000, 13.50000000000000355 ) ) ; +#780 = ORIENTED_EDGE ( 'NONE', *, *, #351, .F. ) ; +#781 = EDGE_CURVE ( 'NONE', #1391, #165, #859, .T. ) ; +#782 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, 0.0000000000000000000, -12.49999999999999645 ) ) ; +#783 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#784 = LINE ( 'NONE', #192, #237 ) ; +#785 = VECTOR ( 'NONE', #1471, 1000.000000000000000 ) ; +#786 = EDGE_CURVE ( 'NONE', #2333, #2487, #1592, .T. ) ; +#787 = PLANE ( 'NONE', #812 ) ; +#788 = CIRCLE ( 'NONE', #314, 1.799999999999999600 ) ; +#789 = LINE ( 'NONE', #154, #1028 ) ; +#790 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#791 = CIRCLE ( 'NONE', #2310, 4.000000000000000888 ) ; +#792 = CARTESIAN_POINT ( 'NONE', ( -8.999999999999998224, 0.0000000000000000000, -11.70000000000000462 ) ) ; +#793 = ADVANCED_FACE ( 'NONE', ( #651 ), #1263, .F. ) ; +#794 = AXIS2_PLACEMENT_3D ( 'NONE', #1762, #1976, #2430 ) ; +#795 = EDGE_LOOP ( 'NONE', ( #2623, #666, #1941, #426 ) ) ; +#796 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, -0.2999999999999999889, -0.9999999999999991118 ) ) ; +#797 = CARTESIAN_POINT ( 'NONE', ( -5.500000000000001776, -7.000000000000000000, 13.50000000000000355 ) ) ; +#798 = ORIENTED_EDGE ( 'NONE', *, *, #1281, .T. ) ; +#799 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#800 = VERTEX_POINT ( 'NONE', #1481 ) ; +#801 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#802 = ORIENTED_EDGE ( 'NONE', *, *, #2042, .T. ) ; +#803 = AXIS2_PLACEMENT_3D ( 'NONE', #1009, #573, #2055 ) ; +#804 = ORIENTED_EDGE ( 'NONE', *, *, #2087, .T. ) ; +#805 = CARTESIAN_POINT ( 'NONE', ( -7.850000000000001421, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#806 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, -6.000000000000000000, -13.19999999999999751 ) ) ; +#807 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, 0.0000000000000000000, -13.49999999999999822 ) ) ; +#808 = EDGE_LOOP ( 'NONE', ( #2401, #2005 ) ) ; +#809 = ORIENTED_EDGE ( 'NONE', *, *, #610, .T. ) ; +#810 = ORIENTED_EDGE ( 'NONE', *, *, #1541, .F. ) ; +#811 = ORIENTED_EDGE ( 'NONE', *, *, #1369, .F. ) ; +#812 = AXIS2_PLACEMENT_3D ( 'NONE', #1603, #2043, #1446 ) ; +#813 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#814 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -2.200000000000104983, 7.500000000000001776 ) ) ; +#815 = PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE ( 'ANY', '', #1507, .NOT_KNOWN. ) ; +#816 = CARTESIAN_POINT ( 'NONE', ( 6.500000000000002665, -7.000000000000000000, -13.19999999999999751 ) ) ; +#817 = CIRCLE ( 'NONE', #1360, 0.6499999999999995781 ) ; +#818 = CIRCLE ( 'NONE', #484, 1.000000000000000888 ) ; +#819 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#820 = ADVANCED_FACE ( 'NONE', ( #2443 ), #1305, .F. ) ; +#821 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#822 = VECTOR ( 'NONE', #1701, 1000.000000000000000 ) ; +#823 = FACE_OUTER_BOUND ( 'NONE', #2647, .T. ) ; +#824 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, -0.2999999999999999889, 11.00000000000000000 ) ) ; +#825 = LINE ( 'NONE', #556, #2386 ) ; +#826 = CARTESIAN_POINT ( 'NONE', ( -9.700000000000002842, -6.000000000000000000, 11.70000000000000107 ) ) ; +#827 = VECTOR ( 'NONE', #2196, 1000.000000000000000 ) ; +#828 = EDGE_CURVE ( 'NONE', #983, #604, #788, .T. ) ; +#829 = CYLINDRICAL_SURFACE ( 'NONE', #1871, 1.799999999999997824 ) ; +#830 = VERTEX_POINT ( 'NONE', #1706 ) ; +#831 = ORIENTED_EDGE ( 'NONE', *, *, #2765, .F. ) ; +#832 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#833 = AXIS2_PLACEMENT_3D ( 'NONE', #75, #2234, #286 ) ; +#834 = ORIENTED_EDGE ( 'NONE', *, *, #1118, .T. ) ; +#835 = EDGE_CURVE ( 'NONE', #1391, #974, #2788, .T. ) ; +#836 = DIRECTION ( 'NONE', ( 1.057758217059028608E-16, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#837 = ADVANCED_FACE ( 'NONE', ( #1629 ), #1869, .F. ) ; +#838 = ORIENTED_EDGE ( 'NONE', *, *, #7, .F. ) ; +#839 = EDGE_LOOP ( 'NONE', ( #2261, #1685, #2367, #694 ) ) ; +#840 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#841 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, -0.2999999999999999889, -11.00000000000000000 ) ) ; +#842 = ORIENTED_EDGE ( 'NONE', *, *, #2517, .F. ) ; +#843 = EDGE_CURVE ( 'NONE', #1011, #372, #1062, .T. ) ; +#844 = EDGE_CURVE ( 'NONE', #1517, #2460, #1960, .T. ) ; +#845 = VERTEX_POINT ( 'NONE', #1688 ) ; +#846 = ORIENTED_EDGE ( 'NONE', *, *, #2037, .F. ) ; +#847 = ORIENTED_EDGE ( 'NONE', *, *, #1891, .T. ) ; +#848 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#849 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#850 = ADVANCED_FACE ( 'NONE', ( #589 ), #2140, .F. ) ; +#851 = VECTOR ( 'NONE', #1157, 1000.000000000000000 ) ; +#852 = PLANE ( 'NONE', #1494 ) ; +#853 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#854 = AXIS2_PLACEMENT_3D ( 'NONE', #2636, #945, #2278 ) ; +#855 = EDGE_CURVE ( 'NONE', #2491, #427, #209, .T. ) ; +#856 = CIRCLE ( 'NONE', #904, 0.6499999999999995781 ) ; +#857 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#858 = DIRECTION ( 'NONE', ( 2.168404344971012812E-15, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#859 = LINE ( 'NONE', #1055, #2028 ) ; +#860 = LINE ( 'NONE', #365, #1306 ) ; +#861 = CARTESIAN_POINT ( 'NONE', ( 9.000000000000001776, -1.000000000000000000, 11.70000000000000107 ) ) ; +#862 = AXIS2_PLACEMENT_3D ( 'NONE', #179, #1274, #417 ) ; +#863 = FACE_OUTER_BOUND ( 'NONE', #22, .T. ) ; +#864 = ORIENTED_EDGE ( 'NONE', *, *, #197, .F. ) ; +#865 = DESIGN_CONTEXT ( 'detailed design', #2451, 'design' ) ; +#866 = ORIENTED_EDGE ( 'NONE', *, *, #732, .F. ) ; +#867 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#868 = CARTESIAN_POINT ( 'NONE', ( 7.500000000000000888, 0.0000000000000000000, 11.00000000000000000 ) ) ; +#869 = ORIENTED_EDGE ( 'NONE', *, *, #162, .F. ) ; +#870 = AXIS2_PLACEMENT_3D ( 'NONE', #2004, #2645, #78 ) ; +#871 = VERTEX_POINT ( 'NONE', #1633 ) ; +#872 = VERTEX_POINT ( 'NONE', #2715 ) ; +#873 = ORIENTED_EDGE ( 'NONE', *, *, #567, .F. ) ; +#874 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#875 = EDGE_LOOP ( 'NONE', ( #424, #1884, #634, #1101 ) ) ; +#876 = ADVANCED_FACE ( 'NONE', ( #2679 ), #416, .T. ) ; +#877 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999999289, -1.000000000000000000, -13.50000000000000178 ) ) ; +#878 = ORIENTED_EDGE ( 'NONE', *, *, #1427, .F. ) ; +#879 = CARTESIAN_POINT ( 'NONE', ( 7.225580516513119278, -0.2999999999999999889, -0.8194444444444444198 ) ) ; +#880 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, -0.2999999999999999889, -12.00000000000000000 ) ) ; +#881 = EDGE_CURVE ( 'NONE', #231, #1310, #1233, .T. ) ; +#882 = ORIENTED_EDGE ( 'NONE', *, *, #1273, .T. ) ; +#883 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#884 = CIRCLE ( 'NONE', #1296, 0.2499999999999995837 ) ; +#885 = AXIS2_PLACEMENT_3D ( 'NONE', #462, #1958, #234 ) ; +#886 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, -0.2999999999999999889, -0.7500000000000000000 ) ) ; +#887 = CIRCLE ( 'NONE', #580, 1.499999999999999556 ) ; +#888 = EDGE_CURVE ( 'NONE', #1605, #2559, #1526, .T. ) ; +#889 = VECTOR ( 'NONE', #1255, 1000.000000000000000 ) ; +#890 = LINE ( 'NONE', #1042, #717 ) ; +#891 = FACE_OUTER_BOUND ( 'NONE', #2155, .T. ) ; +#892 = CARTESIAN_POINT ( 'NONE', ( 8.999999999999998224, 0.0000000000000000000, -11.70000000000000462 ) ) ; +#893 = EDGE_CURVE ( 'NONE', #2559, #974, #1726, .T. ) ; +#894 = VERTEX_POINT ( 'NONE', #109 ) ; +#895 = AXIS2_PLACEMENT_3D ( 'NONE', #1382, #1404, #2780 ) ; +#896 = ORIENTED_EDGE ( 'NONE', *, *, #1072, .F. ) ; +#897 = ORIENTED_EDGE ( 'NONE', *, *, #1906, .F. ) ; +#898 = ORIENTED_EDGE ( 'NONE', *, *, #1549, .T. ) ; +#899 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#900 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#901 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, -1.000000000000000000, 11.70000000000000107 ) ) ; +#902 = EDGE_LOOP ( 'NONE', ( #1913, #810, #1221, #777 ) ) ; +#903 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#904 = AXIS2_PLACEMENT_3D ( 'NONE', #918, #2248, #728 ) ; +#905 = AXIS2_PLACEMENT_3D ( 'NONE', #1539, #248, #1344 ) ; +#906 = ORIENTED_EDGE ( 'NONE', *, *, #2129, .T. ) ; +#907 = ORIENTED_EDGE ( 'NONE', *, *, #1504, .F. ) ; +#908 = EDGE_LOOP ( 'NONE', ( #2120, #1510, #2388, #2568 ) ) ; +#909 = ORIENTED_EDGE ( 'NONE', *, *, #881, .T. ) ; +#910 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#911 = ORIENTED_EDGE ( 'NONE', *, *, #1057, .F. ) ; +#912 = CARTESIAN_POINT ( 'NONE', ( -8.999999999999996447, 0.0000000000000000000, -11.70000000000000284 ) ) ; +#913 = CLOSED_SHELL ( 'NONE', ( #2093, #850, #1933, #1513, #1692, #1665, #2032, #2355, #2150, #2044, #2382, #538, #2314, #2589, #1881, #1464, #2547, #555, #1278, #193, #2122, #164, #2475, #366, #423, #1868, #624, #91, #1733, #2561, #2076, #1021, #765, #1240, #1410, #411, #2265, #325, #1638, #1611, #1681, #1624, #1264, #2506, #134, #180, #1487, #2691, #2779, #793, #612, #1037, #837, #1051, #1476, #820, #2723, #583, #1008, #2296, #2534, #1424, #569, #2108, #2327, #1063, #1854, #1294, #2763, #2342, #876, #307, #2602, #1223, #1501, #1919, #1909, #1826, #1652, #1893, #964, #1707, #2748, #381, #2137, #2488, #436, #994, #397 ) ) ; +#914 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#915 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#916 = EDGE_CURVE ( 'NONE', #1776, #330, #2066, .T. ) ; +#917 = LINE ( 'NONE', #2486, #2576 ) ; +#918 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000001066, -2.200000000000000178, -7.500000000000001776 ) ) ; +#919 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#920 = AXIS2_PLACEMENT_3D ( 'NONE', #1499, #1711, #422 ) ; +#921 = LINE ( 'NONE', #533, #1231 ) ; +#922 = CARTESIAN_POINT ( 'NONE', ( 6.985419176710472300, 0.0000000000000000000, -0.7500000000000000000 ) ) ; +#923 = PERSON_AND_ORGANIZATION_ROLE ( 'design_owner' ) ; +#924 = EDGE_CURVE ( 'NONE', #1805, #1167, #445, .T. ) ; +#925 = FACE_OUTER_BOUND ( 'NONE', #1982, .T. ) ; +#926 = CYLINDRICAL_SURFACE ( 'NONE', #1376, 1.000000000000000888 ) ; +#927 = CARTESIAN_POINT ( 'NONE', ( 10.00000000000000178, -2.200000000000104983, 6.849999999999998757 ) ) ; +#928 = LINE ( 'NONE', #377, #2173 ) ; +#929 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000001066, -0.2999999999999999889, -11.70000000000000284 ) ) ; +#930 = ORIENTED_EDGE ( 'NONE', *, *, #2468, .T. ) ; +#931 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -0.2999999999999999889, 11.70000000000000107 ) ) ; +#932 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000004619, -6.000000000000000000, 13.19999999999999929 ) ) ; +#933 = EDGE_CURVE ( 'NONE', #1176, #227, #1500, .T. ) ; +#934 = VERTEX_POINT ( 'NONE', #2023 ) ; +#935 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, -0.2999999999999999889, 11.70000000000000107 ) ) ; +#936 = EDGE_LOOP ( 'NONE', ( #2358, #74, #2737, #2178 ) ) ; +#937 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#938 = ORIENTED_EDGE ( 'NONE', *, *, #1327, .T. ) ; +#939 = AXIS2_PLACEMENT_3D ( 'NONE', #545, #1623, #1396 ) ; +#940 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#941 = VERTEX_POINT ( 'NONE', #2054 ) ; +#942 = EDGE_LOOP ( 'NONE', ( #2734, #1448, #665, #1172 ) ) ; +#943 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#944 = ORIENTED_EDGE ( 'NONE', *, *, #2350, .T. ) ; +#945 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#946 = PERSON_AND_ORGANIZATION ( #2573, #301 ) ; +#947 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999999289, -6.000000000000000000, -13.50000000000000178 ) ) ; +#948 = APPROVAL_STATUS ( 'not_yet_approved' ) ; +#949 = CIRCLE ( 'NONE', #1828, 0.2500000000000000000 ) ; +#950 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, 1.482669637587014273E-16 ) ) ; +#951 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#952 = FACE_OUTER_BOUND ( 'NONE', #795, .T. ) ; +#953 = VECTOR ( 'NONE', #2464, 1000.000000000000000 ) ; +#954 = FACE_OUTER_BOUND ( 'NONE', #875, .T. ) ; +#955 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, 0.0000000000000000000, 11.00000000000000000 ) ) ; +#956 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, -0.2999999999999999889, 12.00000000000000000 ) ) ; +#957 = AXIS2_PLACEMENT_3D ( 'NONE', #1160, #63, #1568 ) ; +#958 = FACE_OUTER_BOUND ( 'NONE', #2247, .T. ) ; +#959 = CIRCLE ( 'NONE', #2567, 1.499999999999999556 ) ; +#960 = PRODUCT_RELATED_PRODUCT_CATEGORY ( 'detail', '', ( #1507 ) ) ; +#961 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#962 = CYLINDRICAL_SURFACE ( 'NONE', #1006, 1.000000000000000888 ) ; +#963 = CARTESIAN_POINT ( 'NONE', ( 6.500000000000002665, -7.000000000000000000, -13.50000000000000178 ) ) ; +#964 = ADVANCED_FACE ( 'NONE', ( #925 ), #1697, .F. ) ; +#965 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#966 = EDGE_LOOP ( 'NONE', ( #88, #1038, #661, #200 ) ) ; +#967 = CARTESIAN_POINT ( 'NONE', ( -4.062019202317980415, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#968 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#969 = ORIENTED_EDGE ( 'NONE', *, *, #1717, .F. ) ; +#970 = ORIENTED_EDGE ( 'NONE', *, *, #292, .F. ) ; +#971 = EDGE_CURVE ( 'NONE', #894, #1096, #1560, .T. ) ; +#972 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#973 = VERTEX_POINT ( 'NONE', #759 ) ; +#974 = VERTEX_POINT ( 'NONE', #2687 ) ; +#975 = AXIS2_PLACEMENT_3D ( 'NONE', #2156, #1081, #848 ) ; +#976 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#977 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#978 = ORIENTED_EDGE ( 'NONE', *, *, #2378, .T. ) ; +#979 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#980 = EDGE_CURVE ( 'NONE', #1049, #2559, #276, .T. ) ; +#981 = ORIENTED_EDGE ( 'NONE', *, *, #610, .F. ) ; +#982 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#983 = VERTEX_POINT ( 'NONE', #90 ) ; +#984 = VERTEX_POINT ( 'NONE', #768 ) ; +#985 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#986 = FACE_OUTER_BOUND ( 'NONE', #1975, .T. ) ; +#987 = EDGE_CURVE ( 'NONE', #2696, #2694, #1994, .T. ) ; +#988 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#989 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#990 = EDGE_CURVE ( 'NONE', #372, #663, #2610, .T. ) ; +#991 = LINE ( 'NONE', #2009, #414 ) ; +#992 = CIRCLE ( 'NONE', #2513, 0.6499999999999995781 ) ; +#993 = CARTESIAN_POINT ( 'NONE', ( 7.500000000000000888, -0.2999999999999999889, -11.00000000000000000 ) ) ; +#994 = ADVANCED_FACE ( 'NONE', ( #1437 ), #554, .F. ) ; +#995 = VECTOR ( 'NONE', #199, 1000.000000000000000 ) ; +#996 = FACE_OUTER_BOUND ( 'NONE', #1771, .T. ) ; +#997 = CIRCLE ( 'NONE', #1303, 0.6499999999999995781 ) ; +#998 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, 0.0000000000000000000, 12.00000000000000000 ) ) ; +#999 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1000 = ORIENTED_EDGE ( 'NONE', *, *, #567, .T. ) ; +#1001 = AXIS2_PLACEMENT_3D ( 'NONE', #671, #1766, #1335 ) ; +#1002 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1003 = EDGE_LOOP ( 'NONE', ( #51, #2592, #2222, #1290 ) ) ; +#1004 = CARTESIAN_POINT ( 'NONE', ( 6.985419176710472300, -0.2999999999999999889, 0.7500000000000000000 ) ) ; +#1005 = EDGE_LOOP ( 'NONE', ( #497, #562, #487, #2556 ) ) ; +#1006 = AXIS2_PLACEMENT_3D ( 'NONE', #2733, #1444, #2743 ) ; +#1007 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1008 = ADVANCED_FACE ( 'NONE', ( #2511 ), #2548, .F. ) ; +#1009 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#1010 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#1011 = VERTEX_POINT ( 'NONE', #1936 ) ; +#1012 = CARTESIAN_POINT ( 'NONE', ( -8.999999999999998224, -0.2999999999999999334, -11.70000000000000462 ) ) ; +#1013 = ORIENTED_EDGE ( 'NONE', *, *, #2394, .F. ) ; +#1014 = VERTEX_POINT ( 'NONE', #1067 ) ; +#1015 = EDGE_LOOP ( 'NONE', ( #17, #643, #122, #978 ) ) ; +#1016 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052850492E-41, -1.779203565104417128E-16 ) ) ; +#1017 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1018 = DATE_AND_TIME ( #2742, #1258 ) ; +#1019 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#1020 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1021 = ADVANCED_FACE ( 'NONE', ( #103 ), #1835, .F. ) ; +#1022 = VECTOR ( 'NONE', #2404, 1000.000000000000000 ) ; +#1023 = CYLINDRICAL_SURFACE ( 'NONE', #525, 0.6499999999999995781 ) ; +#1024 = EDGE_CURVE ( 'NONE', #2558, #2530, #384, .T. ) ; +#1025 = CARTESIAN_POINT ( 'NONE', ( -9.999999999999996447, -6.000000000000000000, -11.70000000000000284 ) ) ; +#1026 = CARTESIAN_POINT ( 'NONE', ( 6.500000000000002665, -6.000000000000000000, -13.19999999999999751 ) ) ; +#1027 = VECTOR ( 'NONE', #333, 1000.000000000000000 ) ; +#1028 = VECTOR ( 'NONE', #2285, 1000.000000000000000 ) ; +#1029 = FACE_OUTER_BOUND ( 'NONE', #902, .T. ) ; +#1030 = CARTESIAN_POINT ( 'NONE', ( 6.500000000000002665, -7.000000000000000000, -13.50000000000000178 ) ) ; +#1031 = LINE ( 'NONE', #1553, #2613 ) ; +#1032 = AXIS2_PLACEMENT_3D ( 'NONE', #1458, #2159, #1311 ) ; +#1033 = FACE_OUTER_BOUND ( 'NONE', #2777, .T. ) ; +#1034 = EDGE_CURVE ( 'NONE', #1139, #702, #1964, .T. ) ; +#1035 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1036 = CARTESIAN_POINT ( 'NONE', ( -9.700000000000002842, 0.0000000000000000000, 11.70000000000000107 ) ) ; +#1037 = ADVANCED_FACE ( 'NONE', ( #238 ), #316, .F. ) ; +#1038 = ORIENTED_EDGE ( 'NONE', *, *, #7, .T. ) ; +#1039 = ORIENTED_EDGE ( 'NONE', *, *, #2563, .T. ) ; +#1040 = EDGE_CURVE ( 'NONE', #973, #2729, #2412, .T. ) ; +#1041 = ORIENTED_EDGE ( 'NONE', *, *, #2037, .T. ) ; +#1042 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#1043 = EDGE_LOOP ( 'NONE', ( #2286, #2454, #2758, #1316 ) ) ; +#1044 = EDGE_CURVE ( 'NONE', #830, #2287, #106, .T. ) ; +#1045 = AXIS2_PLACEMENT_3D ( 'NONE', #2299, #340, #1236 ) ; +#1046 = VERTEX_POINT ( 'NONE', #1317 ) ; +#1047 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000001066, -2.200000000000104983, 8.149999999999996803 ) ) ; +#1048 = ORIENTED_EDGE ( 'NONE', *, *, #781, .F. ) ; +#1049 = VERTEX_POINT ( 'NONE', #2372 ) ; +#1050 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000533, -2.200000000000000178, -6.849999999999998757 ) ) ; +#1051 = ADVANCED_FACE ( 'NONE', ( #1699, #2347, #2271 ), #1088, .F. ) ; +#1052 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, -0.2999999999999999889, -11.00000000000000000 ) ) ; +#1053 = ORIENTED_EDGE ( 'NONE', *, *, #1781, .T. ) ; +#1054 = EDGE_LOOP ( 'NONE', ( #1709, #866 ) ) ; +#1055 = CARTESIAN_POINT ( 'NONE', ( 10.00000000000000178, -6.000000000000000000, 11.70000000000000107 ) ) ; +#1056 = AXIS2_PLACEMENT_3D ( 'NONE', #691, #1319, #2166 ) ; +#1057 = EDGE_CURVE ( 'NONE', #1534, #729, #683, .T. ) ; +#1058 = LINE ( 'NONE', #2674, #616 ) ; +#1059 = CIRCLE ( 'NONE', #617, 1.799999999999999600 ) ; +#1060 = CARTESIAN_POINT ( 'NONE', ( -7.850000000000001421, -0.2999999999999999889, -0.3500000000000004774 ) ) ; +#1061 = LINE ( 'NONE', #2695, #478 ) ; +#1062 = LINE ( 'NONE', #2785, #995 ) ; +#1063 = ADVANCED_FACE ( 'NONE', ( #2472 ), #1299, .T. ) ; +#1064 = VECTOR ( 'NONE', #1169, 1000.000000000000000 ) ; +#1065 = EDGE_CURVE ( 'NONE', #830, #1564, #1598, .T. ) ; +#1066 = VECTOR ( 'NONE', #368, 1000.000000000000000 ) ; +#1067 = CARTESIAN_POINT ( 'NONE', ( -3.823076896299276051, -0.2999999999999999889, 1.176470588235294823 ) ) ; +#1068 = PLANE ( 'NONE', #1456 ) ; +#1069 = ORIENTED_EDGE ( 'NONE', *, *, #2349, .T. ) ; +#1070 = AXIS2_PLACEMENT_3D ( 'NONE', #208, #883, #455 ) ; +#1071 = VERTEX_POINT ( 'NONE', #1277 ) ; +#1072 = EDGE_CURVE ( 'NONE', #2729, #1284, #1666, .T. ) ; +#1073 = ORIENTED_EDGE ( 'NONE', *, *, #1413, .F. ) ; +#1074 = VERTEX_POINT ( 'NONE', #191 ) ; +#1075 = ORIENTED_EDGE ( 'NONE', *, *, #393, .F. ) ; +#1076 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1077 = EDGE_LOOP ( 'NONE', ( #2634, #1048, #2775, #847 ) ) ; +#1078 = EDGE_CURVE ( 'NONE', #771, #1605, #1732, .T. ) ; +#1079 = VERTEX_POINT ( 'NONE', #631 ) ; +#1080 = ORIENTED_EDGE ( 'NONE', *, *, #1549, .F. ) ; +#1081 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1082 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1083 = AXIS2_PLACEMENT_3D ( 'NONE', #3, #2601, #1516 ) ; +#1084 = CALENDAR_DATE ( 2020, 5, 11 ) ; +#1085 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1086 = VECTOR ( 'NONE', #1019, 1000.000000000000000 ) ; +#1087 = LINE ( 'NONE', #1206, #1365 ) ; +#1088 = PLANE ( 'NONE', #2180 ) ; +#1089 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -6.000000000000000000, -11.70000000000000284 ) ) ; +#1090 = LINE ( 'NONE', #1036, #2543 ) ; +#1091 = FACE_OUTER_BOUND ( 'NONE', #1714, .T. ) ; +#1092 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#1093 = EDGE_CURVE ( 'NONE', #2616, #1391, #519, .T. ) ; +#1094 = LINE ( 'NONE', #1565, #827 ) ; +#1095 = LINE ( 'NONE', #726, #1408 ) ; +#1096 = VERTEX_POINT ( 'NONE', #439 ) ; +#1097 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#1098 = ORIENTED_EDGE ( 'NONE', *, *, #1748, .F. ) ; +#1099 = AXIS2_PLACEMENT_3D ( 'NONE', #718, #481, #280 ) ; +#1100 = ORIENTED_EDGE ( 'NONE', *, *, #1420, .T. ) ; +#1101 = ORIENTED_EDGE ( 'NONE', *, *, #1333, .T. ) ; +#1102 = EDGE_LOOP ( 'NONE', ( #226, #265, #1073, #1740 ) ) ; +#1103 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1104 = ORIENTED_EDGE ( 'NONE', *, *, #2676, .T. ) ; +#1105 = ORIENTED_EDGE ( 'NONE', *, *, #2468, .F. ) ; +#1106 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#1107 = ORIENTED_EDGE ( 'NONE', *, *, #2532, .T. ) ; +#1108 = ORIENTED_EDGE ( 'NONE', *, *, #1511, .F. ) ; +#1109 = EDGE_CURVE ( 'NONE', #1224, #1673, #1163, .T. ) ; +#1110 = ORIENTED_EDGE ( 'NONE', *, *, #486, .F. ) ; +#1111 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1112 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, -0.2999999999999999889, -11.00000000000000000 ) ) ; +#1113 = LINE ( 'NONE', #1785, #2539 ) ; +#1114 = AXIS2_PLACEMENT_3D ( 'NONE', #1434, #2714, #312 ) ; +#1115 = PLANE ( 'NONE', #2116 ) ; +#1116 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#1117 = FACE_OUTER_BOUND ( 'NONE', #359, .T. ) ; +#1118 = EDGE_CURVE ( 'NONE', #260, #2766, #11, .T. ) ; +#1119 = CYLINDRICAL_SURFACE ( 'NONE', #1730, 0.6499999999999995781 ) ; +#1120 = VECTOR ( 'NONE', #977, 1000.000000000000000 ) ; +#1121 = LINE ( 'NONE', #2690, #9 ) ; +#1122 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1123 = EDGE_CURVE ( 'NONE', #1672, #435, #2034, .T. ) ; +#1124 = VECTOR ( 'NONE', #1010, 1000.000000000000000 ) ; +#1125 = EDGE_CURVE ( 'NONE', #259, #1951, #2171, .T. ) ; +#1126 = VERTEX_POINT ( 'NONE', #668 ) ; +#1127 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, -0.2999999999999999889, -1.000000000000000444 ) ) ; +#1128 = ORIENTED_EDGE ( 'NONE', *, *, #980, .F. ) ; +#1129 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1130 = ORIENTED_EDGE ( 'NONE', *, *, #1931, .T. ) ; +#1131 = CARTESIAN_POINT ( 'NONE', ( -7.850000000000001421, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1132 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#1133 = VERTEX_POINT ( 'NONE', #2139 ) ; +#1134 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#1135 = AXIS2_PLACEMENT_3D ( 'NONE', #491, #709, #1584 ) ; +#1136 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1137 = EDGE_CURVE ( 'NONE', #1937, #1517, #594, .T. ) ; +#1138 = ORIENTED_EDGE ( 'NONE', *, *, #1266, .F. ) ; +#1139 = VERTEX_POINT ( 'NONE', #686 ) ; +#1140 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1141 = ORIENTED_EDGE ( 'NONE', *, *, #536, .F. ) ; +#1142 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1143 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1144 = AXIS2_PLACEMENT_3D ( 'NONE', #344, #110, #1625 ) ; +#1145 = ORIENTED_EDGE ( 'NONE', *, *, #303, .T. ) ; +#1146 = ORIENTED_EDGE ( 'NONE', *, *, #1915, .T. ) ; +#1147 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, -1.000000000000000000, 11.70000000000000107 ) ) ; +#1148 = APPROVAL_DATE_TIME ( #1392, #29 ) ; +#1149 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1150 = CARTESIAN_POINT ( 'NONE', ( 10.00000000000000178, -6.000000000000000000, 11.70000000000000107 ) ) ; +#1151 = FACE_OUTER_BOUND ( 'NONE', #1851, .T. ) ; +#1152 = CARTESIAN_POINT ( 'NONE', ( 8.999999999999998224, -0.2999999999999999334, -11.70000000000000462 ) ) ; +#1153 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1154 = AXIS2_PLACEMENT_3D ( 'NONE', #380, #2312, #813 ) ; +#1155 = FACE_OUTER_BOUND ( 'NONE', #1948, .T. ) ; +#1156 = CARTESIAN_POINT ( 'NONE', ( -9.999999999999998224, -1.000000000000000000, -11.70000000000000462 ) ) ; +#1157 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1158 = VECTOR ( 'NONE', #2728, 1000.000000000000000 ) ; +#1159 = CYLINDRICAL_SURFACE ( 'NONE', #12, 0.6499999999999995781 ) ; +#1160 = CARTESIAN_POINT ( 'NONE', ( -4.062019202317980415, 0.0000000000000000000, 1.250000000000000000 ) ) ; +#1161 = CARTESIAN_POINT ( 'NONE', ( 9.999999999999998224, -6.000000000000000000, -11.70000000000000462 ) ) ; +#1162 = VECTOR ( 'NONE', #1493, 1000.000000000000000 ) ; +#1163 = LINE ( 'NONE', #263, #1265 ) ; +#1164 = LINE ( 'NONE', #2213, #684 ) ; +#1165 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#1166 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, -0.2999999999999999889, -11.00000000000000000 ) ) ; +#1167 = VERTEX_POINT ( 'NONE', #1359 ) ; +#1168 = CARTESIAN_POINT ( 'NONE', ( 7.225580516513119278, -0.2999999999999999889, -0.8194444444444444198 ) ) ; +#1169 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1170 = ORIENTED_EDGE ( 'NONE', *, *, #486, .T. ) ; +#1171 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, -0.2999999999999999889, 0.0000000000000000000 ) ) ; +#1172 = ORIENTED_EDGE ( 'NONE', *, *, #1093, .T. ) ; +#1173 = AXIS2_PLACEMENT_3D ( 'NONE', #313, #343, #79 ) ; +#1174 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000001066, -2.200000000000104983, 6.849999999999998757 ) ) ; +#1175 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, -6.000000000000000000, -13.19999999999999751 ) ) ; +#1176 = VERTEX_POINT ( 'NONE', #1324 ) ; +#1177 = EDGE_CURVE ( 'NONE', #1079, #223, #1094, .T. ) ; +#1178 = DATE_TIME_ROLE ( 'classification_date' ) ; +#1179 = ORIENTED_EDGE ( 'NONE', *, *, #2717, .F. ) ; +#1180 = CARTESIAN_POINT ( 'NONE', ( -8.200000000000001066, -1.000000000000000000, -13.19999999999999751 ) ) ; +#1181 = ORIENTED_EDGE ( 'NONE', *, *, #1355, .T. ) ; +#1182 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1183 = ORIENTED_EDGE ( 'NONE', *, *, #1981, .T. ) ; +#1184 = ORIENTED_EDGE ( 'NONE', *, *, #2394, .T. ) ; +#1185 = EDGE_CURVE ( 'NONE', #2158, #2190, #1839, .T. ) ; +#1186 = CARTESIAN_POINT ( 'NONE', ( 10.00000000000000178, 0.0000000000000000000, 11.70000000000000107 ) ) ; +#1187 = LOCAL_TIME ( 13, 59, 10.00000000000000000, #644 ) ; +#1188 = AXIS2_PLACEMENT_3D ( 'NONE', #1676, #390, #1298 ) ; +#1189 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#1190 = CIRCLE ( 'NONE', #1070, 1.000000000000000888 ) ; +#1191 = CIRCLE ( 'NONE', #2320, 0.6499999999999995781 ) ; +#1192 = CIRCLE ( 'NONE', #245, 4.000000000000000888 ) ; +#1193 = FACE_OUTER_BOUND ( 'NONE', #1782, .T. ) ; +#1194 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1195 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1196 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.151028910248187561E-15 ) ) ; +#1197 = VECTOR ( 'NONE', #2375, 1000.000000000000000 ) ; +#1198 = VECTOR ( 'NONE', #1002, 1000.000000000000000 ) ; +#1199 = PRODUCT_DEFINITION ( 'UNKNOWN', '', #815, #865 ) ; +#1200 = CARTESIAN_POINT ( 'NONE', ( 7.850000000000001421, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1201 = EDGE_CURVE ( 'NONE', #468, #1074, #2109, .T. ) ; +#1202 = CIRCLE ( 'NONE', #370, 1.000000000000000888 ) ; +#1203 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000533, -2.200000000000000178, -7.499999999999998224 ) ) ; +#1204 = ORIENTED_EDGE ( 'NONE', *, *, #363, .T. ) ; +#1205 = ORIENTED_EDGE ( 'NONE', *, *, #2509, .T. ) ; +#1206 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, -0.2999999999999999889, -1.000000000000000444 ) ) ; +#1207 = ORIENTED_EDGE ( 'NONE', *, *, #255, .T. ) ; +#1208 = CARTESIAN_POINT ( 'NONE', ( 6.985419176710472300, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1209 = EDGE_LOOP ( 'NONE', ( #882, #496 ) ) ; +#1210 = EDGE_CURVE ( 'NONE', #576, #941, #1529, .T. ) ; +#1211 = ORIENTED_EDGE ( 'NONE', *, *, #1741, .F. ) ; +#1212 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000533, -2.200000000000000178, -8.149999999999996803 ) ) ; +#1213 = EDGE_LOOP ( 'NONE', ( #2185, #2598, #332, #2591 ) ) ; +#1214 = AXIS2_PLACEMENT_3D ( 'NONE', #2617, #1536, #244 ) ; +#1215 = CARTESIAN_POINT ( 'NONE', ( 7.850000000000001421, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1216 = PLANE ( 'NONE', #803 ) ; +#1217 = EDGE_CURVE ( 'NONE', #2730, #1937, #959, .T. ) ; +#1218 = ORIENTED_EDGE ( 'NONE', *, *, #2349, .F. ) ; +#1219 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#1220 = ORIENTED_EDGE ( 'NONE', *, *, #690, .F. ) ; +#1221 = ORIENTED_EDGE ( 'NONE', *, *, #2202, .F. ) ; +#1222 = CARTESIAN_POINT ( 'NONE', ( 7.500000000000000888, -0.2999999999999999889, 11.00000000000000000 ) ) ; +#1223 = ADVANCED_FACE ( 'NONE', ( #2241 ), #1348, .T. ) ; +#1224 = VERTEX_POINT ( 'NONE', #43 ) ; +#1225 = PERSON_AND_ORGANIZATION ( #2573, #301 ) ; +#1226 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104416882E-16 ) ) ; +#1227 = EDGE_CURVE ( 'NONE', #227, #117, #1031, .T. ) ; +#1228 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1229 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1230 = CIRCLE ( 'NONE', #1864, 0.6499999999999995781 ) ; +#1231 = VECTOR ( 'NONE', #1631, 1000.000000000000000 ) ; +#1232 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#1233 = CIRCLE ( 'NONE', #2618, 0.6499999999999995781 ) ; +#1234 = AXIS2_PLACEMENT_3D ( 'NONE', #1203, #348, #2071 ) ; +#1235 = VECTOR ( 'NONE', #221, 1000.000000000000000 ) ; +#1236 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1237 = PLANE ( 'NONE', #137 ) ; +#1238 = LINE ( 'NONE', #1060, #1663 ) ; +#1239 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1240 = ADVANCED_FACE ( 'NONE', ( #1761 ), #1330, .F. ) ; +#1241 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#1242 = ORIENTED_EDGE ( 'NONE', *, *, #2774, .T. ) ; +#1243 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, 0.0000000000000000000, 11.70000000000000107 ) ) ; +#1244 = ORIENTED_EDGE ( 'NONE', *, *, #2385, .F. ) ; +#1245 = ORIENTED_EDGE ( 'NONE', *, *, #1627, .T. ) ; +#1246 = AXIS2_PLACEMENT_3D ( 'NONE', #1653, #1642, #584 ) ; +#1247 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1248 = VERTEX_POINT ( 'NONE', #892 ) ; +#1249 = ORIENTED_EDGE ( 'NONE', *, *, #642, .T. ) ; +#1250 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000001066, -0.2999999999999999334, -11.70000000000000284 ) ) ; +#1251 = ORIENTED_EDGE ( 'NONE', *, *, #2340, .T. ) ; +#1252 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104416882E-16 ) ) ; +#1253 = AXIS2_PLACEMENT_3D ( 'NONE', #1548, #2620, #1787 ) ; +#1254 = ORIENTED_EDGE ( 'NONE', *, *, #2087, .F. ) ; +#1255 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1256 = AXIS2_PLACEMENT_3D ( 'NONE', #867, #2380, #2172 ) ; +#1257 = ORIENTED_EDGE ( 'NONE', *, *, #607, .F. ) ; +#1258 = LOCAL_TIME ( 13, 59, 10.00000000000000000, #505 ) ; +#1259 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1260 = VECTOR ( 'NONE', #2057, 1000.000000000000000 ) ; +#1261 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1262 = CIRCLE ( 'NONE', #342, 1.000000000000000888 ) ; +#1263 = PLANE ( 'NONE', #2062 ) ; +#1264 = ADVANCED_FACE ( 'NONE', ( #451 ), #1545, .T. ) ; +#1265 = VECTOR ( 'NONE', #1111, 1000.000000000000000 ) ; +#1266 = EDGE_CURVE ( 'NONE', #1224, #2491, #174, .T. ) ; +#1267 = CYLINDRICAL_SURFACE ( 'NONE', #1979, 0.6499999999999995781 ) ; +#1268 = LINE ( 'NONE', #2565, #1162 ) ; +#1269 = VECTOR ( 'NONE', #1604, 1000.000000000000000 ) ; +#1270 = CARTESIAN_POINT ( 'NONE', ( 9.999999999999998224, 0.0000000000000000000, -11.70000000000000462 ) ) ; +#1271 = AXIS2_PLACEMENT_3D ( 'NONE', #1131, #2413, #253 ) ; +#1272 = VECTOR ( 'NONE', #2224, 1000.000000000000000 ) ; +#1273 = EDGE_CURVE ( 'NONE', #395, #1126, #1904, .T. ) ; +#1274 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1275 = ORIENTED_EDGE ( 'NONE', *, *, #2652, .T. ) ; +#1276 = ORIENTED_EDGE ( 'NONE', *, *, #546, .T. ) ; +#1277 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, -0.2999999999999999889, 0.9999999999999997780 ) ) ; +#1278 = ADVANCED_FACE ( 'NONE', ( #753 ), #1758, .T. ) ; +#1279 = ORIENTED_EDGE ( 'NONE', *, *, #2029, .T. ) ; +#1280 = EDGE_CURVE ( 'NONE', #604, #1224, #1474, .T. ) ; +#1281 = EDGE_CURVE ( 'NONE', #153, #394, #1192, .T. ) ; +#1282 = ORIENTED_EDGE ( 'NONE', *, *, #688, .T. ) ; +#1283 = EDGE_LOOP ( 'NONE', ( #262, #2796, #1844, #1921 ) ) ; +#1284 = VERTEX_POINT ( 'NONE', #2665 ) ; +#1285 = ORIENTED_EDGE ( 'NONE', *, *, #2641, .T. ) ; +#1286 = CARTESIAN_POINT ( 'NONE', ( -9.699999999999999289, -6.000000000000000000, -11.70000000000000462 ) ) ; +#1287 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#1288 = ORIENTED_EDGE ( 'NONE', *, *, #636, .T. ) ; +#1289 = ORIENTED_EDGE ( 'NONE', *, *, #2058, .T. ) ; +#1290 = ORIENTED_EDGE ( 'NONE', *, *, #552, .T. ) ; +#1291 = EDGE_LOOP ( 'NONE', ( #2018, #364, #55, #2457 ) ) ; +#1292 = ORIENTED_EDGE ( 'NONE', *, *, #1123, .F. ) ; +#1293 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1294 = ADVANCED_FACE ( 'NONE', ( #2684 ), #620, .T. ) ; +#1295 = APPROVAL_DATE_TIME ( #27, #1768 ) ; +#1296 = AXIS2_PLACEMENT_3D ( 'NONE', #1977, #1773, #483 ) ; +#1297 = EDGE_CURVE ( 'NONE', #1786, #260, #2276, .T. ) ; +#1298 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#1299 = PLANE ( 'NONE', #1083 ) ; +#1300 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1301 = TOROIDAL_SURFACE ( 'NONE', #1056, 0.7999999999999969358, 0.7000000000000000666 ) ; +#1302 = PLANE ( 'NONE', #70 ) ; +#1303 = AXIS2_PLACEMENT_3D ( 'NONE', #2339, #176, #1687 ) ; +#1304 = VECTOR ( 'NONE', #1846, 1000.000000000000000 ) ; +#1305 = CYLINDRICAL_SURFACE ( 'NONE', #273, 1.499999999999997780 ) ; +#1306 = VECTOR ( 'NONE', #2295, 1000.000000000000000 ) ; +#1307 = VECTOR ( 'NONE', #2324, 1000.000000000000000 ) ; +#1308 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1309 = CARTESIAN_POINT ( 'NONE', ( 9.999999999999998224, -2.200000000000000178, -8.150000000000000355 ) ) ; +#1310 = VERTEX_POINT ( 'NONE', #2673 ) ; +#1311 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1312 = AXIS2_PLACEMENT_3D ( 'NONE', #929, #520, #1357 ) ; +#1313 = VERTEX_POINT ( 'NONE', #2656 ) ; +#1314 = EDGE_LOOP ( 'NONE', ( #1971, #454 ) ) ; +#1315 = VERTEX_POINT ( 'NONE', #523 ) ; +#1316 = ORIENTED_EDGE ( 'NONE', *, *, #2426, .T. ) ; +#1317 = CARTESIAN_POINT ( 'NONE', ( -5.500000000000001776, -7.000000000000000000, 13.20000000000000284 ) ) ; +#1318 = VERTEX_POINT ( 'NONE', #708 ) ; +#1319 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1320 = ORIENTED_EDGE ( 'NONE', *, *, #346, .T. ) ; +#1321 = ORIENTED_EDGE ( 'NONE', *, *, #19, .T. ) ; +#1322 = EDGE_LOOP ( 'NONE', ( #2014, #1080, #2181, #216 ) ) ; +#1323 = ORIENTED_EDGE ( 'NONE', *, *, #1109, .T. ) ; +#1324 = CARTESIAN_POINT ( 'NONE', ( 3.823076896299276495, -0.2999999999999999889, -1.176470588235294601 ) ) ; +#1325 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, -7.000000000000000000, 0.0000000000000000000 ) ) ; +#1326 = AXIS2_PLACEMENT_3D ( 'NONE', #1222, #2326, #2060 ) ; +#1327 = EDGE_CURVE ( 'NONE', #1079, #2696, #1337, .T. ) ; +#1328 = VECTOR ( 'NONE', #2594, 1000.000000000000000 ) ; +#1329 = LINE ( 'NONE', #782, #1757 ) ; +#1330 = PLANE ( 'NONE', #1845 ) ; +#1331 = VECTOR ( 'NONE', #2625, 1000.000000000000000 ) ; +#1332 = CYLINDRICAL_SURFACE ( 'NONE', #400, 0.6499999999999995781 ) ; +#1333 = EDGE_CURVE ( 'NONE', #1517, #1454, #181, .T. ) ; +#1334 = AXIS2_PLACEMENT_3D ( 'NONE', #440, #432, #649 ) ; +#1335 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1336 = FACE_OUTER_BOUND ( 'NONE', #727, .T. ) ; +#1337 = CIRCLE ( 'NONE', #99, 1.000000000000000888 ) ; +#1338 = CYLINDRICAL_SURFACE ( 'NONE', #1953, 4.000000000000000888 ) ; +#1339 = PLANE ( 'NONE', #2008 ) ; +#1340 = VERTEX_POINT ( 'NONE', #773 ) ; +#1341 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#1342 = CARTESIAN_POINT ( 'NONE', ( 7.850000000000001421, -0.2999999999999999889, -0.3500000000000004774 ) ) ; +#1343 = ORIENTED_EDGE ( 'NONE', *, *, #742, .F. ) ; +#1344 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1345 = CC_DESIGN_APPROVAL ( #1768, ( #815 ) ) ; +#1346 = ORIENTED_EDGE ( 'NONE', *, *, #2099, .F. ) ; +#1347 = ORIENTED_EDGE ( 'NONE', *, *, #1866, .T. ) ; +#1348 = PLANE ( 'NONE', #885 ) ; +#1349 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1350 = ORIENTED_EDGE ( 'NONE', *, *, #1504, .T. ) ; +#1351 = CARTESIAN_POINT ( 'NONE', ( -9.699999999999997513, -1.000000000000000000, -11.70000000000000284 ) ) ; +#1352 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1353 = ORIENTED_EDGE ( 'NONE', *, *, #2622, .F. ) ; +#1354 = ORIENTED_EDGE ( 'NONE', *, *, #308, .F. ) ; +#1355 = EDGE_CURVE ( 'NONE', #845, #2778, #756, .T. ) ; +#1356 = ORIENTED_EDGE ( 'NONE', *, *, #249, .F. ) ; +#1357 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1358 = LINE ( 'NONE', #57, #1924 ) ; +#1359 = CARTESIAN_POINT ( 'NONE', ( -9.999999999999996447, -1.000000000000000000, -11.70000000000000284 ) ) ; +#1360 = AXIS2_PLACEMENT_3D ( 'NONE', #26, #2149, #669 ) ; +#1361 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, -0.2999999999999999889, 11.00000000000000000 ) ) ; +#1362 = VECTOR ( 'NONE', #1421, 1000.000000000000000 ) ; +#1363 = EDGE_CURVE ( 'NONE', #1951, #2420, #676, .T. ) ; +#1364 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1365 = VECTOR ( 'NONE', #1228, 1000.000000000000000 ) ; +#1366 = VECTOR ( 'NONE', #2752, 1000.000000000000000 ) ; +#1367 = CIRCLE ( 'NONE', #446, 1.000000000000000888 ) ; +#1368 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104416882E-16 ) ) ; +#1369 = EDGE_CURVE ( 'NONE', #2694, #124, #2353, .T. ) ; +#1370 = FACE_OUTER_BOUND ( 'NONE', #574, .T. ) ; +#1371 = DIRECTION ( 'NONE', ( 9.637352644315596722E-16, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1372 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1373 = ORIENTED_EDGE ( 'NONE', *, *, #2661, .T. ) ; +#1374 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1375 = ORIENTED_EDGE ( 'NONE', *, *, #893, .F. ) ; +#1376 = AXIS2_PLACEMENT_3D ( 'NONE', #993, #339, #1876 ) ; +#1377 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1378 = ORIENTED_EDGE ( 'NONE', *, *, #1177, .T. ) ; +#1379 = ORIENTED_EDGE ( 'NONE', *, *, #337, .F. ) ; +#1380 = MECHANICAL_CONTEXT ( 'NONE', #1999, 'mechanical' ) ; +#1381 = CARTESIAN_POINT ( 'NONE', ( 8.999999999999998224, -1.000000000000000000, -11.70000000000000462 ) ) ; +#1382 = CARTESIAN_POINT ( 'NONE', ( 9.999999999999998224, -2.200000000000000178, -7.500000000000001776 ) ) ; +#1383 = ORIENTED_EDGE ( 'NONE', *, *, #1906, .T. ) ; +#1384 = ORIENTED_EDGE ( 'NONE', *, *, #492, .T. ) ; +#1385 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, -6.000000000000000000, 11.70000000000000107 ) ) ; +#1386 = ORIENTED_EDGE ( 'NONE', *, *, #1185, .T. ) ; +#1387 = CARTESIAN_POINT ( 'NONE', ( 9.699999999999999289, 0.0000000000000000000, -11.70000000000000462 ) ) ; +#1388 = AXIS2_PLACEMENT_3D ( 'NONE', #2368, #187, #2127 ) ; +#1389 = ORIENTED_EDGE ( 'NONE', *, *, #2214, .T. ) ; +#1390 = CARTESIAN_POINT ( 'NONE', ( 9.000000000000001776, -1.000000000000000000, 11.70000000000000107 ) ) ; +#1391 = VERTEX_POINT ( 'NONE', #1664 ) ; +#1392 = DATE_AND_TIME ( #232, #2374 ) ; +#1393 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#1394 = LINE ( 'NONE', #2193, #1831 ) ; +#1395 = CARTESIAN_POINT ( 'NONE', ( -3.823076896299276051, -0.2999999999999999889, 1.176470588235294823 ) ) ; +#1396 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1397 = AXIS2_PLACEMENT_3D ( 'NONE', #744, #982, #72 ) ; +#1398 = FACE_BOUND ( 'NONE', #2371, .T. ) ; +#1399 = CC_DESIGN_DATE_AND_TIME_ASSIGNMENT ( #746, #1587, ( #1199 ) ) ; +#1400 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1401 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1402 = FACE_OUTER_BOUND ( 'NONE', #1213, .T. ) ; +#1403 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000001066, 0.0000000000000000000, -11.70000000000000284 ) ) ; +#1404 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052850492E-41, -1.779203565104417128E-16 ) ) ; +#1405 = LINE ( 'NONE', #779, #755 ) ; +#1406 = VECTOR ( 'NONE', #1491, 1000.000000000000000 ) ; +#1407 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -2.200000000000104983, 7.500000000000001776 ) ) ; +#1408 = VECTOR ( 'NONE', #76, 1000.000000000000000 ) ; +#1409 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -6.000000000000000000, 13.20000000000000107 ) ) ; +#1410 = ADVANCED_FACE ( 'NONE', ( #625 ), #590, .F. ) ; +#1411 = ORIENTED_EDGE ( 'NONE', *, *, #1849, .F. ) ; +#1412 = CARTESIAN_POINT ( 'NONE', ( 6.500000000000002665, -6.000000000000000000, -13.19999999999999751 ) ) ; +#1413 = EDGE_CURVE ( 'NONE', #2112, #2158, #277, .T. ) ; +#1414 = ORIENTED_EDGE ( 'NONE', *, *, #2614, .F. ) ; +#1415 = ORIENTED_EDGE ( 'NONE', *, *, #346, .F. ) ; +#1416 = ORIENTED_EDGE ( 'NONE', *, *, #1649, .F. ) ; +#1417 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#1418 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1419 = ORIENTED_EDGE ( 'NONE', *, *, #492, .F. ) ; +#1420 = EDGE_CURVE ( 'NONE', #1071, #2362, #789, .T. ) ; +#1421 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#1422 = VERTEX_POINT ( 'NONE', #1453 ) ; +#1423 = CARTESIAN_POINT ( 'NONE', ( -9.699999999999999289, -2.200000000000000178, -7.499999999999998224 ) ) ; +#1424 = ADVANCED_FACE ( 'NONE', ( #385, #2582, #954 ), #2529, .F. ) ; +#1425 = ORIENTED_EDGE ( 'NONE', *, *, #1899, .T. ) ; +#1426 = ORIENTED_EDGE ( 'NONE', *, *, #2202, .T. ) ; +#1427 = EDGE_CURVE ( 'NONE', #1074, #2223, #211, .T. ) ; +#1428 = ORIENTED_EDGE ( 'NONE', *, *, #2709, .F. ) ; +#1429 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1430 = EDGE_CURVE ( 'NONE', #2460, #2491, #860, .T. ) ; +#1431 = FACE_OUTER_BOUND ( 'NONE', #112, .T. ) ; +#1432 = FACE_OUTER_BOUND ( 'NONE', #2292, .T. ) ; +#1433 = VECTOR ( 'NONE', #1368, 1000.000000000000000 ) ; +#1434 = CARTESIAN_POINT ( 'NONE', ( 7.850000000000001421, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1435 = CARTESIAN_POINT ( 'NONE', ( 3.823076896299276495, 0.0000000000000000000, -1.176470588235294601 ) ) ; +#1436 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1437 = FACE_OUTER_BOUND ( 'NONE', #1005, .T. ) ; +#1438 = FACE_OUTER_BOUND ( 'NONE', #908, .T. ) ; +#1439 = AXIS2_PLACEMENT_3D ( 'NONE', #2423, #290, #919 ) ; +#1440 = EDGE_CURVE ( 'NONE', #2694, #1096, #1995, .T. ) ; +#1441 = CIRCLE ( 'NONE', #2597, 0.7000000000000009548 ) ; +#1442 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#1443 = ORIENTED_EDGE ( 'NONE', *, *, #1614, .F. ) ; +#1444 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1445 = AXIS2_PLACEMENT_3D ( 'NONE', #141, #2706, #1017 ) ; +#1446 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1447 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1448 = ORIENTED_EDGE ( 'NONE', *, *, #552, .F. ) ; +#1449 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1450 = ORIENTED_EDGE ( 'NONE', *, *, #844, .F. ) ; +#1451 = CARTESIAN_POINT ( 'NONE', ( -7.225580516513119278, 0.0000000000000000000, 0.8194444444444446418 ) ) ; +#1452 = EDGE_LOOP ( 'NONE', ( #2704, #2013, #2209, #1482 ) ) ; +#1453 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000001066, -2.200000000000000178, -6.850000000000002309 ) ) ; +#1454 = VERTEX_POINT ( 'NONE', #2731 ) ; +#1455 = ORIENTED_EDGE ( 'NONE', *, *, #1440, .F. ) ; +#1456 = AXIS2_PLACEMENT_3D ( 'NONE', #586, #1287, #203 ) ; +#1457 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1458 = CARTESIAN_POINT ( 'NONE', ( 5.500000000000001776, -7.000000000000000000, -13.19999999999999751 ) ) ; +#1459 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#1460 = ORIENTED_EDGE ( 'NONE', *, *, #1790, .T. ) ; +#1461 = ORIENTED_EDGE ( 'NONE', *, *, #1363, .F. ) ; +#1462 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -1.000000000000000000, 12.50000000000000000 ) ) ; +#1463 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1464 = ADVANCED_FACE ( 'NONE', ( #2030 ), #926, .F. ) ; +#1465 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -1.000000000000000000, 12.50000000000000000 ) ) ; +#1466 = CIRCLE ( 'NONE', #1135, 1.000000000000000888 ) ; +#1467 = FACE_OUTER_BOUND ( 'NONE', #94, .T. ) ; +#1468 = LINE ( 'NONE', #1861, #1366 ) ; +#1469 = VECTOR ( 'NONE', #1092, 1000.000000000000000 ) ; +#1470 = EDGE_CURVE ( 'NONE', #660, #24, #2512, .T. ) ; +#1471 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#1472 = LINE ( 'NONE', #2291, #1838 ) ; +#1473 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000004619, -1.000000000000000000, 13.50000000000000000 ) ) ; +#1474 = LINE ( 'NONE', #2456, #2478 ) ; +#1475 = LINE ( 'NONE', #1957, #1124 ) ; +#1476 = ADVANCED_FACE ( 'NONE', ( #447 ), #1502, .F. ) ; +#1477 = EDGE_LOOP ( 'NONE', ( #1749, #291, #1218, #195 ) ) ; +#1478 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, 0.0000000000000000000, -12.00000000000000000 ) ) ; +#1479 = EDGE_CURVE ( 'NONE', #1923, #231, #2611, .T. ) ; +#1480 = ORIENTED_EDGE ( 'NONE', *, *, #2795, .T. ) ; +#1481 = CARTESIAN_POINT ( 'NONE', ( -3.823076896299275607, -0.2999999999999999889, -1.176470588235294379 ) ) ; +#1482 = ORIENTED_EDGE ( 'NONE', *, *, #1558, .T. ) ; +#1483 = ORIENTED_EDGE ( 'NONE', *, *, #2350, .F. ) ; +#1484 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1485 = EDGE_LOOP ( 'NONE', ( #1356, #1608, #2153, #532 ) ) ; +#1486 = ORIENTED_EDGE ( 'NONE', *, *, #1297, .T. ) ; +#1487 = ADVANCED_FACE ( 'NONE', ( #1438 ), #2500, .T. ) ; +#1488 = ORIENTED_EDGE ( 'NONE', *, *, #2804, .F. ) ; +#1489 = EDGE_CURVE ( 'NONE', #1167, #1672, #1934, .T. ) ; +#1490 = EDGE_LOOP ( 'NONE', ( #2215, #2653, #1460, #2144 ) ) ; +#1491 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1492 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#1493 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1494 = AXIS2_PLACEMENT_3D ( 'NONE', #2557, #615, #2357 ) ; +#1495 = TOROIDAL_SURFACE ( 'NONE', #230, 0.7999999999999986011, 0.7000000000000000666 ) ; +#1496 = CIRCLE ( 'NONE', #2017, 0.6999999999999992895 ) ; +#1497 = EDGE_CURVE ( 'NONE', #845, #660, #2444, .T. ) ; +#1498 = FACE_OUTER_BOUND ( 'NONE', #2650, .T. ) ; +#1499 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, -6.000000000000000000, -13.49999999999999822 ) ) ; +#1500 = CIRCLE ( 'NONE', #2133, 0.2499999999999995837 ) ; +#1501 = ADVANCED_FACE ( 'NONE', ( #996 ), #1870, .T. ) ; +#1502 = CYLINDRICAL_SURFACE ( 'NONE', #2531, 0.6999999999999992895 ) ; +#1503 = CIRCLE ( 'NONE', #425, 0.6499999999999995781 ) ; +#1504 = EDGE_CURVE ( 'NONE', #700, #1313, #144, .T. ) ; +#1505 = EDGE_LOOP ( 'NONE', ( #1323, #2463, #1910, #1107, #2010, #2453, #2000, #1547 ) ) ; +#1506 = VERTEX_POINT ( 'NONE', #879 ) ; +#1507 = PRODUCT ( 'MS270-10F', 'MS270-10F', '', ( #1380 ) ) ; +#1508 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, 4.249114205279856656E-17 ) ) ; +#1509 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1510 = ORIENTED_EDGE ( 'NONE', *, *, #1853, .F. ) ; +#1511 = EDGE_CURVE ( 'NONE', #2003, #428, #2544, .T. ) ; +#1512 = ORIENTED_EDGE ( 'NONE', *, *, #681, .T. ) ; +#1513 = ADVANCED_FACE ( 'NONE', ( #1402 ), #1159, .F. ) ; +#1514 = ORIENTED_EDGE ( 'NONE', *, *, #1420, .F. ) ; +#1515 = EDGE_LOOP ( 'NONE', ( #1285, #1461, #2186, #898 ) ) ; +#1516 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#1517 = VERTEX_POINT ( 'NONE', #1946 ) ; +#1518 = AXIS2_PLACEMENT_3D ( 'NONE', #1423, #2722, #114 ) ; +#1519 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1520 = ORIENTED_EDGE ( 'NONE', *, *, #363, .F. ) ; +#1521 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, -0.2999999999999999889, 0.0000000000000000000 ) ) ; +#1522 = TOROIDAL_SURFACE ( 'NONE', #1715, 0.7999999999999986011, 0.7000000000000000666 ) ; +#1523 = AXIS2_PLACEMENT_3D ( 'NONE', #2303, #391, #2332 ) ; +#1524 = CARTESIAN_POINT ( 'NONE', ( 7.850000000000001421, 0.0000000000000000000, -0.3500000000000004774 ) ) ; +#1525 = FACE_OUTER_BOUND ( 'NONE', #839, .T. ) ; +#1526 = LINE ( 'NONE', #1814, #2643 ) ; +#1527 = VECTOR ( 'NONE', #375, 1000.000000000000000 ) ; +#1528 = LINE ( 'NONE', #2595, #37 ) ; +#1529 = LINE ( 'NONE', #470, #388 ) ; +#1530 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1531 = ORIENTED_EDGE ( 'NONE', *, *, #2782, .T. ) ; +#1532 = AXIS2_PLACEMENT_3D ( 'NONE', #675, #1122, #1349 ) ; +#1533 = ORIENTED_EDGE ( 'NONE', *, *, #2214, .F. ) ; +#1534 = VERTEX_POINT ( 'NONE', #396 ) ; +#1535 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1536 = DIRECTION ( 'NONE', ( -2.965339275174028547E-16, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#1537 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -0.2999999999999999889, 13.20000000000000284 ) ) ; +#1538 = ORIENTED_EDGE ( 'NONE', *, *, #148, .F. ) ; +#1539 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -1.000000000000000000, 11.70000000000000107 ) ) ; +#1540 = ORIENTED_EDGE ( 'NONE', *, *, #971, .F. ) ; +#1541 = EDGE_CURVE ( 'NONE', #576, #427, #418, .T. ) ; +#1542 = VERTEX_POINT ( 'NONE', #1030 ) ; +#1543 = ORIENTED_EDGE ( 'NONE', *, *, #600, .F. ) ; +#1544 = ORIENTED_EDGE ( 'NONE', *, *, #557, .T. ) ; +#1545 = PLANE ( 'NONE', #794 ) ; +#1546 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#1547 = ORIENTED_EDGE ( 'NONE', *, *, #1280, .T. ) ; +#1548 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -2.200000000000104983, 7.500000000000001776 ) ) ; +#1549 = EDGE_CURVE ( 'NONE', #259, #2077, #890, .T. ) ; +#1550 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1551 = FACE_OUTER_BOUND ( 'NONE', #1043, .T. ) ; +#1552 = LINE ( 'NONE', #2465, #1991 ) ; +#1553 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#1554 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -6.000000000000000000, -11.70000000000000284 ) ) ; +#1555 = VECTOR ( 'NONE', #706, 1000.000000000000000 ) ; +#1556 = EDGE_CURVE ( 'NONE', #488, #404, #2383, .T. ) ; +#1557 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#1558 = EDGE_CURVE ( 'NONE', #800, #431, #559, .T. ) ; +#1559 = CARTESIAN_POINT ( 'NONE', ( -7.850000000000001421, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#1560 = LINE ( 'NONE', #998, #1027 ) ; +#1561 = FACE_OUTER_BOUND ( 'NONE', #1477, .T. ) ; +#1562 = FACE_OUTER_BOUND ( 'NONE', #2724, .T. ) ; +#1563 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1564 = VERTEX_POINT ( 'NONE', #1180 ) ; +#1565 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, -0.2999999999999999889, 11.00000000000000000 ) ) ; +#1566 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#1567 = ORIENTED_EDGE ( 'NONE', *, *, #681, .F. ) ; +#1568 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1569 = AXIS2_PLACEMENT_3D ( 'NONE', #1554, #940, #910 ) ; +#1570 = ORIENTED_EDGE ( 'NONE', *, *, #215, .F. ) ; +#1571 = CARTESIAN_POINT ( 'NONE', ( -7.850000000000001421, 0.0000000000000000000, -0.3500000000000004774 ) ) ; +#1572 = EDGE_CURVE ( 'NONE', #2696, #894, #1121, .T. ) ; +#1573 = VERTEX_POINT ( 'NONE', #2461 ) ; +#1574 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1575 = ORIENTED_EDGE ( 'NONE', *, *, #1065, .F. ) ; +#1576 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1577 = EDGE_LOOP ( 'NONE', ( #2632, #1000, #834, #2527 ) ) ; +#1578 = PLANE ( 'NONE', #833 ) ; +#1579 = PLANE ( 'NONE', #1722 ) ; +#1580 = PRODUCT_DEFINITION_SHAPE ( 'NONE', 'NONE', #1199 ) ; +#1581 = ORIENTED_EDGE ( 'NONE', *, *, #1440, .T. ) ; +#1582 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1583 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1584 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1585 = AXIS2_PLACEMENT_3D ( 'NONE', #2068, #2720, #1219 ) ; +#1586 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1587 = DATE_TIME_ROLE ( 'creation_date' ) ; +#1588 = CIRCLE ( 'NONE', #2201, 4.000000000000000888 ) ; +#1589 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#1590 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1591 = VECTOR ( 'NONE', #2415, 1000.000000000000000 ) ; +#1592 = CIRCLE ( 'NONE', #1388, 0.6499999999999995781 ) ; +#1593 = LINE ( 'NONE', #537, #2141 ) ; +#1594 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104417128E-16 ) ) ; +#1595 = CARTESIAN_POINT ( 'NONE', ( 6.985419176710472300, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#1596 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1597 = LINE ( 'NONE', #1905, #2477 ) ; +#1598 = CIRCLE ( 'NONE', #299, 0.6999999999999992895 ) ; +#1599 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, 0.0000000000000000000, 12.50000000000000178 ) ) ; +#1600 = LINE ( 'NONE', #2470, #2111 ) ; +#1601 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -0.2999999999999999889, -11.70000000000000284 ) ) ; +#1602 = ORIENTED_EDGE ( 'NONE', *, *, #888, .F. ) ; +#1603 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, -0.2999999999999999889, 12.00000000000000000 ) ) ; +#1604 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#1605 = VERTEX_POINT ( 'NONE', #549 ) ; +#1606 = EDGE_CURVE ( 'NONE', #295, #611, #2200, .T. ) ; +#1607 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1608 = ORIENTED_EDGE ( 'NONE', *, *, #1939, .T. ) ; +#1609 = CARTESIAN_POINT ( 'NONE', ( 10.00000000000000178, -1.000000000000000000, 11.70000000000000107 ) ) ; +#1610 = CARTESIAN_POINT ( 'NONE', ( 9.000000000000001776, -1.000000000000000000, 11.70000000000000107 ) ) ; +#1611 = ADVANCED_FACE ( 'NONE', ( #1561 ), #480, .F. ) ; +#1612 = VERTEX_POINT ( 'NONE', #943 ) ; +#1613 = ORIENTED_EDGE ( 'NONE', *, *, #1988, .T. ) ; +#1614 = EDGE_CURVE ( 'NONE', #2003, #1517, #2719, .T. ) ; +#1615 = CARTESIAN_POINT ( 'NONE', ( 5.500000000000001776, -7.000000000000000000, -13.19999999999999751 ) ) ; +#1616 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, -0.2999999999999999889, -0.7500000000000000000 ) ) ; +#1617 = EDGE_CURVE ( 'NONE', #1126, #871, #2789, .T. ) ; +#1618 = ORIENTED_EDGE ( 'NONE', *, *, #2795, .F. ) ; +#1619 = ORIENTED_EDGE ( 'NONE', *, *, #1511, .T. ) ; +#1620 = ORIENTED_EDGE ( 'NONE', *, *, #1606, .F. ) ; +#1621 = FACE_OUTER_BOUND ( 'NONE', #161, .T. ) ; +#1622 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1623 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104417128E-16 ) ) ; +#1624 = ADVANCED_FACE ( 'NONE', ( #1091 ), #1578, .T. ) ; +#1625 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1626 = LINE ( 'NONE', #955, #513 ) ; +#1627 = EDGE_CURVE ( 'NONE', #2128, #2616, #1367, .T. ) ; +#1628 = VECTOR ( 'NONE', #2052, 1000.000000000000000 ) ; +#1629 = FACE_OUTER_BOUND ( 'NONE', #1812, .T. ) ; +#1630 = VECTOR ( 'NONE', #1232, 1000.000000000000000 ) ; +#1631 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1632 = LINE ( 'NONE', #979, #596 ) ; +#1633 = CARTESIAN_POINT ( 'NONE', ( -9.700000000000002842, -2.200000000000104983, 8.150000000000000355 ) ) ; +#1634 = EDGE_LOOP ( 'NONE', ( #2449, #685, #2328, #1543 ) ) ; +#1635 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -2.200000000000104983, 6.850000000000002309 ) ) ; +#1636 = ORIENTED_EDGE ( 'NONE', *, *, #2189, .F. ) ; +#1637 = EDGE_CURVE ( 'NONE', #1248, #872, #2067, .T. ) ; +#1638 = ADVANCED_FACE ( 'NONE', ( #350 ), #1727, .T. ) ; +#1639 = ORIENTED_EDGE ( 'NONE', *, *, #1637, .T. ) ; +#1640 = ORIENTED_EDGE ( 'NONE', *, *, #2682, .F. ) ; +#1641 = AXIS2_PLACEMENT_3D ( 'NONE', #935, #1980, #284 ) ; +#1642 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#1643 = ORIENTED_EDGE ( 'NONE', *, *, #1950, .T. ) ; +#1644 = EDGE_CURVE ( 'NONE', #165, #1454, #1593, .T. ) ; +#1645 = CARTESIAN_POINT ( 'NONE', ( 7.850000000000001421, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#1646 = ORIENTED_EDGE ( 'NONE', *, *, #1227, .T. ) ; +#1647 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -2.200000000000104983, 6.850000000000002309 ) ) ; +#1648 = ORIENTED_EDGE ( 'NONE', *, *, #557, .F. ) ; +#1649 = EDGE_CURVE ( 'NONE', #941, #468, #2239, .T. ) ; +#1650 = VERTEX_POINT ( 'NONE', #2244 ) ; +#1651 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#1652 = ADVANCED_FACE ( 'NONE', ( #652 ), #2317, .T. ) ; +#1653 = CARTESIAN_POINT ( 'NONE', ( -6.500000000000002665, -7.000000000000000000, 13.50000000000000355 ) ) ; +#1654 = PERSON_AND_ORGANIZATION ( #2573, #301 ) ; +#1655 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, 0.0000000000000000000, 11.70000000000000107 ) ) ; +#1656 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000002842, 0.0000000000000000000, 11.70000000000000107 ) ) ; +#1657 = TOROIDAL_SURFACE ( 'NONE', #2056, 0.7999999999999969358, 1.000000000000000000 ) ; +#1658 = FACE_BOUND ( 'NONE', #1054, .T. ) ; +#1659 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1660 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, -0.2999999999999999889, -11.00000000000000000 ) ) ; +#1661 = LINE ( 'NONE', #2075, #2381 ) ; +#1662 = VECTOR ( 'NONE', #441, 1000.000000000000000 ) ; +#1663 = VECTOR ( 'NONE', #2151, 1000.000000000000000 ) ; +#1664 = CARTESIAN_POINT ( 'NONE', ( 10.00000000000000178, -6.000000000000000000, 11.70000000000000107 ) ) ; +#1665 = ADVANCED_FACE ( 'NONE', ( #2750 ), #1332, .F. ) ; +#1666 = LINE ( 'NONE', #202, #1406 ) ; +#1667 = FACE_OUTER_BOUND ( 'NONE', #1452, .T. ) ; +#1668 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#1669 = EDGE_CURVE ( 'NONE', #1542, #1673, #516, .T. ) ; +#1670 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#1671 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, 0.0000000000000000000, -11.00000000000000000 ) ) ; +#1672 = VERTEX_POINT ( 'NONE', #747 ) ; +#1673 = VERTEX_POINT ( 'NONE', #2264 ) ; +#1674 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1675 = ORIENTED_EDGE ( 'NONE', *, *, #162, .T. ) ; +#1676 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000001066, -6.000000000000000000, -11.70000000000000284 ) ) ; +#1677 = EDGE_LOOP ( 'NONE', ( #1567, #14, #2518, #1347 ) ) ; +#1678 = AXIS2_PLACEMENT_3D ( 'NONE', #1200, #722, #1586 ) ; +#1679 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000002842, -6.000000000000000000, 11.70000000000000107 ) ) ; +#1680 = CARTESIAN_POINT ( 'NONE', ( 9.000000000000001776, -0.2999999999999999889, 11.70000000000000107 ) ) ; +#1681 = ADVANCED_FACE ( 'NONE', ( #1796 ), #2254, .F. ) ; +#1682 = AXIS2_PLACEMENT_3D ( 'NONE', #529, #1372, #504 ) ; +#1683 = ORIENTED_EDGE ( 'NONE', *, *, #508, .F. ) ; +#1684 = ORIENTED_EDGE ( 'NONE', *, *, #2069, .T. ) ; +#1685 = ORIENTED_EDGE ( 'NONE', *, *, #1811, .F. ) ; +#1686 = ORIENTED_EDGE ( 'NONE', *, *, #1754, .F. ) ; +#1687 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1688 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000533, -2.200000000000000178, -6.849999999999998757 ) ) ; +#1689 = LINE ( 'NONE', #2157, #1328 ) ; +#1690 = EDGE_CURVE ( 'NONE', #330, #1612, #1724, .T. ) ; +#1691 = TOROIDAL_SURFACE ( 'NONE', #720, 0.7999999999999986011, 1.000000000000000000 ) ; +#1692 = ADVANCED_FACE ( 'NONE', ( #175 ), #754, .T. ) ; +#1693 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#1694 = AXIS2_PLACEMENT_3D ( 'NONE', #2289, #1622, #1194 ) ; +#1695 = CYLINDRICAL_SURFACE ( 'NONE', #776, 1.000000000000000888 ) ; +#1696 = EDGE_CURVE ( 'NONE', #941, #603, #678, .T. ) ; +#1697 = CYLINDRICAL_SURFACE ( 'NONE', #498, 0.6499999999999995781 ) ; +#1698 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -9.637352644315596722E-16 ) ) ; +#1699 = FACE_BOUND ( 'NONE', #433, .T. ) ; +#1700 = VECTOR ( 'NONE', #1261, 1000.000000000000000 ) ; +#1701 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#1702 = CARTESIAN_POINT ( 'NONE', ( -9.699999999999997513, -0.2999999999999999889, -11.70000000000000284 ) ) ; +#1703 = ORIENTED_EDGE ( 'NONE', *, *, #337, .T. ) ; +#1704 = EDGE_CURVE ( 'NONE', #488, #59, #2410, .T. ) ; +#1705 = EDGE_LOOP ( 'NONE', ( #1675, #1514, #2599, #2387 ) ) ; +#1706 = CARTESIAN_POINT ( 'NONE', ( -8.200000000000001066, -0.2999999999999999334, -12.49999999999999645 ) ) ; +#1707 = ADVANCED_FACE ( 'NONE', ( #1867 ), #1267, .F. ) ; +#1708 = EDGE_LOOP ( 'NONE', ( #464, #1179, #438, #2768, #2700, #220, #1108, #1353 ) ) ; +#1709 = ORIENTED_EDGE ( 'NONE', *, *, #881, .F. ) ; +#1710 = CARTESIAN_POINT ( 'NONE', ( -9.699999999999999289, 0.0000000000000000000, -11.70000000000000462 ) ) ; +#1711 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#1712 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1713 = ORIENTED_EDGE ( 'NONE', *, *, #2079, .F. ) ; +#1714 = EDGE_LOOP ( 'NONE', ( #2283, #2257, #1767, #399 ) ) ; +#1715 = AXIS2_PLACEMENT_3D ( 'NONE', #2626, #900, #1763 ) ; +#1716 = ORIENTED_EDGE ( 'NONE', *, *, #1123, .T. ) ; +#1717 = EDGE_CURVE ( 'NONE', #295, #2558, #921, .T. ) ; +#1718 = ORIENTED_EDGE ( 'NONE', *, *, #1266, .T. ) ; +#1719 = PLANE ( 'NONE', #2606 ) ; +#1720 = LINE ( 'NONE', #1609, #707 ) ; +#1721 = CARTESIAN_POINT ( 'NONE', ( -6.500000000000002665, -7.000000000000000000, 13.20000000000000284 ) ) ; +#1722 = AXIS2_PLACEMENT_3D ( 'NONE', #1150, #476, #2245 ) ; +#1723 = CIRCLE ( 'NONE', #1532, 1.500000000000003109 ) ; +#1724 = LINE ( 'NONE', #2064, #650 ) ; +#1725 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#1726 = CIRCLE ( 'NONE', #738, 1.499999999999999556 ) ; +#1727 = CYLINDRICAL_SURFACE ( 'NONE', #598, 0.2500000000000000000 ) ; +#1728 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104416882E-16 ) ) ; +#1729 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1730 = AXIS2_PLACEMENT_3D ( 'NONE', #2105, #2761, #139 ) ; +#1731 = FACE_OUTER_BOUND ( 'NONE', #1822, .T. ) ; +#1732 = LINE ( 'NONE', #2131, #822 ) ; +#1733 = ADVANCED_FACE ( 'NONE', ( #1498 ), #787, .F. ) ; +#1734 = LINE ( 'NONE', #52, #2686 ) ; +#1735 = ORIENTED_EDGE ( 'NONE', *, *, #1572, .F. ) ; +#1736 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1737 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1738 = VERTEX_POINT ( 'NONE', #2505 ) ; +#1739 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -0.2999999999999999334, 12.50000000000000000 ) ) ; +#1740 = ORIENTED_EDGE ( 'NONE', *, *, #2517, .T. ) ; +#1741 = EDGE_CURVE ( 'NONE', #2146, #663, #2510, .T. ) ; +#1742 = EDGE_LOOP ( 'NONE', ( #188, #1211, #2760, #1788 ) ) ; +#1743 = CARTESIAN_POINT ( 'NONE', ( -8.999999999999996447, -1.000000000000000000, -11.70000000000000284 ) ) ; +#1744 = ORIENTED_EDGE ( 'NONE', *, *, #1470, .T. ) ; +#1745 = AXIS2_PLACEMENT_3D ( 'NONE', #2469, #2082, #1997 ) ; +#1746 = ORIENTED_EDGE ( 'NONE', *, *, #2246, .F. ) ; +#1747 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1748 = EDGE_CURVE ( 'NONE', #92, #155, #1836, .T. ) ; +#1749 = ORIENTED_EDGE ( 'NONE', *, *, #1748, .T. ) ; +#1750 = ORIENTED_EDGE ( 'NONE', *, *, #95, .F. ) ; +#1751 = COORDINATED_UNIVERSAL_TIME_OFFSET ( 2, 0, .AHEAD. ) ; +#1752 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#1753 = LINE ( 'NONE', #2805, #2233 ) ; +#1754 = EDGE_CURVE ( 'NONE', #2663, #403, #104, .T. ) ; +#1755 = AXIS2_PLACEMENT_3D ( 'NONE', #2540, #2759, #2114 ) ; +#1756 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1757 = VECTOR ( 'NONE', #632, 1000.000000000000000 ) ; +#1758 = CYLINDRICAL_SURFACE ( 'NONE', #210, 0.2500000000000000000 ) ; +#1759 = LINE ( 'NONE', #300, #1555 ) ; +#1760 = FACE_OUTER_BOUND ( 'NONE', #41, .T. ) ; +#1761 = FACE_OUTER_BOUND ( 'NONE', #1322, .T. ) ; +#1762 = CARTESIAN_POINT ( 'NONE', ( -9.999999999999998224, -6.000000000000000000, -11.70000000000000462 ) ) ; +#1763 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1764 = VECTOR ( 'NONE', #2012, 1000.000000000000000 ) ; +#1765 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, -0.2999999999999999889, -1.250000000000000000 ) ) ; +#1766 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104417128E-16 ) ) ; +#1767 = ORIENTED_EDGE ( 'NONE', *, *, #536, .T. ) ; +#1768 = APPROVAL ( #699, 'UNSPECIFIED' ) ; +#1769 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1770 = ORIENTED_EDGE ( 'NONE', *, *, #1797, .T. ) ; +#1771 = EDGE_LOOP ( 'NONE', ( #1145, #298, #1940, #2600 ) ) ; +#1772 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#1773 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1774 = AXIS2_PLACEMENT_3D ( 'NONE', #2341, #1229, #140 ) ; +#1775 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1776 = VERTEX_POINT ( 'NONE', #2258 ) ; +#1777 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1778 = EDGE_LOOP ( 'NONE', ( #1141, #1292, #1512, #2160 ) ) ; +#1779 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1780 = EDGE_LOOP ( 'NONE', ( #1450, #1443, #1619, #1978 ) ) ; +#1781 = EDGE_CURVE ( 'NONE', #2128, #1248, #1061, .T. ) ; +#1782 = EDGE_LOOP ( 'NONE', ( #2119, #736, #2400, #461 ) ) ; +#1783 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1784 = EDGE_LOOP ( 'NONE', ( #1184, #1735, #705, #1378 ) ) ; +#1785 = CARTESIAN_POINT ( 'NONE', ( -8.200000000000001066, 0.0000000000000000000, -13.19999999999999751 ) ) ; +#1786 = VERTEX_POINT ( 'NONE', #757 ) ; +#1787 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1788 = ORIENTED_EDGE ( 'NONE', *, *, #843, .T. ) ; +#1789 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1790 = EDGE_CURVE ( 'NONE', #724, #2487, #2786, .T. ) ; +#1791 = PERSON_AND_ORGANIZATION ( #2573, #301 ) ; +#1792 = FACE_OUTER_BOUND ( 'NONE', #936, .T. ) ; +#1793 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -2.200000000000104983, 8.150000000000000355 ) ) ; +#1794 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1795 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1796 = FACE_OUTER_BOUND ( 'NONE', #1911, .T. ) ; +#1797 = EDGE_CURVE ( 'NONE', #394, #1014, #2473, .T. ) ; +#1798 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000001066, -6.000000000000000000, -11.70000000000000284 ) ) ; +#1799 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( .MILLI., .METRE. ) ); +#1800 = CIRCLE ( 'NONE', #1922, 0.2499999999999995837 ) ; +#1801 = VECTOR ( 'NONE', #801, 1000.000000000000000 ) ; +#1802 = CYLINDRICAL_SURFACE ( 'NONE', #168, 0.6999999999999992895 ) ; +#1803 = AXIS2_PLACEMENT_3D ( 'NONE', #133, #578, #2308 ) ; +#1804 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#1805 = VERTEX_POINT ( 'NONE', #2267 ) ; +#1806 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1807 = ORIENTED_EDGE ( 'NONE', *, *, #933, .T. ) ; +#1808 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#1809 = PERSON_AND_ORGANIZATION_ROLE ( 'creator' ) ; +#1810 = EDGE_LOOP ( 'NONE', ( #1254, #401, #256, #58 ) ) ; +#1811 = EDGE_CURVE ( 'NONE', #227, #23, #1087, .T. ) ; +#1812 = EDGE_LOOP ( 'NONE', ( #831, #85, #1130, #224 ) ) ; +#1813 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#1814 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000004619, -6.000000000000000000, 13.50000000000000000 ) ) ; +#1815 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000001066, -6.000000000000000000, -11.70000000000000284 ) ) ; +#1816 = EDGE_LOOP ( 'NONE', ( #1041, #564, #1343, #1146 ) ) ; +#1817 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#1818 = APPROVAL_ROLE ( '' ) ; +#1819 = EDGE_LOOP ( 'NONE', ( #535, #1879, #1983, #1684 ) ) ; +#1820 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 9.637352644315578973E-16 ) ) ; +#1821 = ORIENTED_EDGE ( 'NONE', *, *, #1617, .F. ) ; +#1822 = EDGE_LOOP ( 'NONE', ( #2793, #775, #334, #146 ) ) ; +#1823 = PLANE ( 'NONE', #2219 ) ; +#1824 = CALENDAR_DATE ( 2020, 5, 11 ) ; +#1825 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1826 = ADVANCED_FACE ( 'NONE', ( #1658, #2311, #2441 ), #1823, .T. ) ; +#1827 = AXIS2_PLACEMENT_3D ( 'NONE', #805, #2331, #1457 ) ; +#1828 = AXIS2_PLACEMENT_3D ( 'NONE', #658, #1116, #914 ) ; +#1829 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1830 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1831 = VECTOR ( 'NONE', #903, 1000.000000000000000 ) ; +#1832 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1833 = CARTESIAN_POINT ( 'NONE', ( -4.062019202317980415, -0.2999999999999999889, 1.000000000000000444 ) ) ; +#1834 = VECTOR ( 'NONE', #1817, 1000.000000000000000 ) ; +#1835 = CYLINDRICAL_SURFACE ( 'NONE', #975, 0.6499999999999995781 ) ; +#1836 = CIRCLE ( 'NONE', #1114, 0.6499999999999995781 ) ; +#1837 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104417128E-16 ) ) ; +#1838 = VECTOR ( 'NONE', #361, 1000.000000000000000 ) ; +#1839 = CIRCLE ( 'NONE', #677, 0.8000000000000020428 ) ; +#1840 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1841 = EDGE_CURVE ( 'NONE', #153, #2584, #1902, .T. ) ; +#1842 = LINE ( 'NONE', #608, #2479 ) ; +#1843 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1844 = ORIENTED_EDGE ( 'NONE', *, *, #1227, .F. ) ; +#1845 = AXIS2_PLACEMENT_3D ( 'NONE', #1341, #1535, #2206 ) ; +#1846 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1847 = ORIENTED_EDGE ( 'NONE', *, *, #1040, .F. ) ; +#1848 = PLANE ( 'NONE', #2503 ) ; +#1849 = EDGE_CURVE ( 'NONE', #404, #1786, #2379, .T. ) ; +#1850 = ORIENTED_EDGE ( 'NONE', *, *, #1430, .F. ) ; +#1851 = EDGE_LOOP ( 'NONE', ( #2336, #599, #762, #1602 ) ) ; +#1852 = ORIENTED_EDGE ( 'NONE', *, *, #158, .F. ) ; +#1853 = EDGE_CURVE ( 'NONE', #1605, #1391, #2236, .T. ) ; +#1854 = ADVANCED_FACE ( 'NONE', ( #1155 ), #1695, .T. ) ; +#1855 = ORIENTED_EDGE ( 'NONE', *, *, #2476, .T. ) ; +#1856 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1857 = EDGE_LOOP ( 'NONE', ( #21, #190, #1069, #469, #2072, #198, #798, #1770, #409, #331, #281, #2050, #2218, #938, #641 ) ) ; +#1858 = VERTEX_POINT ( 'NONE', #371 ) ; +#1859 = CARTESIAN_POINT ( 'NONE', ( -4.062019202317980415, -0.2999999999999999889, 1.250000000000000000 ) ) ; +#1860 = COORDINATED_UNIVERSAL_TIME_OFFSET ( 2, 0, .AHEAD. ) ; +#1861 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#1862 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#1863 = CIRCLE ( 'NONE', #419, 0.2499999999999995837 ) ; +#1864 = AXIS2_PLACEMENT_3D ( 'NONE', #2585, #1752, #2179 ) ; +#1865 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1866 = EDGE_CURVE ( 'NONE', #2522, #1139, #715, .T. ) ; +#1867 = FACE_OUTER_BOUND ( 'NONE', #2250, .T. ) ; +#1868 = ADVANCED_FACE ( 'NONE', ( #823 ), #621, .F. ) ; +#1869 = TOROIDAL_SURFACE ( 'NONE', #862, 0.8000000000000020428, 0.7000000000000000666 ) ; +#1870 = CYLINDRICAL_SURFACE ( 'NONE', #2094, 1.000000000000000888 ) ; +#1871 = AXIS2_PLACEMENT_3D ( 'NONE', #1601, #97, #539 ) ; +#1872 = FACE_BOUND ( 'NONE', #1857, .T. ) ; +#1873 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1874 = VERTEX_POINT ( 'NONE', #601 ) ; +#1875 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, 0.0000000000000000000, 0.9999999999999997780 ) ) ; +#1876 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1877 = ORIENTED_EDGE ( 'NONE', *, *, #2129, .F. ) ; +#1878 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1879 = ORIENTED_EDGE ( 'NONE', *, *, #749, .F. ) ; +#1880 = AXIS2_PLACEMENT_3D ( 'NONE', #93, #1607, #1865 ) ; +#1881 = ADVANCED_FACE ( 'NONE', ( #2138 ), #1237, .F. ) ; +#1882 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1883 = EDGE_LOOP ( 'NONE', ( #2205, #1713, #1947, #1245 ) ) ; +#1884 = ORIENTED_EDGE ( 'NONE', *, *, #102, .F. ) ; +#1885 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#1886 = ORIENTED_EDGE ( 'NONE', *, *, #2726, .F. ) ; +#1887 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, -0.2999999999999999889, 11.00000000000000000 ) ) ; +#1888 = DIRECTION ( 'NONE', ( 9.637352644315596722E-16, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1889 = ORIENTED_EDGE ( 'NONE', *, *, #456, .T. ) ; +#1890 = ORIENTED_EDGE ( 'NONE', *, *, #410, .F. ) ; +#1891 = EDGE_CURVE ( 'NONE', #974, #1454, #240, .T. ) ; +#1892 = PERSON_AND_ORGANIZATION ( #2573, #301 ) ; +#1893 = ADVANCED_FACE ( 'NONE', ( #2197 ), #1119, .F. ) ; +#1894 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1895 = CIRCLE ( 'NONE', #1256, 4.000000000000000888 ) ; +#1896 = AXIS2_PLACEMENT_3D ( 'NONE', #306, #725, #2452 ) ; +#1897 = CARTESIAN_POINT ( 'NONE', ( 3.823076896299276495, -0.2999999999999999889, -1.176470588235294601 ) ) ; +#1898 = FACE_OUTER_BOUND ( 'NONE', #2619, .T. ) ; +#1899 = EDGE_CURVE ( 'NONE', #2766, #372, #2348, .T. ) ; +#1900 = CARTESIAN_POINT ( 'NONE', ( 10.00000000000000178, -2.200000000000104983, 7.499999999999998224 ) ) ; +#1901 = VECTOR ( 'NONE', #2396, 1000.000000000000000 ) ; +#1902 = LINE ( 'NONE', #2744, #66 ) ; +#1903 = VECTOR ( 'NONE', #2798, 1000.000000000000000 ) ; +#1904 = CIRCLE ( 'NONE', #2771, 0.6499999999999995781 ) ; +#1905 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -6.000000000000000000, 11.70000000000000107 ) ) ; +#1906 = EDGE_CURVE ( 'NONE', #60, #1612, #1759, .T. ) ; +#1907 = FACE_OUTER_BOUND ( 'NONE', #1283, .T. ) ; +#1908 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000001066, -1.000000000000000000, -11.70000000000000284 ) ) ; +#1909 = ADVANCED_FACE ( 'NONE', ( #1193 ), #6, .T. ) ; +#1910 = ORIENTED_EDGE ( 'NONE', *, *, #142, .F. ) ; +#1911 = EDGE_LOOP ( 'NONE', ( #1183, #77, #2732, #1643 ) ) ; +#1912 = ORIENTED_EDGE ( 'NONE', *, *, #40, .F. ) ; +#1913 = ORIENTED_EDGE ( 'NONE', *, *, #2230, .T. ) ; +#1914 = ORIENTED_EDGE ( 'NONE', *, *, #2676, .F. ) ; +#1915 = EDGE_CURVE ( 'NONE', #1014, #1340, #1930, .T. ) ; +#1916 = VERTEX_POINT ( 'NONE', #1996 ) ; +#1917 = CARTESIAN_POINT ( 'NONE', ( -8.999999999999996447, -0.2999999999999999334, -11.70000000000000284 ) ) ; +#1918 = ORIENTED_EDGE ( 'NONE', *, *, #1273, .F. ) ; +#1919 = ADVANCED_FACE ( 'NONE', ( #551 ), #2063, .T. ) ; +#1920 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1921 = ORIENTED_EDGE ( 'NONE', *, *, #1811, .T. ) ; +#1922 = AXIS2_PLACEMENT_3D ( 'NONE', #373, #1463, #159 ) ; +#1923 = VERTEX_POINT ( 'NONE', #1174 ) ; +#1924 = VECTOR ( 'NONE', #1789, 1000.000000000000000 ) ; +#1925 = EDGE_CURVE ( 'NONE', #1923, #766, #992, .T. ) ; +#1926 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, -0.2999999999999999889, -12.00000000000000000 ) ) ; +#1927 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#1928 = CYLINDRICAL_SURFACE ( 'NONE', #1253, 0.6499999999999995781 ) ; +#1929 = AXIS2_PLACEMENT_3D ( 'NONE', #2210, #2677, #961 ) ; +#1930 = LINE ( 'NONE', #1395, #2713 ) ; +#1931 = EDGE_CURVE ( 'NONE', #597, #1738, #347, .T. ) ; +#1932 = FACE_OUTER_BOUND ( 'NONE', #1515, .T. ) ; +#1933 = ADVANCED_FACE ( 'NONE', ( #2578 ), #274, .F. ) ; +#1934 = LINE ( 'NONE', #2345, #1469 ) ; +#1935 = VECTOR ( 'NONE', #2113, 1000.000000000000000 ) ; +#1936 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#1937 = VERTEX_POINT ( 'NONE', #289 ) ; +#1938 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#1939 = EDGE_CURVE ( 'NONE', #766, #1310, #1993, .T. ) ; +#1940 = ORIENTED_EDGE ( 'NONE', *, *, #2334, .F. ) ; +#1941 = ORIENTED_EDGE ( 'NONE', *, *, #1853, .T. ) ; +#1942 = ORIENTED_EDGE ( 'NONE', *, *, #2221, .T. ) ; +#1943 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -0.2999999999999999889, 11.70000000000000107 ) ) ; +#1944 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#1945 = AXIS2_PLACEMENT_3D ( 'NONE', #605, #819, #1729 ) ; +#1946 = CARTESIAN_POINT ( 'NONE', ( 9.699999999999999289, -1.000000000000000000, -11.70000000000000462 ) ) ; +#1947 = ORIENTED_EDGE ( 'NONE', *, *, #1781, .F. ) ; +#1948 = EDGE_LOOP ( 'NONE', ( #367, #911, #130, #1613 ) ) ; +#1949 = ORIENTED_EDGE ( 'NONE', *, *, #1950, .F. ) ; +#1950 = EDGE_CURVE ( 'NONE', #124, #1916, #2642, .T. ) ; +#1951 = VERTEX_POINT ( 'NONE', #2226 ) ; +#1952 = ORIENTED_EDGE ( 'NONE', *, *, #1497, .F. ) ; +#1953 = AXIS2_PLACEMENT_3D ( 'NONE', #1171, #1364, #1550 ) ; +#1954 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, -0.2999999999999999889, 11.70000000000000107 ) ) ; +#1955 = CYLINDRICAL_SURFACE ( 'NONE', #2794, 1.000000000000000888 ) ; +#1956 = CYLINDRICAL_SURFACE ( 'NONE', #2260, 0.2499999999999995837 ) ; +#1957 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, -0.2999999999999999889, 11.00000000000000000 ) ) ; +#1958 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1959 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1960 = CIRCLE ( 'NONE', #2800, 1.499999999999999556 ) ; +#1961 = VECTOR ( 'NONE', #356, 1000.000000000000000 ) ; +#1962 = AXIS2_PLACEMENT_3D ( 'NONE', #510, #1813, #2654 ) ; +#1963 = VECTOR ( 'NONE', #1920, 1000.000000000000000 ) ; +#1964 = LINE ( 'NONE', #1286, #2753 ) ; +#1965 = CC_DESIGN_SECURITY_CLASSIFICATION ( #2738, ( #815 ) ) ; +#1966 = LINE ( 'NONE', #1212, #2414 ) ; +#1967 = ORIENTED_EDGE ( 'NONE', *, *, #2774, .F. ) ; +#1968 = ORIENTED_EDGE ( 'NONE', *, *, #1627, .F. ) ; +#1969 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1970 = PLANE ( 'NONE', #1439 ) ; +#1971 = ORIENTED_EDGE ( 'NONE', *, *, #1925, .T. ) ; +#1972 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, 0.0000000000000000000, -11.70000000000000284 ) ) ; +#1973 = ORIENTED_EDGE ( 'NONE', *, *, #1470, .F. ) ; +#1974 = EDGE_CURVE ( 'NONE', #1422, #724, #856, .T. ) ; +#1975 = EDGE_LOOP ( 'NONE', ( #1886, #1575, #2152, #613 ) ) ; +#1976 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#1977 = CARTESIAN_POINT ( 'NONE', ( -4.062019202317980415, 0.0000000000000000000, -1.250000000000000000 ) ) ; +#1978 = ORIENTED_EDGE ( 'NONE', *, *, #351, .T. ) ; +#1979 = AXIS2_PLACEMENT_3D ( 'NONE', #1407, #1837, #2514 ) ; +#1980 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1981 = EDGE_CURVE ( 'NONE', #1916, #92, #1626, .T. ) ; +#1982 = EDGE_LOOP ( 'NONE', ( #1952, #1488, #2300, #1973 ) ) ; +#1983 = ORIENTED_EDGE ( 'NONE', *, *, #2765, .T. ) ; +#1984 = ORIENTED_EDGE ( 'NONE', *, *, #1210, .F. ) ; +#1985 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#1986 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1987 = CYLINDRICAL_SURFACE ( 'NONE', #1001, 0.6499999999999995781 ) ; +#1988 = EDGE_CURVE ( 'NONE', #2190, #186, #1262, .T. ) ; +#1989 = CARTESIAN_POINT ( 'NONE', ( 9.000000000000001776, 0.0000000000000000000, 11.70000000000000107 ) ) ; +#1990 = APPROVAL_ROLE ( '' ) ; +#1991 = VECTOR ( 'NONE', #113, 1000.000000000000000 ) ; +#1992 = CARTESIAN_POINT ( 'NONE', ( 6.500000000000002665, -7.000000000000000000, -13.50000000000000178 ) ) ; +#1993 = LINE ( 'NONE', #1793, #1433 ) ; +#1994 = LINE ( 'NONE', #956, #2199 ) ; +#1995 = LINE ( 'NONE', #588, #1801 ) ; +#1996 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, 0.0000000000000000000, 11.00000000000000000 ) ) ; +#1997 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1998 = VECTOR ( 'NONE', #1728, 1000.000000000000000 ) ; +#1999 = APPLICATION_CONTEXT ( 'configuration controlled 3d designs of mechanical parts and assemblies' ) ; +#2000 = ORIENTED_EDGE ( 'NONE', *, *, #303, .F. ) ; +#2001 = CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT ( #1225, #923, ( #1507 ) ) ; +#2002 = ORIENTED_EDGE ( 'NONE', *, *, #442, .T. ) ; +#2003 = VERTEX_POINT ( 'NONE', #1152 ) ; +#2004 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000001066, -1.000000000000000000, -11.70000000000000284 ) ) ; +#2005 = ORIENTED_EDGE ( 'NONE', *, *, #786, .F. ) ; +#2006 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052850492E-41, -1.779203565104417128E-16 ) ) ; +#2007 = CARTESIAN_POINT ( 'NONE', ( 7.225580516513119278, 0.0000000000000000000, -0.8194444444444444198 ) ) ; +#2008 = AXIS2_PLACEMENT_3D ( 'NONE', #2772, #760, #999 ) ; +#2009 = CARTESIAN_POINT ( 'NONE', ( -6.500000000000002665, -7.000000000000000000, 13.20000000000000284 ) ) ; +#2010 = ORIENTED_EDGE ( 'NONE', *, *, #1427, .T. ) ; +#2011 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#2012 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#2013 = ORIENTED_EDGE ( 'NONE', *, *, #111, .F. ) ; +#2014 = ORIENTED_EDGE ( 'NONE', *, *, #16, .T. ) ; +#2015 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2016 = CARTESIAN_POINT ( 'NONE', ( 10.00000000000000178, -1.000000000000000000, 11.70000000000000107 ) ) ; +#2017 = AXIS2_PLACEMENT_3D ( 'NONE', #1390, #528, #763 ) ; +#2018 = ORIENTED_EDGE ( 'NONE', *, *, #1137, .F. ) ; +#2019 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000533, -2.200000000000000178, -7.499999999999998224 ) ) ; +#2020 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#2021 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2022 = CIRCLE ( 'NONE', #83, 0.7999999999999986011 ) ; +#2023 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 4.000000000000000888 ) ) ; +#2024 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2025 = LINE ( 'NONE', #1412, #1834 ) ; +#2026 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2027 = APPROVAL_STATUS ( 'not_yet_approved' ) ; +#2028 = VECTOR ( 'NONE', #2570, 1000.000000000000000 ) ; +#2029 = EDGE_CURVE ( 'NONE', #2554, #984, #1191, .T. ) ; +#2030 = FACE_OUTER_BOUND ( 'NONE', #2366, .T. ) ; +#2031 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2032 = ADVANCED_FACE ( 'NONE', ( #379 ), #1023, .F. ) ; +#2033 = CYLINDRICAL_SURFACE ( 'NONE', #2208, 0.2500000000000000000 ) ; +#2034 = LINE ( 'NONE', #2403, #1331 ) ; +#2035 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2036 = LINE ( 'NONE', #735, #2143 ) ; +#2037 = EDGE_CURVE ( 'NONE', #1340, #2038, #751, .T. ) ; +#2038 = VERTEX_POINT ( 'NONE', #2629 ) ; +#2039 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2040 = ORIENTED_EDGE ( 'NONE', *, *, #1109, .F. ) ; +#2041 = EDGE_LOOP ( 'NONE', ( #1282, #2586 ) ) ; +#2042 = EDGE_CURVE ( 'NONE', #1133, #2112, #2507, .T. ) ; +#2043 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2044 = ADVANCED_FACE ( 'NONE', ( #2100 ), #1115, .T. ) ; +#2045 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2046 = AXIS2_PLACEMENT_3D ( 'NONE', #937, #714, #2235 ) ; +#2047 = ORIENTED_EDGE ( 'NONE', *, *, #1078, .T. ) ; +#2048 = CARTESIAN_POINT ( 'NONE', ( -9.000000000000001776, 0.0000000000000000000, 11.70000000000000107 ) ) ; +#2049 = ORIENTED_EDGE ( 'NONE', *, *, #1297, .F. ) ; +#2050 = ORIENTED_EDGE ( 'NONE', *, *, #402, .T. ) ; +#2051 = ORIENTED_EDGE ( 'NONE', *, *, #916, .T. ) ; +#2052 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2053 = ORIENTED_EDGE ( 'NONE', *, *, #2042, .F. ) ; +#2054 = CARTESIAN_POINT ( 'NONE', ( 5.500000000000001776, -7.000000000000000000, -13.19999999999999751 ) ) ; +#2055 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2056 = AXIS2_PLACEMENT_3D ( 'NONE', #2207, #2431, #741 ) ; +#2057 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2058 = EDGE_CURVE ( 'NONE', #729, #2616, #1059, .T. ) ; +#2059 = TOROIDAL_SURFACE ( 'NONE', #905, 0.8000000000000020428, 1.000000000000000000 ) ; +#2060 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2061 = CIRCLE ( 'NONE', #2406, 0.2500000000000000000 ) ; +#2062 = AXIS2_PLACEMENT_3D ( 'NONE', #2581, #836, #2352 ) ; +#2063 = CYLINDRICAL_SURFACE ( 'NONE', #1312, 1.799999999999999600 ) ; +#2064 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2065 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, -0.2999999999999999889, 4.000000000000000888 ) ) ; +#2066 = LINE ( 'NONE', #1595, #515 ) ; +#2067 = CIRCLE ( 'NONE', #542, 0.7999999999999986011 ) ; +#2068 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -6.000000000000000000, 13.50000000000000178 ) ) ; +#2069 = EDGE_CURVE ( 'NONE', #1650, #1573, #101, .T. ) ; +#2070 = CIRCLE ( 'NONE', #1755, 0.2500000000000000000 ) ; +#2071 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2072 = ORIENTED_EDGE ( 'NONE', *, *, #393, .T. ) ; +#2073 = CARTESIAN_POINT ( 'NONE', ( -9.699999999999999289, -2.200000000000000178, -8.149999999999996803 ) ) ; +#2074 = AXIS2_PLACEMENT_3D ( 'NONE', #1243, #575, #131 ) ; +#2075 = CARTESIAN_POINT ( 'NONE', ( -9.699999999999999289, -1.000000000000000000, -11.70000000000000462 ) ) ; +#2076 = ADVANCED_FACE ( 'NONE', ( #2675 ), #47, .F. ) ; +#2077 = VERTEX_POINT ( 'NONE', #1576 ) ; +#2078 = CARTESIAN_POINT ( 'NONE', ( -7.850000000000001421, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#2079 = EDGE_CURVE ( 'NONE', #1248, #983, #818, .T. ) ; +#2080 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, -1.000000000000000000, 11.70000000000000107 ) ) ; +#2081 = ORIENTED_EDGE ( 'NONE', *, *, #1479, .T. ) ; +#2082 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2083 = ORIENTED_EDGE ( 'NONE', *, *, #49, .F. ) ; +#2084 = EDGE_LOOP ( 'NONE', ( #466, #283, #577, #336, #1128, #53, #1570, #2667 ) ) ; +#2085 = CARTESIAN_POINT ( 'NONE', ( -9.700000000000002842, -1.000000000000000000, 11.70000000000000107 ) ) ; +#2086 = ORIENTED_EDGE ( 'NONE', *, *, #843, .F. ) ; +#2087 = EDGE_CURVE ( 'NONE', #1454, #2491, #887, .T. ) ; +#2088 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2089 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#2090 = ORIENTED_EDGE ( 'NONE', *, *, #592, .T. ) ; +#2091 = PLANE ( 'NONE', #920 ) ; +#2092 = ORIENTED_EDGE ( 'NONE', *, *, #2334, .T. ) ; +#2093 = ADVANCED_FACE ( 'NONE', ( #345 ), #1928, .F. ) ; +#2094 = AXIS2_PLACEMENT_3D ( 'NONE', #2546, #2596, #2767 ) ; +#2095 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2096 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2097 = PLANE ( 'NONE', #2448 ) ; +#2098 = CIRCLE ( 'NONE', #267, 1.799999999999999600 ) ; +#2099 = EDGE_CURVE ( 'NONE', #117, #1506, #2061, .T. ) ; +#2100 = FACE_OUTER_BOUND ( 'NONE', #571, .T. ) ; +#2101 = CIRCLE ( 'NONE', #222, 0.6499999999999995781 ) ; +#2102 = VECTOR ( 'NONE', #1519, 1000.000000000000000 ) ; +#2103 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2104 = AXIS2_PLACEMENT_3D ( 'NONE', #1393, #2255, #965 ) ; +#2105 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000533, -2.200000000000000178, -7.499999999999998224 ) ) ; +#2106 = CIRCLE ( 'NONE', #2192, 0.6499999999999995781 ) ; +#2107 = CARTESIAN_POINT ( 'NONE', ( 6.985419176710472300, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#2108 = ADVANCED_FACE ( 'NONE', ( #952 ), #171, .T. ) ; +#2109 = LINE ( 'NONE', #2635, #242 ) ; +#2110 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2111 = VECTOR ( 'NONE', #1182, 1000.000000000000000 ) ; +#2112 = VERTEX_POINT ( 'NONE', #912 ) ; +#2113 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2114 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2115 = ORIENTED_EDGE ( 'NONE', *, *, #592, .F. ) ; +#2116 = AXIS2_PLACEMENT_3D ( 'NONE', #711, #2442, #1106 ) ; +#2117 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000001066, -2.200000000000000178, -8.150000000000000355 ) ) ; +#2118 = APPROVAL ( #948, 'UNSPECIFIED' ) ; +#2119 = ORIENTED_EDGE ( 'NONE', *, *, #828, .T. ) ; +#2120 = ORIENTED_EDGE ( 'NONE', *, *, #835, .F. ) ; +#2121 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000533, -2.200000000000000178, -7.499999999999998224 ) ) ; +#2122 = ADVANCED_FACE ( 'NONE', ( #1525 ), #1956, .F. ) ; +#2123 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2124 = ORIENTED_EDGE ( 'NONE', *, *, #2628, .T. ) ; +#2125 = MANIFOLD_SOLID_BREP ( 'Boss-Extrude1', #913 ) ; +#2126 = ORIENTED_EDGE ( 'NONE', *, *, #102, .T. ) ; +#2127 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2128 = VERTEX_POINT ( 'NONE', #1989 ) ; +#2129 = EDGE_CURVE ( 'NONE', #2562, #2588, #2608, .T. ) ; +#2130 = VERTEX_POINT ( 'NONE', #1351 ) ; +#2131 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -6.000000000000000000, 13.50000000000000178 ) ) ; +#2132 = VECTOR ( 'NONE', #2174, 1000.000000000000000 ) ; +#2133 = AXIS2_PLACEMENT_3D ( 'NONE', #740, #1418, #2249 ) ; +#2134 = CIRCLE ( 'NONE', #1397, 1.000000000000000888 ) ; +#2135 = EDGE_CURVE ( 'NONE', #659, #1937, #1496, .T. ) ; +#2136 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2137 = ADVANCED_FACE ( 'NONE', ( #1467 ), #698, .F. ) ; +#2138 = FACE_OUTER_BOUND ( 'NONE', #733, .T. ) ; +#2139 = CARTESIAN_POINT ( 'NONE', ( -8.200000000000001066, 0.0000000000000000000, -12.49999999999999645 ) ) ; +#2140 = CYLINDRICAL_SURFACE ( 'NONE', #939, 0.6499999999999995781 ) ; +#2141 = VECTOR ( 'NONE', #950, 1000.000000000000000 ) ; +#2142 = EDGE_CURVE ( 'NONE', #1167, #2361, #550, .T. ) ; +#2143 = VECTOR ( 'NONE', #1136, 1000.000000000000000 ) ; +#2144 = ORIENTED_EDGE ( 'NONE', *, *, #449, .T. ) ; +#2145 = CARTESIAN_POINT ( 'NONE', ( -8.999999999999998224, -1.000000000000000000, -11.70000000000000462 ) ) ; +#2146 = VERTEX_POINT ( 'NONE', #2450 ) ; +#2147 = ORIENTED_EDGE ( 'NONE', *, *, #1034, .F. ) ; +#2148 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2149 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2150 = ADVANCED_FACE ( 'NONE', ( #270 ), #2231, .T. ) ; +#2151 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2152 = ORIENTED_EDGE ( 'NONE', *, *, #1044, .T. ) ; +#2153 = ORIENTED_EDGE ( 'NONE', *, *, #732, .T. ) ; +#2154 = CC_DESIGN_APPROVAL ( #29, ( #1199 ) ) ; +#2155 = EDGE_LOOP ( 'NONE', ( #288, #357, #1620, #326 ) ) ; +#2156 = CARTESIAN_POINT ( 'NONE', ( -7.850000000000001421, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#2157 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000004619, 0.0000000000000000000, 13.50000000000000000 ) ) ; +#2158 = VERTEX_POINT ( 'NONE', #2048 ) ; +#2159 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2160 = ORIENTED_EDGE ( 'NONE', *, *, #1034, .T. ) ; +#2161 = APPROVAL_PERSON_ORGANIZATION ( #2227, #2118, #1818 ) ; +#2162 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2163 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999999289, -6.000000000000000000, -13.19999999999999751 ) ) ; +#2164 = CIRCLE ( 'NONE', #1569, 1.799999999999997824 ) ; +#2165 = CARTESIAN_POINT ( 'NONE', ( 6.500000000000002665, -7.000000000000000000, -13.19999999999999751 ) ) ; +#2166 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2167 = AXIS2_PLACEMENT_3D ( 'NONE', #2242, #304, #2026 ) ; +#2168 = LINE ( 'NONE', #1168, #618 ) ; +#2169 = VECTOR ( 'NONE', #972, 1000.000000000000000 ) ; +#2170 = LINE ( 'NONE', #2526, #623 ) ; +#2171 = CIRCLE ( 'NONE', #2338, 0.2500000000000000000 ) ; +#2172 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2173 = VECTOR ( 'NONE', #2502, 1000.000000000000000 ) ; +#2174 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#2175 = ORIENTED_EDGE ( 'NONE', *, *, #239, .F. ) ; +#2176 = EDGE_CURVE ( 'NONE', #437, #973, #2134, .T. ) ; +#2177 = ORIENTED_EDGE ( 'NONE', *, *, #1118, .F. ) ; +#2178 = ORIENTED_EDGE ( 'NONE', *, *, #1072, .T. ) ; +#2179 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2180 = AXIS2_PLACEMENT_3D ( 'NONE', #1710, #840, #2162 ) ; +#2181 = ORIENTED_EDGE ( 'NONE', *, *, #189, .F. ) ; +#2182 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2183 = EDGE_CURVE ( 'NONE', #1506, #2588, #2168, .T. ) ; +#2184 = ORIENTED_EDGE ( 'NONE', *, *, #924, .T. ) ; +#2185 = ORIENTED_EDGE ( 'NONE', *, *, #1974, .F. ) ; +#2186 = ORIENTED_EDGE ( 'NONE', *, *, #1125, .F. ) ; +#2187 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, 0.0000000000000000000, 11.70000000000000107 ) ) ; +#2188 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#2189 = EDGE_CURVE ( 'NONE', #2730, #2559, #475, .T. ) ; +#2190 = VERTEX_POINT ( 'NONE', #1599 ) ; +#2191 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2192 = AXIS2_PLACEMENT_3D ( 'NONE', #185, #1693, #212 ) ; +#2193 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -6.000000000000000000, 13.20000000000000107 ) ) ; +#2194 = LINE ( 'NONE', #44, #2745 ) ; +#2195 = CIRCLE ( 'NONE', #1880, 0.2499999999999995837 ) ; +#2196 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2197 = FACE_OUTER_BOUND ( 'NONE', #1490, .T. ) ; +#2198 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2199 = VECTOR ( 'NONE', #100, 1000.000000000000000 ) ; +#2200 = LINE ( 'NONE', #614, #1064 ) ; +#2201 = AXIS2_PLACEMENT_3D ( 'NONE', #1521, #2609, #1737 ) ; +#2202 = EDGE_CURVE ( 'NONE', #1542, #576, #2711, .T. ) ; +#2203 = CIRCLE ( 'NONE', #2104, 4.000000000000000888 ) ; +#2204 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2205 = ORIENTED_EDGE ( 'NONE', *, *, #2783, .T. ) ; +#2206 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2207 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -1.000000000000000000, -11.70000000000000284 ) ) ; +#2208 = AXIS2_PLACEMENT_3D ( 'NONE', #1616, #2045, #2268 ) ; +#2209 = ORIENTED_EDGE ( 'NONE', *, *, #2498, .F. ) ; +#2210 = CARTESIAN_POINT ( 'NONE', ( -5.500000000000001776, -7.000000000000000000, 13.50000000000000355 ) ) ; +#2211 = PERSON_AND_ORGANIZATION_ROLE ( 'design_supplier' ) ; +#2212 = ORIENTED_EDGE ( 'NONE', *, *, #107, .T. ) ; +#2213 = CARTESIAN_POINT ( 'NONE', ( -8.200000000000001066, -6.000000000000000000, -13.49999999999999822 ) ) ; +#2214 = EDGE_CURVE ( 'NONE', #2361, #435, #917, .T. ) ; +#2215 = ORIENTED_EDGE ( 'NONE', *, *, #132, .F. ) ; +#2216 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, -0.2999999999999999889, 0.0000000000000000000 ) ) ; +#2217 = CARTESIAN_POINT ( 'NONE', ( -6.500000000000002665, -6.000000000000000000, 13.50000000000000355 ) ) ; +#2218 = ORIENTED_EDGE ( 'NONE', *, *, #308, .T. ) ; +#2219 = AXIS2_PLACEMENT_3D ( 'NONE', #1186, #1417, #118 ) ; +#2220 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2221 = EDGE_CURVE ( 'NONE', #24, #660, #309, .T. ) ; +#2222 = ORIENTED_EDGE ( 'NONE', *, *, #828, .F. ) ; +#2223 = VERTEX_POINT ( 'NONE', #752 ) ; +#2224 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2225 = ORIENTED_EDGE ( 'NONE', *, *, #1065, .T. ) ; +#2226 = CARTESIAN_POINT ( 'NONE', ( -7.225580516513119278, -0.2999999999999999889, 0.8194444444444446418 ) ) ; +#2227 = PERSON_AND_ORGANIZATION ( #2573, #301 ) ; +#2228 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2229 = CARTESIAN_POINT ( 'NONE', ( -6.500000000000002665, -6.000000000000000000, 13.20000000000000284 ) ) ; +#2230 = EDGE_CURVE ( 'NONE', #1673, #427, #2025, .T. ) ; +#2231 = PLANE ( 'NONE', #434 ) ; +#2232 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2233 = VECTOR ( 'NONE', #1097, 1000.000000000000000 ) ; +#2234 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#2235 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2236 = CIRCLE ( 'NONE', #378, 1.799999999999999600 ) ; +#2237 = LINE ( 'NONE', #2107, #889 ) ; +#2238 = AXIS2_PLACEMENT_3D ( 'NONE', #1250, #1259, #5 ) ; +#2239 = LINE ( 'NONE', #86, #2680 ) ; +#2240 = FACE_OUTER_BOUND ( 'NONE', #1742, .T. ) ; +#2241 = FACE_OUTER_BOUND ( 'NONE', #1505, .T. ) ; +#2242 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, -0.2999999999999999889, 1.250000000000000000 ) ) ; +#2243 = LINE ( 'NONE', #1897, #1700 ) ; +#2244 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -1.000000000000000000, 13.20000000000000284 ) ) ; +#2245 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#2246 = EDGE_CURVE ( 'NONE', #2588, #2663, #674, .T. ) ; +#2247 = EDGE_LOOP ( 'NONE', ( #1890, #354, #628, #1321 ) ) ; +#2248 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#2249 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2250 = EDGE_LOOP ( 'NONE', ( #2528, #2253, #2081, #909 ) ) ; +#2251 = AXIS2_PLACEMENT_3D ( 'NONE', #1004, #2725, #1878 ) ; +#2252 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#2253 = ORIENTED_EDGE ( 'NONE', *, *, #1925, .F. ) ; +#2254 = PLANE ( 'NONE', #1745 ) ; +#2255 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#2256 = EDGE_LOOP ( 'NONE', ( #1821, #1918, #2799, #1110 ) ) ; +#2257 = ORIENTED_EDGE ( 'NONE', *, *, #255, .F. ) ; +#2258 = CARTESIAN_POINT ( 'NONE', ( 6.985419176710472300, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#2259 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2260 = AXIS2_PLACEMENT_3D ( 'NONE', #1765, #2198, #247 ) ; +#2261 = ORIENTED_EDGE ( 'NONE', *, *, #2272, .T. ) ; +#2262 = ORIENTED_EDGE ( 'NONE', *, *, #2142, .F. ) ; +#2263 = ORIENTED_EDGE ( 'NONE', *, *, #206, .T. ) ; +#2264 = CARTESIAN_POINT ( 'NONE', ( 6.500000000000002665, -6.000000000000000000, -13.50000000000000178 ) ) ; +#2265 = ADVANCED_FACE ( 'NONE', ( #1117 ), #2784, .F. ) ; +#2266 = CIRCLE ( 'NONE', #2459, 0.2500000000000000000 ) ; +#2267 = CARTESIAN_POINT ( 'NONE', ( -8.200000000000001066, -1.000000000000000000, -13.49999999999999822 ) ) ; +#2268 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2269 = FACE_BOUND ( 'NONE', #667, .T. ) ; +#2270 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#2271 = FACE_OUTER_BOUND ( 'NONE', #2689, .T. ) ; +#2272 = EDGE_CURVE ( 'NONE', #767, #23, #2195, .T. ) ; +#2273 = VECTOR ( 'NONE', #2035, 1000.000000000000000 ) ; +#2274 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -2.168404344971012812E-15 ) ) ; +#2275 = FACE_OUTER_BOUND ( 'NONE', #1291, .T. ) ; +#2276 = CIRCLE ( 'NONE', #358, 0.6499999999999995781 ) ; +#2277 = AXIS2_PLACEMENT_3D ( 'NONE', #931, #1583, #1142 ) ; +#2278 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2279 = LINE ( 'NONE', #268, #2791 ) ; +#2280 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2281 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2282 = EDGE_CURVE ( 'NONE', #531, #155, #1552, .T. ) ; +#2283 = ORIENTED_EDGE ( 'NONE', *, *, #2563, .F. ) ; +#2284 = PLANE ( 'NONE', #1585 ) ; +#2285 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2286 = ORIENTED_EDGE ( 'NONE', *, *, #607, .T. ) ; +#2287 = VERTEX_POINT ( 'NONE', #1917 ) ; +#2288 = EDGE_CURVE ( 'NONE', #611, #2530, #991, .T. ) ; +#2289 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, -0.2999999999999999889, 0.0000000000000000000 ) ) ; +#2290 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2291 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, 0.0000000000000000000, 11.00000000000000000 ) ) ; +#2292 = EDGE_LOOP ( 'NONE', ( #1716, #1533, #2262, #2664 ) ) ; +#2293 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2294 = EDGE_LOOP ( 'NONE', ( #2480, #981, #1540, #1013, #1520, #869, #2393, #457, #846, #970, #1419, #774, #46, #2801, #1098 ) ) ; +#2295 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#2296 = ADVANCED_FACE ( 'NONE', ( #2638 ), #2344, .F. ) ; +#2297 = ORIENTED_EDGE ( 'NONE', *, *, #916, .F. ) ; +#2298 = AXIS2_PLACEMENT_3D ( 'NONE', #217, #1508, #1308 ) ; +#2299 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000004619, -1.000000000000000000, 12.49999999999999822 ) ) ; +#2300 = ORIENTED_EDGE ( 'NONE', *, *, #49, .T. ) ; +#2301 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2302 = ORIENTED_EDGE ( 'NONE', *, *, #453, .T. ) ; +#2303 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, -0.2999999999999999889, 0.7500000000000000000 ) ) ; +#2304 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2305 = LOCAL_TIME ( 13, 59, 10.00000000000000000, #2603 ) ; +#2306 = CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT ( #1791, #1809, ( #815 ) ) ; +#2307 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2308 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#2309 = FACE_OUTER_BOUND ( 'NONE', #1784, .T. ) ; +#2310 = AXIS2_PLACEMENT_3D ( 'NONE', #2346, #415, #1712 ) ; +#2311 = FACE_BOUND ( 'NONE', #808, .T. ) ; +#2312 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2313 = CARTESIAN_POINT ( 'NONE', ( -5.500000000000001776, -6.000000000000000000, 13.50000000000000178 ) ) ; +#2314 = ADVANCED_FACE ( 'NONE', ( #444, #2541, #1398 ), #2496, .F. ) ; +#2315 = CARTESIAN_POINT ( 'NONE', ( -3.823076896299275607, 0.0000000000000000000, -1.176470588235294379 ) ) ; +#2316 = CYLINDRICAL_SURFACE ( 'NONE', #1523, 0.2500000000000000000 ) ; +#2317 = CYLINDRICAL_SURFACE ( 'NONE', #1896, 1.000000000000000888 ) ; +#2318 = FACE_OUTER_BOUND ( 'NONE', #1708, .T. ) ; +#2319 = CIRCLE ( 'NONE', #2251, 0.2500000000000000000 ) ; +#2320 = AXIS2_PLACEMENT_3D ( 'NONE', #2455, #2015, #1377 ) ; +#2321 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#2322 = ORIENTED_EDGE ( 'NONE', *, *, #508, .T. ) ; +#2323 = CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT ( #204, #734, ( #2738 ) ) ; +#2324 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#2325 = EDGE_LOOP ( 'NONE', ( #2263, #160, #1053, #1639, #2092, #802, #184, #1386 ) ) ; +#2326 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2327 = ADVANCED_FACE ( 'NONE', ( #1898 ), #1691, .T. ) ; +#2328 = ORIENTED_EDGE ( 'NONE', *, *, #2445, .F. ) ; +#2329 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000002842, -0.2999999999999999889, 11.70000000000000107 ) ) ; +#2330 = ORIENTED_EDGE ( 'NONE', *, *, #2340, .F. ) ; +#2331 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2332 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2333 = VERTEX_POINT ( 'NONE', #2364 ) ; +#2334 = EDGE_CURVE ( 'NONE', #872, #1133, #1329, .T. ) ; +#2335 = ORIENTED_EDGE ( 'NONE', *, *, #142, .T. ) ; +#2336 = ORIENTED_EDGE ( 'NONE', *, *, #1078, .F. ) ; +#2337 = EDGE_LOOP ( 'NONE', ( #930, #969, #1415, #1249, #2047, #2702, #250, #2090 ) ) ; +#2338 = AXIS2_PLACEMENT_3D ( 'NONE', #2646, #25, #899 ) ; +#2339 = CARTESIAN_POINT ( 'NONE', ( 7.850000000000001421, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#2340 = EDGE_CURVE ( 'NONE', #1046, #1315, #784, .T. ) ; +#2341 = CARTESIAN_POINT ( 'NONE', ( -4.062019202317980415, -0.2999999999999999889, 1.250000000000000000 ) ) ; +#2342 = ADVANCED_FACE ( 'NONE', ( #31, #2269, #1432 ), #1068, .T. ) ; +#2343 = CIRCLE ( 'NONE', #2605, 0.6499999999999995781 ) ; +#2344 = CYLINDRICAL_SURFACE ( 'NONE', #177, 1.499999999999999556 ) ; +#2345 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000000, 0.0000000000000000000, -11.70000000000000284 ) ) ; +#2346 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2347 = FACE_BOUND ( 'NONE', #2417, .T. ) ; +#2348 = CIRCLE ( 'NONE', #1962, 0.2500000000000000000 ) ; +#2349 = EDGE_CURVE ( 'NONE', #1874, #531, #2343, .T. ) ; +#2350 = EDGE_CURVE ( 'NONE', #2130, #1139, #4, .T. ) ; +#2351 = LINE ( 'NONE', #1478, #1963 ) ; +#2352 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#2353 = CIRCLE ( 'NONE', #1326, 1.000000000000000888 ) ; +#2354 = VECTOR ( 'NONE', #1668, 1000.000000000000000 ) ; +#2355 = ADVANCED_FACE ( 'NONE', ( #2471 ), #2091, .T. ) ; +#2356 = EDGE_LOOP ( 'NONE', ( #2521, #120, #2040, #1718 ) ) ; +#2357 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#2358 = ORIENTED_EDGE ( 'NONE', *, *, #197, .T. ) ; +#2359 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000001066, -1.000000000000000000, -11.70000000000000284 ) ) ; +#2360 = AXIS2_PLACEMENT_3D ( 'NONE', #264, #2440, #1546 ) ; +#2361 = VERTEX_POINT ( 'NONE', #565 ) ; +#2362 = VERTEX_POINT ( 'NONE', #1875 ) ; +#2363 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, -1.000000000000000000, -12.49999999999999645 ) ) ; +#2364 = CARTESIAN_POINT ( 'NONE', ( 9.999999999999998224, -2.200000000000000178, -6.850000000000002309 ) ) ; +#2365 = AXIS2_PLACEMENT_3D ( 'NONE', #2577, #406, #1484 ) ; +#2366 = EDGE_LOOP ( 'NONE', ( #1350, #252, #2447, #2322 ) ) ; +#2367 = ORIENTED_EDGE ( 'NONE', *, *, #933, .F. ) ; +#2368 = CARTESIAN_POINT ( 'NONE', ( 9.999999999999998224, -2.200000000000000178, -7.500000000000001776 ) ) ; +#2369 = ORIENTED_EDGE ( 'NONE', *, *, #924, .F. ) ; +#2370 = EDGE_CURVE ( 'NONE', #2287, #597, #30, .T. ) ; +#2371 = EDGE_LOOP ( 'NONE', ( #1411, #864, #689, #907, #1618, #1686, #1746, #1877, #1852, #2808, #151, #2770, #2803, #80, #2177, #2049 ) ) ; +#2372 = CARTESIAN_POINT ( 'NONE', ( -5.500000000000001776, -6.000000000000000000, 13.20000000000000284 ) ) ; +#2373 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2374 = LOCAL_TIME ( 13, 59, 10.00000000000000000, #1751 ) ; +#2375 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#2376 = AXIS2_PLACEMENT_3D ( 'NONE', #1215, #2301, #2482 ) ; +#2377 = CIRCLE ( 'NONE', #228, 0.7000000000000009548 ) ; +#2378 = EDGE_CURVE ( 'NONE', #597, #18, #2405, .T. ) ; +#2379 = LINE ( 'NONE', #1659, #785 ) ; +#2380 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#2381 = VECTOR ( 'NONE', #1007, 1000.000000000000000 ) ; +#2382 = ADVANCED_FACE ( 'NONE', ( #1151 ), #2284, .T. ) ; +#2383 = LINE ( 'NONE', #387, #1235 ) ; +#2384 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2385 = EDGE_CURVE ( 'NONE', #1534, #2128, #2022, .T. ) ; +#2386 = VECTOR ( 'NONE', #799, 1000.000000000000000 ) ; +#2387 = ORIENTED_EDGE ( 'NONE', *, *, #1363, .T. ) ; +#2388 = ORIENTED_EDGE ( 'NONE', *, *, #888, .T. ) ; +#2389 = CARTESIAN_POINT ( 'NONE', ( -7.225580516513119278, -0.2999999999999999889, -0.8194444444444441977 ) ) ; +#2390 = ORIENTED_EDGE ( 'NONE', *, *, #148, .T. ) ; +#2391 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2392 = EDGE_CURVE ( 'NONE', #2287, #2130, #2377, .T. ) ; +#2393 = ORIENTED_EDGE ( 'NONE', *, *, #2641, .F. ) ; +#2394 = EDGE_CURVE ( 'NONE', #223, #894, #1190, .T. ) ; +#2395 = VERTEX_POINT ( 'NONE', #2739 ) ; +#2396 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2397 = CARTESIAN_POINT ( 'NONE', ( -5.500000000000001776, -7.000000000000000000, 13.20000000000000284 ) ) ; +#2398 = ORIENTED_EDGE ( 'NONE', *, *, #749, .T. ) ; +#2399 = AXIS2_PLACEMENT_3D ( 'NONE', #1465, #602, #1882 ) ; +#2400 = ORIENTED_EDGE ( 'NONE', *, *, #1637, .F. ) ; +#2401 = ORIENTED_EDGE ( 'NONE', *, *, #449, .F. ) ; +#2402 = PERSON_AND_ORGANIZATION ( #2573, #301 ) ; +#2403 = CARTESIAN_POINT ( 'NONE', ( -9.999999999999998224, -6.000000000000000000, -11.70000000000000462 ) ) ; +#2404 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2405 = CIRCLE ( 'NONE', #2751, 0.6999999999999992895 ) ; +#2406 = AXIS2_PLACEMENT_3D ( 'NONE', #2658, #465, #493 ) ; +#2407 = VECTOR ( 'NONE', #1189, 1000.000000000000000 ) ; +#2408 = AXIS2_PLACEMENT_3D ( 'NONE', #218, #1300, #2373 ) ; +#2409 = VECTOR ( 'NONE', #2439, 1000.000000000000000 ) ; +#2410 = LINE ( 'NONE', #976, #1628 ) ; +#2411 = VECTOR ( 'NONE', #626, 1000.000000000000000 ) ; +#2412 = LINE ( 'NONE', #1926, #34 ) ; +#2413 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2414 = VECTOR ( 'NONE', #1226, 1000.000000000000000 ) ; +#2415 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#2416 = CARTESIAN_POINT ( 'NONE', ( -4.062019202317980415, -0.2999999999999999889, -1.250000000000000000 ) ) ; +#2417 = EDGE_LOOP ( 'NONE', ( #1942, #1744 ) ) ; +#2418 = EDGE_CURVE ( 'NONE', #1046, #1049, #509, .T. ) ; +#2419 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000082E-05 ), #1799, 'distance_accuracy_value', 'NONE'); +#2420 = VERTEX_POINT ( 'NONE', #1451 ) ; +#2421 = ORIENTED_EDGE ( 'NONE', *, *, #477, .T. ) ; +#2422 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 2.168404344971003346E-15 ) ) ; +#2423 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#2424 = CARTESIAN_POINT ( 'NONE', ( 8.999999999999998224, -1.000000000000000000, -11.70000000000000462 ) ) ; +#2425 = EDGE_LOOP ( 'NONE', ( #1207, #2115, #1257, #1389 ) ) ; +#2426 = EDGE_CURVE ( 'NONE', #2158, #2361, #235, .T. ) ; +#2427 = ORIENTED_EDGE ( 'NONE', *, *, #1696, .T. ) ; +#2428 = ORIENTED_EDGE ( 'NONE', *, *, #1741, .T. ) ; +#2429 = ORIENTED_EDGE ( 'NONE', *, *, #2709, .T. ) ; +#2430 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2431 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2432 = EDGE_CURVE ( 'NONE', #2633, #2494, #817, .T. ) ; +#2433 = ORIENTED_EDGE ( 'NONE', *, *, #2135, .T. ) ; +#2434 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2435 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2436 = CIRCLE ( 'NONE', #2639, 0.6499999999999995781 ) ; +#2437 = AXIS2_PLACEMENT_3D ( 'NONE', #1859, #1020, #783 ) ; +#2438 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000001066, -2.200000000000104983, 7.499999999999998224 ) ) ; +#2439 = DIRECTION ( 'NONE', ( 9.637352644315598694E-16, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2440 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2441 = FACE_OUTER_BOUND ( 'NONE', #942, .T. ) ; +#2442 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#2443 = FACE_OUTER_BOUND ( 'NONE', #770, .T. ) ; +#2444 = LINE ( 'NONE', #1050, #311 ) ; +#2445 = EDGE_CURVE ( 'NONE', #1318, #871, #1230, .T. ) ; +#2446 = CYLINDRICAL_SURFACE ( 'NONE', #2365, 0.6499999999999995781 ) ; +#2447 = ORIENTED_EDGE ( 'NONE', *, *, #2176, .F. ) ; +#2448 = AXIS2_PLACEMENT_3D ( 'NONE', #816, #2755, #595 ) ; +#2449 = ORIENTED_EDGE ( 'NONE', *, *, #673, .F. ) ; +#2450 = CARTESIAN_POINT ( 'NONE', ( -4.062019202317980415, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#2451 = APPLICATION_CONTEXT ( 'configuration controlled 3d designs of mechanical parts and assemblies' ) ; +#2452 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2453 = ORIENTED_EDGE ( 'NONE', *, *, #442, .F. ) ; +#2454 = ORIENTED_EDGE ( 'NONE', *, *, #1988, .F. ) ; +#2455 = CARTESIAN_POINT ( 'NONE', ( 7.850000000000001421, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#2456 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999999289, 0.0000000000000000000, -13.49999999999999822 ) ) ; +#2457 = ORIENTED_EDGE ( 'NONE', *, *, #1614, .T. ) ; +#2458 = AXIS2_PLACEMENT_3D ( 'NONE', #1361, #1132, #35 ) ; +#2459 = AXIS2_PLACEMENT_3D ( 'NONE', #886, #36, #2182 ) ; +#2460 = VERTEX_POINT ( 'NONE', #646 ) ; +#2461 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -6.000000000000000000, 13.20000000000000107 ) ) ; +#2462 = ORIENTED_EDGE ( 'NONE', *, *, #1210, .T. ) ; +#2463 = ORIENTED_EDGE ( 'NONE', *, *, #1669, .F. ) ; +#2464 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.057758217059028608E-16 ) ) ; +#2465 = CARTESIAN_POINT ( 'NONE', ( 7.225580516513119278, -0.2999999999999999889, 0.8194444444444440867 ) ) ; +#2466 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#2467 = VECTOR ( 'NONE', #1082, 1000.000000000000000 ) ; +#2468 = EDGE_CURVE ( 'NONE', #1858, #2558, #1753, .T. ) ; +#2469 = CARTESIAN_POINT ( 'NONE', ( 8.500000000000000000, -0.2999999999999999889, 11.00000000000000000 ) ) ; +#2470 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, -1.000000000000000000, -13.49999999999999822 ) ) ; +#2471 = FACE_OUTER_BOUND ( 'NONE', #2356, .T. ) ; +#2472 = FACE_OUTER_BOUND ( 'NONE', #2337, .T. ) ; +#2473 = CIRCLE ( 'NONE', #2809, 4.000000000000000888 ) ; +#2474 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000533, -2.200000000000000178, -7.499999999999998224 ) ) ; +#2475 = ADVANCED_FACE ( 'NONE', ( #376 ), #748, .F. ) ; +#2476 = EDGE_CURVE ( 'NONE', #428, #830, #2671, .T. ) ; +#2477 = VECTOR ( 'NONE', #633, 1000.000000000000000 ) ; +#2478 = VECTOR ( 'NONE', #1165, 1000.000000000000000 ) ; +#2479 = VECTOR ( 'NONE', #1252, 1000.000000000000000 ) ; +#2480 = ORIENTED_EDGE ( 'NONE', *, *, #1981, .F. ) ; +#2481 = EDGE_CURVE ( 'NONE', #431, #767, #1895, .T. ) ; +#2482 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2483 = ORIENTED_EDGE ( 'NONE', *, *, #2176, .T. ) ; +#2484 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -1.000000000000000000, 12.50000000000000178 ) ) ; +#2485 = EDGE_CURVE ( 'NONE', #729, #1605, #1689, .T. ) ; +#2486 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, 0.0000000000000000000, 11.70000000000000107 ) ) ; +#2487 = VERTEX_POINT ( 'NONE', #1309 ) ; +#2488 = ADVANCED_FACE ( 'NONE', ( #1621 ), #1719, .T. ) ; +#2489 = EDGE_LOOP ( 'NONE', ( #526, #2810, #544, #2083 ) ) ; +#2490 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, -0.2999999999999999889, 4.000000000000000888 ) ) ; +#2491 = VERTEX_POINT ( 'NONE', #2163 ) ; +#2492 = CARTESIAN_POINT ( 'NONE', ( 10.00000000000000178, -2.200000000000104983, 7.499999999999998224 ) ) ; +#2493 = PLANE ( 'NONE', #1246 ) ; +#2494 = VERTEX_POINT ( 'NONE', #2389 ) ; +#2495 = AXIS2_PLACEMENT_3D ( 'NONE', #1166, #302, #524 ) ; +#2496 = PLANE ( 'NONE', #2074 ) ; +#2497 = ORIENTED_EDGE ( 'NONE', *, *, #855, .F. ) ; +#2498 = EDGE_CURVE ( 'NONE', #800, #1176, #1588, .T. ) ; +#2499 = ORIENTED_EDGE ( 'NONE', *, *, #2523, .T. ) ; +#2500 = PLANE ( 'NONE', #1803 ) ; +#2501 = SHAPE_DEFINITION_REPRESENTATION ( #1580, #233 ) ; +#2502 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2503 = AXIS2_PLACEMENT_3D ( 'NONE', #353, #1449, #1862 ) ; +#2504 = CYLINDRICAL_SURFACE ( 'NONE', #619, 1.499999999999999556 ) ; +#2505 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -0.2999999999999999334, 12.50000000000000178 ) ) ; +#2506 = ADVANCED_FACE ( 'NONE', ( #548 ), #654, .T. ) ; +#2507 = CIRCLE ( 'NONE', #761, 0.7999999999999969358 ) ; +#2508 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2509 = EDGE_CURVE ( 'NONE', #2223, #1672, #2164, .T. ) ; +#2510 = LINE ( 'NONE', #967, #2273 ) ; +#2511 = FACE_OUTER_BOUND ( 'NONE', #503, .T. ) ; +#2512 = CIRCLE ( 'NONE', #1518, 0.6499999999999995781 ) ; +#2513 = AXIS2_PLACEMENT_3D ( 'NONE', #2438, #1804, #1140 ) ; +#2514 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2515 = CIRCLE ( 'NONE', #895, 0.6499999999999995781 ) ; +#2516 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2517 = EDGE_CURVE ( 'NONE', #2112, #1167, #305, .T. ) ; +#2518 = ORIENTED_EDGE ( 'NONE', *, *, #239, .T. ) ; +#2519 = CARTESIAN_POINT ( 'NONE', ( -9.000000000000001776, -1.000000000000000000, 11.70000000000000107 ) ) ; +#2520 = CARTESIAN_POINT ( 'NONE', ( -7.225580516513119278, -0.2999999999999999889, 0.8194444444444446418 ) ) ; +#2521 = ORIENTED_EDGE ( 'NONE', *, *, #855, .T. ) ; +#2522 = VERTEX_POINT ( 'NONE', #471 ) ; +#2523 = EDGE_CURVE ( 'NONE', #1564, #2522, #1113, .T. ) ; +#2524 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, -6.000000000000000000, 11.70000000000000107 ) ) ; +#2525 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2526 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -1.000000000000000000, 13.20000000000000107 ) ) ; +#2527 = ORIENTED_EDGE ( 'NONE', *, *, #2782, .F. ) ; +#2528 = ORIENTED_EDGE ( 'NONE', *, *, #1939, .F. ) ; +#2529 = PLANE ( 'NONE', #61 ) ; +#2530 = VERTEX_POINT ( 'NONE', #2229 ) ; +#2531 = AXIS2_PLACEMENT_3D ( 'NONE', #2710, #1442, #568 ) ; +#2532 = EDGE_CURVE ( 'NONE', #603, #1074, #64, .T. ) ; +#2533 = ORIENTED_EDGE ( 'NONE', *, *, #1281, .F. ) ; +#2534 = ADVANCED_FACE ( 'NONE', ( #2781 ), #1522, .F. ) ; +#2535 = CARTESIAN_POINT ( 'NONE', ( -10.00000000000000178, -6.000000000000000000, 11.70000000000000107 ) ) ; +#2536 = APPROVAL_PERSON_ORGANIZATION ( #1654, #29, #1990 ) ; +#2537 = EDGE_CURVE ( 'NONE', #872, #604, #1466, .T. ) ; +#2538 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104416882E-16 ) ) ; +#2539 = VECTOR ( 'NONE', #2188, 1000.000000000000000 ) ; +#2540 = CARTESIAN_POINT ( 'NONE', ( 6.985419176710472300, 0.0000000000000000000, 0.7500000000000000000 ) ) ; +#2541 = FACE_BOUND ( 'NONE', #2294, .T. ) ; +#2542 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2543 = VECTOR ( 'NONE', #2089, 1000.000000000000000 ) ; +#2544 = CIRCLE ( 'NONE', #2238, 0.7999999999999986011 ) ; +#2545 = FACE_BOUND ( 'NONE', #2806, .T. ) ; +#2546 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, -1.000000000000000000, -12.49999999999999645 ) ) ; +#2547 = ADVANCED_FACE ( 'NONE', ( #672 ), #1970, .F. ) ; +#2548 = CYLINDRICAL_SURFACE ( 'NONE', #196, 0.6999999999999992895 ) ; +#2549 = CARTESIAN_POINT ( 'NONE', ( 5.500000000000001776, -7.000000000000000000, -13.50000000000000178 ) ) ; +#2550 = CIRCLE ( 'NONE', #1045, 0.7000000000000009548 ) ; +#2551 = CARTESIAN_POINT ( 'NONE', ( 6.985419176710472300, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#2552 = AXIS2_PLACEMENT_3D ( 'NONE', #922, #2252, #1829 ) ; +#2553 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2554 = VERTEX_POINT ( 'NONE', #1342 ) ; +#2555 = AXIS2_PLACEMENT_3D ( 'NONE', #266, #1530, #236 ) ; +#2556 = ORIENTED_EDGE ( 'NONE', *, *, #1649, .T. ) ; +#2557 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, -0.2999999999999999889, 11.70000000000000107 ) ) ; +#2558 = VERTEX_POINT ( 'NONE', #2217 ) ; +#2559 = VERTEX_POINT ( 'NONE', #932 ) ; +#2560 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2561 = ADVANCED_FACE ( 'NONE', ( #2309 ), #170, .F. ) ; +#2562 = VERTEX_POINT ( 'NONE', #2657 ) ; +#2563 = EDGE_CURVE ( 'NONE', #1858, #1573, #2194, .T. ) ; +#2564 = ORIENTED_EDGE ( 'NONE', *, *, #2445, .T. ) ; +#2565 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#2566 = ORIENTED_EDGE ( 'NONE', *, *, #1690, .T. ) ; +#2567 = AXIS2_PLACEMENT_3D ( 'NONE', #243, #1969, #271 ) ; +#2568 = ORIENTED_EDGE ( 'NONE', *, *, #893, .T. ) ; +#2569 = EDGE_CURVE ( 'NONE', #702, #1573, #1723, .T. ) ; +#2570 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#2571 = APPROVAL_PERSON_ORGANIZATION ( #1892, #1768, #294 ) ; +#2572 = VECTOR ( 'NONE', #275, 1000.000000000000000 ) ; +#2573 = PERSON ( 'UNSPECIFIED', 'UNSPECIFIED', 'UNSPECIFIED', ('UNSPECIFIED'), ('UNSPECIFIED'), ('UNSPECIFIED') ) ; +#2574 = CARTESIAN_POINT ( 'NONE', ( -6.500000000000002665, -6.000000000000000000, 13.50000000000000355 ) ) ; +#2575 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000001066, -0.2999999999999999889, -11.70000000000000284 ) ) ; +#2576 = VECTOR ( 'NONE', #1808, 1000.000000000000000 ) ; +#2577 = CARTESIAN_POINT ( 'NONE', ( 7.850000000000001421, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#2578 = FACE_OUTER_BOUND ( 'NONE', #2489, .T. ) ; +#2579 = EDGE_CURVE ( 'NONE', #663, #431, #884, .T. ) ; +#2580 = LINE ( 'NONE', #806, #143 ) ; +#2581 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, 0.0000000000000000000, 13.20000000000000107 ) ) ; +#2582 = FACE_BOUND ( 'NONE', #2041, .T. ) ; +#2583 = LINE ( 'NONE', #2640, #1764 ) ; +#2584 = VERTEX_POINT ( 'NONE', #33 ) ; +#2585 = CARTESIAN_POINT ( 'NONE', ( -9.700000000000002842, -2.200000000000104983, 7.500000000000001776 ) ) ; +#2586 = ORIENTED_EDGE ( 'NONE', *, *, #1974, .T. ) ; +#2587 = CARTESIAN_POINT ( 'NONE', ( 6.500000000000002665, -7.000000000000000000, -13.19999999999999751 ) ) ; +#2588 = VERTEX_POINT ( 'NONE', #2007 ) ; +#2589 = ADVANCED_FACE ( 'NONE', ( #1792 ), #1955, .F. ) ; +#2590 = AXIS2_PLACEMENT_3D ( 'NONE', #2416, #1777, #448 ) ; +#2591 = ORIENTED_EDGE ( 'NONE', *, *, #1790, .F. ) ; +#2592 = ORIENTED_EDGE ( 'NONE', *, *, #1280, .F. ) ; +#2593 = CARTESIAN_POINT ( 'NONE', ( 10.00000000000000178, 0.0000000000000000000, 11.70000000000000107 ) ) ; +#2594 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#2595 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, 0.0000000000000000000, 13.50000000000000178 ) ) ; +#2596 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2597 = AXIS2_PLACEMENT_3D ( 'NONE', #2484, #1196, #2110 ) ; +#2598 = ORIENTED_EDGE ( 'NONE', *, *, #132, .T. ) ; +#2599 = ORIENTED_EDGE ( 'NONE', *, *, #402, .F. ) ; +#2600 = ORIENTED_EDGE ( 'NONE', *, *, #2537, .T. ) ; +#2601 = DIRECTION ( 'NONE', ( 1.057758217059028608E-16, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2602 = ADVANCED_FACE ( 'NONE', ( #647 ), #1657, .T. ) ; +#2603 = COORDINATED_UNIVERSAL_TIME_OFFSET ( 2, 0, .AHEAD. ) ; +#2604 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, -0.2999999999999999889, 12.00000000000000000 ) ) ; +#2605 = AXIS2_PLACEMENT_3D ( 'NONE', #1645, #790, #849 ) ; +#2606 = AXIS2_PLACEMENT_3D ( 'NONE', #1325, #1725, #1944 ) ; +#2607 = CIRCLE ( 'NONE', #854, 0.7999999999999986011 ) ; +#2608 = CIRCLE ( 'NONE', #2552, 0.2500000000000000000 ) ; +#2609 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#2610 = LINE ( 'NONE', #2280, #1120 ) ; +#2611 = LINE ( 'NONE', #1647, #1961 ) ; +#2612 = FACE_OUTER_BOUND ( 'NONE', #2741, .T. ) ; +#2613 = VECTOR ( 'NONE', #1772, 1000.000000000000000 ) ; +#2614 = EDGE_CURVE ( 'NONE', #165, #1224, #2098, .T. ) ; +#2615 = FACE_OUTER_BOUND ( 'NONE', #338, .T. ) ; +#2616 = VERTEX_POINT ( 'NONE', #2016 ) ; +#2617 = CARTESIAN_POINT ( 'NONE', ( -9.000000000000001776, -1.000000000000000000, 11.70000000000000107 ) ) ; +#2618 = AXIS2_PLACEMENT_3D ( 'NONE', #2492, #778, #119 ) ; +#2619 = EDGE_LOOP ( 'NONE', ( #1244, #2764, #1289, #1968 ) ) ; +#2620 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104417128E-16 ) ) ; +#2621 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000004619, -1.000000000000000000, 13.19999999999999929 ) ) ; +#2622 = EDGE_CURVE ( 'NONE', #659, #2003, #1058, .T. ) ; +#2623 = ORIENTED_EDGE ( 'NONE', *, *, #2058, .F. ) ; +#2624 = ORIENTED_EDGE ( 'NONE', *, *, #1217, .T. ) ; +#2625 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#2626 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000001066, -1.000000000000000000, -11.70000000000000284 ) ) ; +#2627 = ORIENTED_EDGE ( 'NONE', *, *, #2579, .T. ) ; +#2628 = EDGE_CURVE ( 'NONE', #2729, #488, #585, .T. ) ; +#2629 = CARTESIAN_POINT ( 'NONE', ( -4.062019202317980415, 0.0000000000000000000, 1.000000000000000444 ) ) ; +#2630 = EDGE_CURVE ( 'NONE', #2395, #659, #2607, .T. ) ; +#2631 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -1.000000000000000000, -12.49999999999999645 ) ) ; +#2632 = ORIENTED_EDGE ( 'NONE', *, *, #2432, .F. ) ; +#2633 = VERTEX_POINT ( 'NONE', #731 ) ; +#2634 = ORIENTED_EDGE ( 'NONE', *, *, #1644, .F. ) ; +#2635 = CARTESIAN_POINT ( 'NONE', ( 5.500000000000001776, -6.000000000000000000, -13.19999999999999751 ) ) ; +#2636 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000002842, -0.2999999999999999334, 11.70000000000000107 ) ) ; +#2637 = CIRCLE ( 'NONE', #1682, 1.500000000000003109 ) ; +#2638 = FACE_OUTER_BOUND ( 'NONE', #1810, .T. ) ; +#2639 = AXIS2_PLACEMENT_3D ( 'NONE', #1559, #257, #1747 ) ; +#2640 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, 0.0000000000000000000, 12.50000000000000000 ) ) ; +#2641 = EDGE_CURVE ( 'NONE', #2077, #2420, #949, .T. ) ; +#2642 = LINE ( 'NONE', #54, #138 ) ; +#2643 = VECTOR ( 'NONE', #522, 1000.000000000000000 ) ; +#2644 = VECTOR ( 'NONE', #2669, 1000.000000000000000 ) ; +#2645 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#2646 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, -0.2999999999999999889, 0.7500000000000000000 ) ) ; +#2647 = EDGE_LOOP ( 'NONE', ( #873, #635, #2421, #1486 ) ) ; +#2648 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#2649 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052850492E-41, -1.779203565104417128E-16 ) ) ; +#2650 = EDGE_LOOP ( 'NONE', ( #494, #1455, #745, #2692 ) ) ; +#2651 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000001066, -2.200000000000104983, 7.499999999999998224 ) ) ; +#2652 = EDGE_CURVE ( 'NONE', #1573, #2530, #1394, .T. ) ; +#2653 = ORIENTED_EDGE ( 'NONE', *, *, #688, .F. ) ; +#2654 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2655 = ORIENTED_EDGE ( 'NONE', *, *, #1177, .F. ) ; +#2656 = CARTESIAN_POINT ( 'NONE', ( 7.500000000000000888, 0.0000000000000000000, -12.00000000000000000 ) ) ; +#2657 = CARTESIAN_POINT ( 'NONE', ( 6.985419176710472300, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2658 = CARTESIAN_POINT ( 'NONE', ( 6.985419176710472300, -0.2999999999999999889, -0.7500000000000000000 ) ) ; +#2659 = ORIENTED_EDGE ( 'NONE', *, *, #1489, .F. ) ; +#2660 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, -1.000000000000000000, -13.19999999999999751 ) ) ; +#2661 = EDGE_CURVE ( 'NONE', #1133, #1805, #472, .T. ) ; +#2662 = CARTESIAN_POINT ( 'NONE', ( -7.850000000000001421, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#2663 = VERTEX_POINT ( 'NONE', #1524 ) ; +#2664 = ORIENTED_EDGE ( 'NONE', *, *, #1489, .T. ) ; +#2665 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, 0.0000000000000000000, -12.00000000000000000 ) ) ; +#2666 = EDGE_CURVE ( 'NONE', #59, #2633, #2436, .T. ) ; +#2667 = ORIENTED_EDGE ( 'NONE', *, *, #2288, .T. ) ; +#2668 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#2669 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104416882E-16 ) ) ; +#2670 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, 0.0000000000000000000, 11.00000000000000000 ) ) ; +#2671 = LINE ( 'NONE', #430, #2467 ) ; +#2672 = AXIS2_PLACEMENT_3D ( 'NONE', #296, #530, #1832 ) ; +#2673 = CARTESIAN_POINT ( 'NONE', ( 10.00000000000000178, -2.200000000000104983, 8.149999999999996803 ) ) ; +#2674 = CARTESIAN_POINT ( 'NONE', ( 9.000000000000001776, -0.2999999999999999334, 11.70000000000000107 ) ) ; +#2675 = FACE_OUTER_BOUND ( 'NONE', #362, .T. ) ; +#2676 = EDGE_CURVE ( 'NONE', #2494, #1011, #2266, .T. ) ; +#2677 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2678 = SECURITY_CLASSIFICATION_LEVEL ( 'unclassified' ) ; +#2679 = FACE_OUTER_BOUND ( 'NONE', #1102, .T. ) ; +#2680 = VECTOR ( 'NONE', #985, 1000.000000000000000 ) ; +#2681 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2682 = EDGE_CURVE ( 'NONE', #60, #153, #1863, .T. ) ; +#2683 = LINE ( 'NONE', #832, #2411 ) ; +#2684 = FACE_OUTER_BOUND ( 'NONE', #2425, .T. ) ; +#2685 = CARTESIAN_POINT ( 'NONE', ( 7.850000000000001421, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#2686 = VECTOR ( 'NONE', #2435, 1000.000000000000000 ) ; +#2687 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000002842, -6.000000000000000000, 11.70000000000000107 ) ) ; +#2688 = AXIS2_PLACEMENT_3D ( 'NONE', #152, #1651, #2096 ) ; +#2689 = EDGE_LOOP ( 'NONE', ( #2147, #1483, #500, #2398 ) ) ; +#2690 = CARTESIAN_POINT ( 'NONE', ( -7.500000000000000888, -0.2999999999999999889, 12.00000000000000000 ) ) ; +#2691 = ADVANCED_FACE ( 'NONE', ( #98 ), #2504, .F. ) ; +#2692 = ORIENTED_EDGE ( 'NONE', *, *, #1572, .T. ) ; +#2693 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -6.000000000000000000, 11.70000000000000107 ) ) ; +#2694 = VERTEX_POINT ( 'NONE', #680 ) ; +#2695 = CARTESIAN_POINT ( 'NONE', ( 9.000000000000001776, 0.0000000000000000000, 11.70000000000000107 ) ) ; +#2696 = VERTEX_POINT ( 'NONE', #2604 ) ; +#2697 = AXIS2_PLACEMENT_3D ( 'NONE', #329, #2707, #1840 ) ; +#2698 = AXIS2_PLACEMENT_3D ( 'NONE', #69, #1143, #272 ) ; +#2699 = CARTESIAN_POINT ( 'NONE', ( 6.500000000000002665, -7.000000000000000000, -13.19999999999999751 ) ) ; +#2700 = ORIENTED_EDGE ( 'NONE', *, *, #1044, .F. ) ; +#2701 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2702 = ORIENTED_EDGE ( 'NONE', *, *, #2485, .F. ) ; +#2703 = ORIENTED_EDGE ( 'NONE', *, *, #1866, .F. ) ; +#2704 = ORIENTED_EDGE ( 'NONE', *, *, #2481, .T. ) ; +#2705 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2706 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2707 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#2708 = CIRCLE ( 'NONE', #2555, 1.499999999999997780 ) ; +#2709 = EDGE_CURVE ( 'NONE', #2146, #800, #713, .T. ) ; +#2710 = CARTESIAN_POINT ( 'NONE', ( -8.999999999999998224, -1.000000000000000000, -11.70000000000000462 ) ) ; +#2711 = LINE ( 'NONE', #2699, #1362 ) ; +#2712 = AXIS2_PLACEMENT_3D ( 'NONE', #653, #857, #2797 ) ; +#2713 = VECTOR ( 'NONE', #1563, 1000.000000000000000 ) ; +#2714 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2715 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999999289, 0.0000000000000000000, -12.50000000000000000 ) ) ; +#2716 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2717 = EDGE_CURVE ( 'NONE', #1738, #2395, #135, .T. ) ; +#2718 = VECTOR ( 'NONE', #2136, 1000.000000000000000 ) ; +#2719 = CIRCLE ( 'NONE', #156, 0.6999999999999992895 ) ; +#2720 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#2721 = CIRCLE ( 'NONE', #127, 0.6499999999999995781 ) ; +#2722 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -1.482669637587014273E-16 ) ) ; +#2723 = ADVANCED_FACE ( 'NONE', ( #986 ), #1301, .F. ) ; +#2724 = EDGE_LOOP ( 'NONE', ( #2566, #897, #1075, #2051 ) ) ; +#2725 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#2726 = EDGE_CURVE ( 'NONE', #1564, #2130, #2708, .T. ) ; +#2727 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104416882E-16 ) ) ; +#2728 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2729 = VERTEX_POINT ( 'NONE', #880 ) ; +#2730 = VERTEX_POINT ( 'NONE', #2621 ) ; +#2731 = CARTESIAN_POINT ( 'NONE', ( 9.700000000000001066, -6.000000000000000000, -11.70000000000000462 ) ) ; +#2732 = ORIENTED_EDGE ( 'NONE', *, *, #56, .F. ) ; +#2733 = CARTESIAN_POINT ( 'NONE', ( 7.500000000000000888, -0.2999999999999999889, 11.00000000000000000 ) ) ; +#2734 = ORIENTED_EDGE ( 'NONE', *, *, #781, .T. ) ; +#2735 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#2736 = CARTESIAN_POINT ( 'NONE', ( -8.500000000000000000, 0.0000000000000000000, -10.99999999999999822 ) ) ; +#2737 = ORIENTED_EDGE ( 'NONE', *, *, #2628, .F. ) ; +#2738 = SECURITY_CLASSIFICATION ( '', '', #2678 ) ; +#2739 = CARTESIAN_POINT ( 'NONE', ( 8.200000000000004619, -0.2999999999999999334, 12.49999999999999822 ) ) ; +#2740 = ORIENTED_EDGE ( 'NONE', *, *, #1201, .F. ) ; +#2741 = EDGE_LOOP ( 'NONE', ( #838, #2533, #89, #1384 ) ) ; +#2742 = CALENDAR_DATE ( 2020, 5, 11 ) ; +#2743 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2744 = CARTESIAN_POINT ( 'NONE', ( 3.823076896299275607, -0.2999999999999999889, 1.176470588235294601 ) ) ; +#2745 = VECTOR ( 'NONE', #502, 1000.000000000000000 ) ; +#2746 = VECTOR ( 'NONE', #335, 1000.000000000000000 ) ; +#2747 = DIRECTION ( 'NONE', ( -1.482669637587014273E-16, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2748 = ADVANCED_FACE ( 'NONE', ( #269 ), #1987, .F. ) ; +#2749 = LINE ( 'NONE', #1025, #1158 ) ; +#2750 = FACE_OUTER_BOUND ( 'NONE', #1577, .T. ) ; +#2751 = AXIS2_PLACEMENT_3D ( 'NONE', #2519, #355, #1492 ) ; +#2752 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2753 = VECTOR ( 'NONE', #429, 1000.000000000000000 ) ; +#2754 = CC_DESIGN_APPROVAL ( #2118, ( #2738 ) ) ; +#2755 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#2756 = CIRCLE ( 'NONE', #657, 1.800000000000002931 ) ; +#2757 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104417128E-16 ) ) ; +#2758 = ORIENTED_EDGE ( 'NONE', *, *, #1185, .F. ) ; +#2759 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#2760 = ORIENTED_EDGE ( 'NONE', *, *, #456, .F. ) ; +#2761 = DIRECTION ( 'NONE', ( 1.000000000000000000, -4.417691270052851002E-41, -1.779203565104417128E-16 ) ) ; +#2762 = CARTESIAN_POINT ( 'NONE', ( -9.699999999999999289, -2.200000000000000178, -6.849999999999998757 ) ) ; +#2763 = ADVANCED_FACE ( 'NONE', ( #1551 ), #2059, .T. ) ; +#2764 = ORIENTED_EDGE ( 'NONE', *, *, #1057, .T. ) ; +#2765 = EDGE_CURVE ( 'NONE', #18, #1650, #2637, .T. ) ; +#2766 = VERTEX_POINT ( 'NONE', #28 ) ; +#2767 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2768 = ORIENTED_EDGE ( 'NONE', *, *, #2370, .F. ) ; +#2769 = CARTESIAN_POINT ( 'NONE', ( 5.500000000000001776, -6.000000000000000000, -13.19999999999999751 ) ) ; +#2770 = ORIENTED_EDGE ( 'NONE', *, *, #2579, .F. ) ; +#2771 = AXIS2_PLACEMENT_3D ( 'NONE', #501, #2006, #1806 ) ; +#2772 = CARTESIAN_POINT ( 'NONE', ( 4.062019202317980415, -0.2999999999999999889, 1.000000000000000000 ) ) ; +#2773 = ORIENTED_EDGE ( 'NONE', *, *, #1, .T. ) ; +#2774 = EDGE_CURVE ( 'NONE', #2460, #1564, #412, .T. ) ; +#2775 = ORIENTED_EDGE ( 'NONE', *, *, #835, .T. ) ; +#2776 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999999289, -0.2999999999999999889, -11.70000000000000284 ) ) ; +#2777 = EDGE_LOOP ( 'NONE', ( #1138, #1414, #20, #804 ) ) ; +#2778 = VERTEX_POINT ( 'NONE', #712 ) ; +#2779 = ADVANCED_FACE ( 'NONE', ( #1431 ), #1495, .F. ) ; +#2780 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#2781 = FACE_OUTER_BOUND ( 'NONE', #1780, .T. ) ; +#2782 = EDGE_CURVE ( 'NONE', #2494, #2766, #341, .T. ) ; +#2783 = EDGE_CURVE ( 'NONE', #2616, #983, #1720, .T. ) ; +#2784 = CYLINDRICAL_SURFACE ( 'NONE', #2167, 0.2499999999999995837 ) ; +#2785 = CARTESIAN_POINT ( 'NONE', ( -6.985419176710472300, -0.2999999999999999889, -1.000000000000000000 ) ) ; +#2786 = LINE ( 'NONE', #323, #207 ) ; +#2787 = CARTESIAN_POINT ( 'NONE', ( -8.200000000000001066, -1.000000000000000000, -12.49999999999999645 ) ) ; +#2788 = LINE ( 'NONE', #2792, #2718 ) ; +#2789 = LINE ( 'NONE', #764, #2644 ) ; +#2790 = CARTESIAN_POINT ( 'NONE', ( 7.850000000000001421, -0.2999999999999999889, -0.3500000000000004774 ) ) ; +#2791 = VECTOR ( 'NONE', #1779, 1000.000000000000000 ) ; +#2792 = CARTESIAN_POINT ( 'NONE', ( 10.00000000000000178, -6.000000000000000000, 11.70000000000000107 ) ) ; +#2793 = ORIENTED_EDGE ( 'NONE', *, *, #1849, .T. ) ; +#2794 = AXIS2_PLACEMENT_3D ( 'NONE', #1112, #1986, #696 ) ; +#2795 = EDGE_CURVE ( 'NONE', #403, #700, #1358, .T. ) ; +#2796 = ORIENTED_EDGE ( 'NONE', *, *, #629, .F. ) ; +#2797 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#2798 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#2799 = ORIENTED_EDGE ( 'NONE', *, *, #600, .T. ) ; +#2800 = AXIS2_PLACEMENT_3D ( 'NONE', #1908, #2259, #968 ) ; +#2801 = ORIENTED_EDGE ( 'NONE', *, *, #107, .F. ) ; +#2802 = EDGE_LOOP ( 'NONE', ( #2627, #261, #1428, #2428 ) ) ; +#2803 = ORIENTED_EDGE ( 'NONE', *, *, #990, .F. ) ; +#2804 = EDGE_CURVE ( 'NONE', #2778, #845, #374, .T. ) ; +#2805 = CARTESIAN_POINT ( 'NONE', ( -8.199999999999997513, -6.000000000000000000, 13.50000000000000178 ) ) ; +#2806 = EDGE_LOOP ( 'NONE', ( #2124, #50, #534, #369, #1104, #1889, #2429, #606, #1807, #1646, #201, #163, #1279, #1703, #2483, #692 ) ) ; +#2807 = CARTESIAN_POINT ( 'NONE', ( 8.199999999999997513, 0.0000000000000000000, -13.19999999999999751 ) ) ; +#2808 = ORIENTED_EDGE ( 'NONE', *, *, #2272, .F. ) ; +#2809 = AXIS2_PLACEMENT_3D ( 'NONE', #128, #988, #553 ) ; +#2810 = ORIENTED_EDGE ( 'NONE', *, *, #1497, .T. ) ; +ENDSEC; +END-ISO-10303-21; diff --git a/ceres.pretty/step/SMP-MSLD-PCS-18.stp b/ceres.pretty/step/SMP-MSLD-PCS-18.stp new file mode 100644 index 0000000..8c58b34 --- /dev/null +++ b/ceres.pretty/step/SMP-MSLD-PCS-18.stp @@ -0,0 +1,1206 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('SMP-MSLD-PCS-18_SW0001','2019-08-16T',('Clement'),(''), +'CREO PARAMETRIC BY PTC INC, 2018360','CREO PARAMETRIC BY PTC INC, 2018360',''); +FILE_SCHEMA(('CONFIG_CONTROL_DESIGN')); +ENDSEC; +DATA; +#1=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#2=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#4=AXIS2_PLACEMENT_3D('',#1,#2,#3); +#6=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#7=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#9=AXIS2_PLACEMENT_3D('',#6,#7,#8); +#11=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#12=DIRECTION('',(1.E0,0.E0,0.E0)); +#13=DIRECTION('',(0.E0,1.E0,0.E0)); +#14=AXIS2_PLACEMENT_3D('',#11,#12,#13); +#16=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#17=DIRECTION('',(1.E0,0.E0,0.E0)); +#18=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19=AXIS2_PLACEMENT_3D('',#16,#17,#18); +#21=DIRECTION('',(1.E0,0.E0,0.E0)); +#22=VECTOR('',#21,2.29E0); +#23=CARTESIAN_POINT('',(0.E0,1.481388706586E0,-1.481388706586E0)); +#24=LINE('',#23,#22); +#25=DIRECTION('',(1.E0,0.E0,0.E0)); +#26=VECTOR('',#25,2.29E0); +#27=CARTESIAN_POINT('',(0.E0,-1.481388706586E0,1.481388706586E0)); +#28=LINE('',#27,#26); +#29=CARTESIAN_POINT('',(2.29E0,0.E0,0.E0)); +#30=DIRECTION('',(-1.E0,0.E0,0.E0)); +#31=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#32=AXIS2_PLACEMENT_3D('',#29,#30,#31); +#34=CARTESIAN_POINT('',(2.29E0,0.E0,0.E0)); +#35=DIRECTION('',(1.E0,0.E0,0.E0)); +#36=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#37=AXIS2_PLACEMENT_3D('',#34,#35,#36); +#39=CARTESIAN_POINT('',(2.847143009768E0,0.E0,0.E0)); +#40=DIRECTION('',(-1.E0,0.E0,0.E0)); +#41=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#42=AXIS2_PLACEMENT_3D('',#39,#40,#41); +#44=DIRECTION('',(5.E-1,-6.123724356958E-1,6.123724356958E-1)); +#45=VECTOR('',#44,1.114286019536E0); +#46=CARTESIAN_POINT('',(2.29E0,-1.615738995011E0,1.615738995011E0)); +#47=LINE('',#46,#45); +#48=CARTESIAN_POINT('',(2.29E0,0.E0,0.E0)); +#49=DIRECTION('',(1.E0,0.E0,0.E0)); +#50=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#51=AXIS2_PLACEMENT_3D('',#48,#49,#50); +#53=DIRECTION('',(5.E-1,6.123724356958E-1,-6.123724356958E-1)); +#54=VECTOR('',#53,1.114286019536E0); +#55=CARTESIAN_POINT('',(2.29E0,1.615738995011E0,-1.615738995011E0)); +#56=LINE('',#55,#54); +#57=CARTESIAN_POINT('',(2.847143009768E0,0.E0,0.E0)); +#58=DIRECTION('',(-1.E0,0.E0,0.E0)); +#59=DIRECTION('',(0.E0,7.692307692308E-1,-6.389710663783E-1)); +#60=AXIS2_PLACEMENT_3D('',#57,#58,#59); +#62=CARTESIAN_POINT('',(2.847143009768E0,0.E0,0.E0)); +#63=DIRECTION('',(-1.E0,0.E0,0.E0)); +#64=DIRECTION('',(0.E0,6.389710663783E-1,7.692307692308E-1)); +#65=AXIS2_PLACEMENT_3D('',#62,#63,#64); +#67=DIRECTION('',(0.E0,0.E0,-1.E0)); +#68=VECTOR('',#67,1.353611120240E0); +#69=CARTESIAN_POINT('',(4.09E0,-4.826E-1,2.5E0)); +#70=LINE('',#69,#68); +#71=DIRECTION('',(0.E0,0.E0,-1.E0)); +#72=VECTOR('',#71,1.353611120240E0); +#73=CARTESIAN_POINT('',(4.09E0,4.826E-1,2.5E0)); +#74=LINE('',#73,#72); +#75=DIRECTION('',(0.E0,-1.E0,0.E0)); +#76=VECTOR('',#75,1.594055965730E0); +#77=CARTESIAN_POINT('',(4.09E0,2.076655965730E0,2.5E0)); +#78=LINE('',#77,#76); +#79=CARTESIAN_POINT('',(4.09E0,0.E0,0.E0)); +#80=DIRECTION('',(-1.E0,0.E0,0.E0)); +#81=DIRECTION('',(0.E0,6.389710663783E-1,7.692307692308E-1)); +#82=AXIS2_PLACEMENT_3D('',#79,#80,#81); +#84=DIRECTION('',(0.E0,0.E0,1.E0)); +#85=VECTOR('',#84,4.153311931459E0); +#86=CARTESIAN_POINT('',(4.09E0,2.5E0,-2.076655965730E0)); +#87=LINE('',#86,#85); +#88=CARTESIAN_POINT('',(4.09E0,0.E0,0.E0)); +#89=DIRECTION('',(-1.E0,0.E0,0.E0)); +#90=DIRECTION('',(0.E0,7.692307692308E-1,-6.389710663783E-1)); +#91=AXIS2_PLACEMENT_3D('',#88,#89,#90); +#93=DIRECTION('',(0.E0,1.E0,0.E0)); +#94=VECTOR('',#93,4.153311931459E0); +#95=CARTESIAN_POINT('',(4.09E0,-2.076655965730E0,-2.5E0)); +#96=LINE('',#95,#94); +#97=CARTESIAN_POINT('',(4.09E0,0.E0,0.E0)); +#98=DIRECTION('',(-1.E0,0.E0,0.E0)); +#99=DIRECTION('',(0.E0,-6.389710663783E-1,-7.692307692308E-1)); +#100=AXIS2_PLACEMENT_3D('',#97,#98,#99); +#102=DIRECTION('',(0.E0,0.E0,-1.E0)); +#103=VECTOR('',#102,4.153311931459E0); +#104=CARTESIAN_POINT('',(4.09E0,-2.5E0,2.076655965730E0)); +#105=LINE('',#104,#103); +#106=CARTESIAN_POINT('',(4.09E0,0.E0,0.E0)); +#107=DIRECTION('',(-1.E0,0.E0,0.E0)); +#108=DIRECTION('',(0.E0,-7.692307692308E-1,6.389710663783E-1)); +#109=AXIS2_PLACEMENT_3D('',#106,#107,#108); +#111=DIRECTION('',(0.E0,-1.E0,0.E0)); +#112=VECTOR('',#111,1.594055965730E0); +#113=CARTESIAN_POINT('',(4.09E0,-4.826E-1,2.5E0)); +#114=LINE('',#113,#112); +#115=DIRECTION('',(1.E0,0.E0,0.E0)); +#116=VECTOR('',#115,9.652E-1); +#117=CARTESIAN_POINT('',(3.1248E0,-4.826E-1,1.146388879760E0)); +#118=LINE('',#117,#116); +#119=CARTESIAN_POINT('',(4.09E0,0.E0,0.E0)); +#120=DIRECTION('',(1.E0,0.E0,0.E0)); +#121=DIRECTION('',(0.E0,3.879955008082E-1,9.216612671435E-1)); +#122=AXIS2_PLACEMENT_3D('',#119,#120,#121); +#124=CARTESIAN_POINT('',(3.1248E0,0.E0,0.E0)); +#125=DIRECTION('',(-1.E0,0.E0,0.E0)); +#126=DIRECTION('',(0.E0,-3.879955008082E-1,9.216612671435E-1)); +#127=AXIS2_PLACEMENT_3D('',#124,#125,#126); +#129=DIRECTION('',(0.E0,0.E0,-1.E0)); +#130=VECTOR('',#129,1.353611120240E0); +#131=CARTESIAN_POINT('',(3.1248E0,-4.826E-1,2.5E0)); +#132=LINE('',#131,#130); +#133=DIRECTION('',(0.E0,0.E0,1.E0)); +#134=VECTOR('',#133,1.353611120240E0); +#135=CARTESIAN_POINT('',(3.1248E0,4.826E-1,1.146388879760E0)); +#136=LINE('',#135,#134); +#137=DIRECTION('',(-1.E0,0.E0,0.E0)); +#138=VECTOR('',#137,1.242856990232E0); +#139=CARTESIAN_POINT('',(4.09E0,-2.076655965730E0,2.5E0)); +#140=LINE('',#139,#138); +#141=CARTESIAN_POINT('',(2.847143009768E0,-2.076655965730E0,2.5E0)); +#142=CARTESIAN_POINT('',(2.820191267933E0,-2.003598219196E0,2.5E0)); +#143=CARTESIAN_POINT('',(2.769453526021E0,-1.861473663635E0,2.5E0)); +#144=CARTESIAN_POINT('',(2.703007461149E0,-1.661579827467E0,2.5E0)); +#145=CARTESIAN_POINT('',(2.644713695100E0,-1.471393864755E0,2.5E0)); +#146=CARTESIAN_POINT('',(2.593702170052E0,-1.288568245611E0,2.5E0)); +#147=CARTESIAN_POINT('',(2.549293770944E0,-1.110835306514E0,2.5E0)); +#148=CARTESIAN_POINT('',(2.511179451080E0,-9.367232138989E-1,2.5E0)); +#149=CARTESIAN_POINT('',(2.479198648415E0,-7.649383938922E-1,2.5E0)); +#150=CARTESIAN_POINT('',(2.453337055107E0,-5.943805062589E-1,2.5E0)); +#151=CARTESIAN_POINT('',(2.433723647988E0,-4.244808039275E-1,2.5E0)); +#152=CARTESIAN_POINT('',(2.420506181708E0,-2.546625111047E-1,2.5E0)); +#153=CARTESIAN_POINT('',(2.413853751698E0,-8.492562935363E-2,2.5E0)); +#154=CARTESIAN_POINT('',(2.413853800305E0,8.492804526303E-2,2.5E0)); +#155=CARTESIAN_POINT('',(2.420506318976E0,2.546648769549E-1,2.5E0)); +#156=CARTESIAN_POINT('',(2.433723890808E0,4.244832891340E-1,2.5E0)); +#157=CARTESIAN_POINT('',(2.453337349144E0,5.943827116668E-1,2.5E0)); +#158=CARTESIAN_POINT('',(2.479199072861E0,7.649408884300E-1,2.5E0)); +#159=CARTESIAN_POINT('',(2.511179823428E0,9.367250223243E-1,2.5E0)); +#160=CARTESIAN_POINT('',(2.549294156232E0,1.110836923721E0,2.5E0)); +#161=CARTESIAN_POINT('',(2.593702363792E0,1.288568952851E0,2.5E0)); +#162=CARTESIAN_POINT('',(2.644713844438E0,1.471394366956E0,2.5E0)); +#163=CARTESIAN_POINT('',(2.703007471706E0,1.661579847164E0,2.5E0)); +#164=CARTESIAN_POINT('',(2.769453445790E0,1.861473430794E0,2.5E0)); +#165=CARTESIAN_POINT('',(2.820191224973E0,2.003598102743E0,2.5E0)); +#166=CARTESIAN_POINT('',(2.847143009768E0,2.076655965730E0,2.5E0)); +#168=DIRECTION('',(-1.E0,0.E0,0.E0)); +#169=VECTOR('',#168,1.242856990232E0); +#170=CARTESIAN_POINT('',(4.09E0,2.076655965730E0,2.5E0)); +#171=LINE('',#170,#169); +#172=DIRECTION('',(-1.E0,0.E0,0.E0)); +#173=VECTOR('',#172,9.652E-1); +#174=CARTESIAN_POINT('',(4.09E0,4.826E-1,2.5E0)); +#175=LINE('',#174,#173); +#176=DIRECTION('',(0.E0,1.E0,0.E0)); +#177=VECTOR('',#176,9.652E-1); +#178=CARTESIAN_POINT('',(3.1248E0,-4.826E-1,2.5E0)); +#179=LINE('',#178,#177); +#180=DIRECTION('',(-1.E0,0.E0,0.E0)); +#181=VECTOR('',#180,9.652E-1); +#182=CARTESIAN_POINT('',(4.09E0,-4.826E-1,2.5E0)); +#183=LINE('',#182,#181); +#184=DIRECTION('',(-1.E0,0.E0,0.E0)); +#185=VECTOR('',#184,1.242856990232E0); +#186=CARTESIAN_POINT('',(4.09E0,2.5E0,2.076655965730E0)); +#187=LINE('',#186,#185); +#188=CARTESIAN_POINT('',(2.847143009768E0,2.5E0,2.076655965730E0)); +#189=CARTESIAN_POINT('',(2.820191267933E0,2.5E0,2.003598219196E0)); +#190=CARTESIAN_POINT('',(2.769453526021E0,2.5E0,1.861473663635E0)); +#191=CARTESIAN_POINT('',(2.703007461149E0,2.5E0,1.661579827467E0)); +#192=CARTESIAN_POINT('',(2.644713695100E0,2.5E0,1.471393864755E0)); +#193=CARTESIAN_POINT('',(2.593702170052E0,2.5E0,1.288568245611E0)); +#194=CARTESIAN_POINT('',(2.549293770944E0,2.5E0,1.110835306514E0)); +#195=CARTESIAN_POINT('',(2.511179451080E0,2.5E0,9.367232138989E-1)); +#196=CARTESIAN_POINT('',(2.479198648415E0,2.5E0,7.649383938922E-1)); +#197=CARTESIAN_POINT('',(2.453337055107E0,2.5E0,5.943805062589E-1)); +#198=CARTESIAN_POINT('',(2.433723647988E0,2.5E0,4.244808039275E-1)); +#199=CARTESIAN_POINT('',(2.420506181708E0,2.5E0,2.546625111047E-1)); +#200=CARTESIAN_POINT('',(2.413853751698E0,2.5E0,8.492562935363E-2)); +#201=CARTESIAN_POINT('',(2.413853800305E0,2.5E0,-8.492804526303E-2)); +#202=CARTESIAN_POINT('',(2.420506318976E0,2.5E0,-2.546648769549E-1)); +#203=CARTESIAN_POINT('',(2.433723890808E0,2.5E0,-4.244832891340E-1)); +#204=CARTESIAN_POINT('',(2.453337349144E0,2.5E0,-5.943827116668E-1)); +#205=CARTESIAN_POINT('',(2.479199072861E0,2.5E0,-7.649408884300E-1)); +#206=CARTESIAN_POINT('',(2.511179823428E0,2.5E0,-9.367250223243E-1)); +#207=CARTESIAN_POINT('',(2.549294156232E0,2.5E0,-1.110836923721E0)); +#208=CARTESIAN_POINT('',(2.593702363792E0,2.5E0,-1.288568952851E0)); +#209=CARTESIAN_POINT('',(2.644713844438E0,2.5E0,-1.471394366956E0)); +#210=CARTESIAN_POINT('',(2.703007471706E0,2.5E0,-1.661579847164E0)); +#211=CARTESIAN_POINT('',(2.769453445790E0,2.5E0,-1.861473430794E0)); +#212=CARTESIAN_POINT('',(2.820191224973E0,2.5E0,-2.003598102743E0)); +#213=CARTESIAN_POINT('',(2.847143009768E0,2.5E0,-2.076655965730E0)); +#215=DIRECTION('',(-1.E0,0.E0,0.E0)); +#216=VECTOR('',#215,1.242856990232E0); +#217=CARTESIAN_POINT('',(4.09E0,2.5E0,-2.076655965730E0)); +#218=LINE('',#217,#216); +#219=CARTESIAN_POINT('',(2.847143009768E0,0.E0,0.E0)); +#220=DIRECTION('',(-1.E0,0.E0,0.E0)); +#221=DIRECTION('',(0.E0,-6.389710663783E-1,-7.692307692308E-1)); +#222=AXIS2_PLACEMENT_3D('',#219,#220,#221); +#224=CARTESIAN_POINT('',(2.847143009768E0,0.E0,0.E0)); +#225=DIRECTION('',(-1.E0,0.E0,0.E0)); +#226=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#227=AXIS2_PLACEMENT_3D('',#224,#225,#226); +#229=CARTESIAN_POINT('',(2.29E0,0.E0,0.E0)); +#230=DIRECTION('',(-1.E0,0.E0,0.E0)); +#231=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#232=AXIS2_PLACEMENT_3D('',#229,#230,#231); +#234=CARTESIAN_POINT('',(2.847143009768E0,0.E0,0.E0)); +#235=DIRECTION('',(-1.E0,0.E0,0.E0)); +#236=DIRECTION('',(0.E0,-7.692307692308E-1,6.389710663783E-1)); +#237=AXIS2_PLACEMENT_3D('',#234,#235,#236); +#239=DIRECTION('',(-1.E0,0.E0,0.E0)); +#240=VECTOR('',#239,1.242856990232E0); +#241=CARTESIAN_POINT('',(4.09E0,-2.076655965730E0,-2.5E0)); +#242=LINE('',#241,#240); +#243=DIRECTION('',(-1.E0,0.E0,0.E0)); +#244=VECTOR('',#243,1.242856990232E0); +#245=CARTESIAN_POINT('',(4.09E0,2.076655965730E0,-2.5E0)); +#246=LINE('',#245,#244); +#247=CARTESIAN_POINT('',(2.847143009768E0,2.076655965730E0,-2.5E0)); +#248=CARTESIAN_POINT('',(2.820191267933E0,2.003598219196E0,-2.5E0)); +#249=CARTESIAN_POINT('',(2.769453526021E0,1.861473663635E0,-2.5E0)); +#250=CARTESIAN_POINT('',(2.703007461149E0,1.661579827467E0,-2.5E0)); +#251=CARTESIAN_POINT('',(2.644713695100E0,1.471393864755E0,-2.5E0)); +#252=CARTESIAN_POINT('',(2.593702170052E0,1.288568245611E0,-2.5E0)); +#253=CARTESIAN_POINT('',(2.549293770944E0,1.110835306514E0,-2.5E0)); +#254=CARTESIAN_POINT('',(2.511179451080E0,9.367232138989E-1,-2.5E0)); +#255=CARTESIAN_POINT('',(2.479198648415E0,7.649383938922E-1,-2.5E0)); +#256=CARTESIAN_POINT('',(2.453337055107E0,5.943805062589E-1,-2.5E0)); +#257=CARTESIAN_POINT('',(2.433723647988E0,4.244808039275E-1,-2.5E0)); +#258=CARTESIAN_POINT('',(2.420506181708E0,2.546625111047E-1,-2.5E0)); +#259=CARTESIAN_POINT('',(2.413853751698E0,8.492562935363E-2,-2.5E0)); +#260=CARTESIAN_POINT('',(2.413853800305E0,-8.492804526303E-2,-2.5E0)); +#261=CARTESIAN_POINT('',(2.420506318976E0,-2.546648769549E-1,-2.5E0)); +#262=CARTESIAN_POINT('',(2.433723890808E0,-4.244832891340E-1,-2.5E0)); +#263=CARTESIAN_POINT('',(2.453337349144E0,-5.943827116668E-1,-2.5E0)); +#264=CARTESIAN_POINT('',(2.479199072861E0,-7.649408884300E-1,-2.5E0)); +#265=CARTESIAN_POINT('',(2.511179823428E0,-9.367250223243E-1,-2.5E0)); +#266=CARTESIAN_POINT('',(2.549294156232E0,-1.110836923721E0,-2.5E0)); +#267=CARTESIAN_POINT('',(2.593702363792E0,-1.288568952851E0,-2.5E0)); +#268=CARTESIAN_POINT('',(2.644713844438E0,-1.471394366956E0,-2.5E0)); +#269=CARTESIAN_POINT('',(2.703007471706E0,-1.661579847164E0,-2.5E0)); +#270=CARTESIAN_POINT('',(2.769453445790E0,-1.861473430794E0,-2.5E0)); +#271=CARTESIAN_POINT('',(2.820191224973E0,-2.003598102743E0,-2.5E0)); +#272=CARTESIAN_POINT('',(2.847143009768E0,-2.076655965730E0,-2.5E0)); +#274=DIRECTION('',(-1.E0,0.E0,0.E0)); +#275=VECTOR('',#274,1.242856990232E0); +#276=CARTESIAN_POINT('',(4.09E0,-2.5E0,-2.076655965730E0)); +#277=LINE('',#276,#275); +#278=CARTESIAN_POINT('',(2.847143009768E0,-2.5E0,-2.076655965730E0)); +#279=CARTESIAN_POINT('',(2.820191267933E0,-2.5E0,-2.003598219196E0)); +#280=CARTESIAN_POINT('',(2.769453526021E0,-2.5E0,-1.861473663635E0)); +#281=CARTESIAN_POINT('',(2.703007461149E0,-2.5E0,-1.661579827467E0)); +#282=CARTESIAN_POINT('',(2.644713695100E0,-2.5E0,-1.471393864755E0)); +#283=CARTESIAN_POINT('',(2.593702170052E0,-2.5E0,-1.288568245611E0)); +#284=CARTESIAN_POINT('',(2.549293770944E0,-2.5E0,-1.110835306514E0)); +#285=CARTESIAN_POINT('',(2.511179451080E0,-2.5E0,-9.367232138989E-1)); +#286=CARTESIAN_POINT('',(2.479198648415E0,-2.5E0,-7.649383938922E-1)); +#287=CARTESIAN_POINT('',(2.453337055107E0,-2.5E0,-5.943805062589E-1)); +#288=CARTESIAN_POINT('',(2.433723647988E0,-2.5E0,-4.244808039275E-1)); +#289=CARTESIAN_POINT('',(2.420506181708E0,-2.5E0,-2.546625111047E-1)); +#290=CARTESIAN_POINT('',(2.413853751698E0,-2.5E0,-8.492562935363E-2)); +#291=CARTESIAN_POINT('',(2.413853800305E0,-2.5E0,8.492804526303E-2)); +#292=CARTESIAN_POINT('',(2.420506318976E0,-2.5E0,2.546648769549E-1)); +#293=CARTESIAN_POINT('',(2.433723890808E0,-2.5E0,4.244832891340E-1)); +#294=CARTESIAN_POINT('',(2.453337349144E0,-2.5E0,5.943827116668E-1)); +#295=CARTESIAN_POINT('',(2.479199072861E0,-2.5E0,7.649408884300E-1)); +#296=CARTESIAN_POINT('',(2.511179823428E0,-2.5E0,9.367250223243E-1)); +#297=CARTESIAN_POINT('',(2.549294156232E0,-2.5E0,1.110836923721E0)); +#298=CARTESIAN_POINT('',(2.593702363792E0,-2.5E0,1.288568952851E0)); +#299=CARTESIAN_POINT('',(2.644713844438E0,-2.5E0,1.471394366956E0)); +#300=CARTESIAN_POINT('',(2.703007471706E0,-2.5E0,1.661579847164E0)); +#301=CARTESIAN_POINT('',(2.769453445790E0,-2.5E0,1.861473430794E0)); +#302=CARTESIAN_POINT('',(2.820191224973E0,-2.5E0,2.003598102743E0)); +#303=CARTESIAN_POINT('',(2.847143009768E0,-2.5E0,2.076655965730E0)); +#305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#306=VECTOR('',#305,1.242856990232E0); +#307=CARTESIAN_POINT('',(4.09E0,-2.5E0,2.076655965730E0)); +#308=LINE('',#307,#306); +#309=DIRECTION('',(1.E0,0.E0,0.E0)); +#310=VECTOR('',#309,9.652E-1); +#311=CARTESIAN_POINT('',(3.1248E0,4.826E-1,1.146388879760E0)); +#312=LINE('',#311,#310); +#313=DIRECTION('',(7.071061620466E-1,-7.071074003260E-1,-1.766911776806E-9)); +#314=VECTOR('',#313,1.838477630754E-1); +#315=CARTESIAN_POINT('',(3.793405292107E-7,1.945000379184E0,1.082345927579E-9)); +#316=LINE('',#315,#314); +#317=DIRECTION('',(7.071061620466E-1,7.071074003259E-1,1.766911803259E-9)); +#318=VECTOR('',#317,1.838477630754E-1); +#319=CARTESIAN_POINT('',(3.793405285446E-7,-1.945000379184E0, +-1.082346188685E-9)); +#320=LINE('',#319,#318); +#321=CARTESIAN_POINT('',(1.300010617392E-1,0.E0,0.E0)); +#322=DIRECTION('',(1.E0,0.E0,0.E0)); +#323=DIRECTION('',(0.E0,-1.E0,0.E0)); +#324=AXIS2_PLACEMENT_3D('',#321,#322,#323); +#326=DIRECTION('',(-9.999999999999E-1,3.491845144919E-7,9.967147265867E-10)); +#327=VECTOR('',#326,7.599997345104E-1); +#328=CARTESIAN_POINT('',(8.9E-1,1.815E0,0.E0)); +#329=LINE('',#328,#327); +#330=CARTESIAN_POINT('',(8.9E-1,0.E0,0.E0)); +#331=DIRECTION('',(-1.E0,0.E0,0.E0)); +#332=DIRECTION('',(0.E0,1.E0,0.E0)); +#333=AXIS2_PLACEMENT_3D('',#330,#331,#332); +#335=DIRECTION('',(-9.999999999999E-1,-3.491845136154E-7,-9.967150637472E-10)); +#336=VECTOR('',#335,7.599997345104E-1); +#337=CARTESIAN_POINT('',(8.9E-1,-1.815E0,0.E0)); +#338=LINE('',#337,#336); +#339=CARTESIAN_POINT('',(1.300010617392E-1,0.E0,0.E0)); +#340=DIRECTION('',(1.E0,0.E0,0.E0)); +#341=DIRECTION('',(0.E0,1.E0,0.E0)); +#342=AXIS2_PLACEMENT_3D('',#339,#340,#341); +#344=CARTESIAN_POINT('',(8.9E-1,0.E0,0.E0)); +#345=DIRECTION('',(1.E0,0.E0,0.E0)); +#346=DIRECTION('',(0.E0,1.E0,0.E0)); +#347=AXIS2_PLACEMENT_3D('',#344,#345,#346); +#349=CARTESIAN_POINT('',(2.127416697508E0,0.E0,0.E0)); +#350=DIRECTION('',(-1.E0,0.E0,0.E0)); +#351=DIRECTION('',(0.E0,-1.E0,0.E0)); +#352=AXIS2_PLACEMENT_3D('',#349,#350,#351); +#354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#355=VECTOR('',#354,7.351219633130E-1); +#356=CARTESIAN_POINT('',(2.127416697508E0,1.525E0,0.E0)); +#357=LINE('',#356,#355); +#358=CARTESIAN_POINT('',(1.392294734195E0,0.E0,0.E0)); +#359=DIRECTION('',(-1.E0,0.E0,0.E0)); +#360=DIRECTION('',(0.E0,-1.E0,0.E0)); +#361=AXIS2_PLACEMENT_3D('',#358,#359,#360); +#363=DIRECTION('',(-1.E0,0.E0,0.E0)); +#364=VECTOR('',#363,7.351219633130E-1); +#365=CARTESIAN_POINT('',(2.127416697508E0,-1.525E0,0.E0)); +#366=LINE('',#365,#364); +#367=CARTESIAN_POINT('',(2.24E0,0.E0,0.E0)); +#368=DIRECTION('',(1.E0,0.E0,0.E0)); +#369=DIRECTION('',(0.E0,1.E0,0.E0)); +#370=AXIS2_PLACEMENT_3D('',#367,#368,#369); +#372=DIRECTION('',(-8.660254037844E-1,-5.E-1,0.E0)); +#373=VECTOR('',#372,1.3E-1); +#374=CARTESIAN_POINT('',(2.24E0,1.59E0,0.E0)); +#375=LINE('',#374,#373); +#376=CARTESIAN_POINT('',(2.24E0,0.E0,0.E0)); +#377=DIRECTION('',(-1.E0,0.E0,0.E0)); +#378=DIRECTION('',(0.E0,1.E0,0.E0)); +#379=AXIS2_PLACEMENT_3D('',#376,#377,#378); +#381=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#382=VECTOR('',#381,1.3E-1); +#383=CARTESIAN_POINT('',(2.24E0,-1.59E0,0.E0)); +#384=LINE('',#383,#382); +#385=CARTESIAN_POINT('',(2.127416697508E0,0.E0,0.E0)); +#386=DIRECTION('',(-1.E0,0.E0,0.E0)); +#387=DIRECTION('',(0.E0,1.E0,0.E0)); +#388=AXIS2_PLACEMENT_3D('',#385,#386,#387); +#390=CARTESIAN_POINT('',(1.392294734195E0,0.E0,0.E0)); +#391=DIRECTION('',(-1.E0,0.E0,0.E0)); +#392=DIRECTION('',(0.E0,1.E0,0.E0)); +#393=AXIS2_PLACEMENT_3D('',#390,#391,#392); +#395=DIRECTION('',(-8.660254037844E-1,-5.E-1,0.E0)); +#396=VECTOR('',#395,5.8E-1); +#397=CARTESIAN_POINT('',(1.392294734195E0,-1.525E0,0.E0)); +#398=LINE('',#397,#396); +#399=DIRECTION('',(-8.660254037844E-1,5.E-1,0.E0)); +#400=VECTOR('',#399,5.8E-1); +#401=CARTESIAN_POINT('',(1.392294734195E0,1.525E0,0.E0)); +#402=LINE('',#401,#400); +#403=DIRECTION('',(-1.E0,0.E0,0.E0)); +#404=VECTOR('',#403,5.6E-1); +#405=CARTESIAN_POINT('',(2.8E0,1.59E0,0.E0)); +#406=LINE('',#405,#404); +#407=CARTESIAN_POINT('',(2.8E0,0.E0,0.E0)); +#408=DIRECTION('',(-1.E0,0.E0,0.E0)); +#409=DIRECTION('',(0.E0,1.E0,0.E0)); +#410=AXIS2_PLACEMENT_3D('',#407,#408,#409); +#412=DIRECTION('',(-1.E0,0.E0,0.E0)); +#413=VECTOR('',#412,5.6E-1); +#414=CARTESIAN_POINT('',(2.8E0,-1.59E0,0.E0)); +#415=LINE('',#414,#413); +#416=CARTESIAN_POINT('',(2.8E0,0.E0,0.E0)); +#417=DIRECTION('',(1.E0,0.E0,0.E0)); +#418=DIRECTION('',(0.E0,1.E0,0.E0)); +#419=AXIS2_PLACEMENT_3D('',#416,#417,#418); +#421=CARTESIAN_POINT('',(2.8E0,0.E0,0.E0)); +#422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#423=DIRECTION('',(0.E0,0.E0,-1.E0)); +#424=AXIS2_PLACEMENT_3D('',#421,#422,#423); +#426=CARTESIAN_POINT('',(2.8E0,0.E0,0.E0)); +#427=DIRECTION('',(-1.E0,0.E0,0.E0)); +#428=DIRECTION('',(0.E0,0.E0,1.E0)); +#429=AXIS2_PLACEMENT_3D('',#426,#427,#428); +#431=DIRECTION('',(-1.E0,0.E0,0.E0)); +#432=VECTOR('',#431,1.08E0); +#433=CARTESIAN_POINT('',(2.8E0,0.E0,1.9E-1)); +#434=LINE('',#433,#432); +#435=DIRECTION('',(-1.E0,0.E0,0.E0)); +#436=VECTOR('',#435,1.08E0); +#437=CARTESIAN_POINT('',(2.8E0,0.E0,-1.9E-1)); +#438=LINE('',#437,#436); +#439=CARTESIAN_POINT('',(1.72E0,0.E0,0.E0)); +#440=DIRECTION('',(0.E0,1.E0,0.E0)); +#441=DIRECTION('',(0.E0,0.E0,-1.E0)); +#442=AXIS2_PLACEMENT_3D('',#439,#440,#441); +#444=CARTESIAN_POINT('',(1.72E0,0.E0,0.E0)); +#445=DIRECTION('',(1.E0,0.E0,0.E0)); +#446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#447=AXIS2_PLACEMENT_3D('',#444,#445,#446); +#449=CARTESIAN_POINT('',(1.72E0,0.E0,0.E0)); +#450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#451=DIRECTION('',(0.E0,0.E0,1.E0)); +#452=AXIS2_PLACEMENT_3D('',#449,#450,#451); +#454=CARTESIAN_POINT('',(1.72E0,0.E0,0.E0)); +#455=DIRECTION('',(-1.E0,0.E0,0.E0)); +#456=DIRECTION('',(0.E0,0.E0,-1.E0)); +#457=AXIS2_PLACEMENT_3D('',#454,#455,#456); +#459=CARTESIAN_POINT('',(0.E0,1.481388706586E0,-1.481388706586E0)); +#460=CARTESIAN_POINT('',(0.E0,-1.481388706586E0,1.481388706586E0)); +#461=VERTEX_POINT('',#459); +#462=VERTEX_POINT('',#460); +#463=CARTESIAN_POINT('',(2.29E0,1.481388706586E0,-1.481388706586E0)); +#464=VERTEX_POINT('',#463); +#465=CARTESIAN_POINT('',(2.29E0,-1.481388706586E0,1.481388706586E0)); +#466=VERTEX_POINT('',#465); +#467=CARTESIAN_POINT('',(3.1248E0,-4.826E-1,2.5E0)); +#468=CARTESIAN_POINT('',(3.1248E0,4.826E-1,2.5E0)); +#469=VERTEX_POINT('',#467); +#470=VERTEX_POINT('',#468); +#471=CARTESIAN_POINT('',(4.09E0,-2.076655965730E0,-2.5E0)); +#472=CARTESIAN_POINT('',(4.09E0,-2.5E0,-2.076655965730E0)); +#473=VERTEX_POINT('',#471); +#474=VERTEX_POINT('',#472); +#475=CARTESIAN_POINT('',(4.09E0,-2.5E0,2.076655965730E0)); +#476=CARTESIAN_POINT('',(4.09E0,-2.076655965730E0,2.5E0)); +#477=VERTEX_POINT('',#475); +#478=VERTEX_POINT('',#476); +#479=CARTESIAN_POINT('',(4.09E0,2.076655965730E0,2.5E0)); +#480=CARTESIAN_POINT('',(4.09E0,2.5E0,2.076655965730E0)); +#481=VERTEX_POINT('',#479); +#482=VERTEX_POINT('',#480); +#483=CARTESIAN_POINT('',(4.09E0,2.5E0,-2.076655965730E0)); +#484=CARTESIAN_POINT('',(4.09E0,2.076655965730E0,-2.5E0)); +#485=VERTEX_POINT('',#483); +#486=VERTEX_POINT('',#484); +#487=CARTESIAN_POINT('',(2.847143009768E0,-2.5E0,-2.076655965730E0)); +#488=VERTEX_POINT('',#487); +#489=CARTESIAN_POINT('',(2.847143009768E0,-2.5E0,2.076655965730E0)); +#490=VERTEX_POINT('',#489); +#491=CARTESIAN_POINT('',(2.847143009768E0,-2.076655965730E0,-2.5E0)); +#492=VERTEX_POINT('',#491); +#493=CARTESIAN_POINT('',(2.847143009768E0,2.076655965730E0,-2.5E0)); +#494=VERTEX_POINT('',#493); +#495=CARTESIAN_POINT('',(2.847143009768E0,2.5E0,2.076655965730E0)); +#496=VERTEX_POINT('',#495); +#497=CARTESIAN_POINT('',(2.847143009768E0,2.5E0,-2.076655965730E0)); +#498=VERTEX_POINT('',#497); +#499=CARTESIAN_POINT('',(2.847143009768E0,-2.076655965730E0,2.5E0)); +#500=VERTEX_POINT('',#499); +#501=CARTESIAN_POINT('',(2.847143009768E0,2.076655965730E0,2.5E0)); +#502=VERTEX_POINT('',#501); +#503=CARTESIAN_POINT('',(4.09E0,4.826E-1,2.5E0)); +#504=VERTEX_POINT('',#503); +#505=CARTESIAN_POINT('',(4.09E0,-4.826E-1,2.5E0)); +#506=VERTEX_POINT('',#505); +#507=CARTESIAN_POINT('',(8.9E-1,1.815E0,0.E0)); +#508=CARTESIAN_POINT('',(8.9E-1,-1.815E0,0.E0)); +#509=VERTEX_POINT('',#507); +#510=VERTEX_POINT('',#508); +#511=CARTESIAN_POINT('',(1.392294734195E0,1.525E0,0.E0)); +#512=CARTESIAN_POINT('',(1.392294734195E0,-1.525E0,0.E0)); +#513=VERTEX_POINT('',#511); +#514=VERTEX_POINT('',#512); +#515=CARTESIAN_POINT('',(2.8E0,1.59E0,0.E0)); +#516=CARTESIAN_POINT('',(2.24E0,1.59E0,0.E0)); +#517=VERTEX_POINT('',#515); +#518=VERTEX_POINT('',#516); +#519=CARTESIAN_POINT('',(2.8E0,-1.59E0,0.E0)); +#520=CARTESIAN_POINT('',(2.24E0,-1.59E0,0.E0)); +#521=VERTEX_POINT('',#519); +#522=VERTEX_POINT('',#520); +#523=CARTESIAN_POINT('',(2.127416697508E0,1.525E0,0.E0)); +#524=CARTESIAN_POINT('',(2.127416697508E0,-1.525E0,0.E0)); +#525=VERTEX_POINT('',#523); +#526=VERTEX_POINT('',#524); +#527=CARTESIAN_POINT('',(0.E0,1.945001137787E0,0.E0)); +#528=CARTESIAN_POINT('',(0.E0,-1.945001137787E0,0.E0)); +#529=VERTEX_POINT('',#527); +#530=VERTEX_POINT('',#528); +#531=CARTESIAN_POINT('',(1.300010617392E-1,1.815E0,0.E0)); +#532=CARTESIAN_POINT('',(1.300010617392E-1,-1.815E0,0.E0)); +#533=VERTEX_POINT('',#531); +#534=VERTEX_POINT('',#532); +#535=CARTESIAN_POINT('',(2.29E0,1.615738995011E0,-1.615738995011E0)); +#536=CARTESIAN_POINT('',(2.29E0,-1.615738995011E0,1.615738995011E0)); +#537=VERTEX_POINT('',#535); +#538=VERTEX_POINT('',#536); +#539=CARTESIAN_POINT('',(2.847143009768E0,-2.298097038856E0,2.298097038856E0)); +#540=VERTEX_POINT('',#539); +#541=CARTESIAN_POINT('',(2.847143009768E0,2.298097038856E0,-2.298097038856E0)); +#542=VERTEX_POINT('',#541); +#543=CARTESIAN_POINT('',(3.1248E0,4.826E-1,1.146388879760E0)); +#544=CARTESIAN_POINT('',(4.09E0,4.826E-1,1.146388879760E0)); +#545=VERTEX_POINT('',#543); +#546=VERTEX_POINT('',#544); +#547=CARTESIAN_POINT('',(3.1248E0,-4.826E-1,1.146388879760E0)); +#548=CARTESIAN_POINT('',(4.09E0,-4.826E-1,1.146388879760E0)); +#549=VERTEX_POINT('',#547); +#550=VERTEX_POINT('',#548); +#551=CARTESIAN_POINT('',(1.72E0,0.E0,-1.9E-1)); +#552=CARTESIAN_POINT('',(1.53E0,0.E0,0.E0)); +#553=VERTEX_POINT('',#551); +#554=VERTEX_POINT('',#552); +#555=CARTESIAN_POINT('',(1.72E0,0.E0,1.9E-1)); +#556=VERTEX_POINT('',#555); +#557=CARTESIAN_POINT('',(2.8E0,0.E0,-1.9E-1)); +#558=CARTESIAN_POINT('',(2.8E0,0.E0,1.9E-1)); +#559=VERTEX_POINT('',#557); +#560=VERTEX_POINT('',#558); +#561=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#562=DIRECTION('',(1.E0,0.E0,0.E0)); +#563=DIRECTION('',(0.E0,0.E0,-1.E0)); +#564=AXIS2_PLACEMENT_3D('',#561,#562,#563); +#565=PLANE('',#564); +#567=ORIENTED_EDGE('',*,*,#566,.F.); +#569=ORIENTED_EDGE('',*,*,#568,.F.); +#570=EDGE_LOOP('',(#567,#569)); +#571=FACE_OUTER_BOUND('',#570,.F.); +#573=ORIENTED_EDGE('',*,*,#572,.F.); +#575=ORIENTED_EDGE('',*,*,#574,.F.); +#576=EDGE_LOOP('',(#573,#575)); +#577=FACE_BOUND('',#576,.F.); +#578=ADVANCED_FACE('',(#571,#577),#565,.F.); +#579=CARTESIAN_POINT('',(3.162595891582E0,0.E0,0.E0)); +#580=DIRECTION('',(-1.E0,0.E0,0.E0)); +#581=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#582=AXIS2_PLACEMENT_3D('',#579,#580,#581); +#583=CYLINDRICAL_SURFACE('',#582,2.095E0); +#584=ORIENTED_EDGE('',*,*,#566,.T.); +#586=ORIENTED_EDGE('',*,*,#585,.T.); +#588=ORIENTED_EDGE('',*,*,#587,.F.); +#590=ORIENTED_EDGE('',*,*,#589,.F.); +#591=EDGE_LOOP('',(#584,#586,#588,#590)); +#592=FACE_OUTER_BOUND('',#591,.F.); +#593=ADVANCED_FACE('',(#592),#583,.T.); +#594=CARTESIAN_POINT('',(3.162595891582E0,0.E0,0.E0)); +#595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#596=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#597=AXIS2_PLACEMENT_3D('',#594,#595,#596); +#598=CYLINDRICAL_SURFACE('',#597,2.095E0); +#599=ORIENTED_EDGE('',*,*,#568,.T.); +#600=ORIENTED_EDGE('',*,*,#589,.T.); +#602=ORIENTED_EDGE('',*,*,#601,.T.); +#603=ORIENTED_EDGE('',*,*,#585,.F.); +#604=EDGE_LOOP('',(#599,#600,#602,#603)); +#605=FACE_OUTER_BOUND('',#604,.F.); +#606=ADVANCED_FACE('',(#605),#598,.T.); +#607=CARTESIAN_POINT('',(2.29E0,0.E0,0.E0)); +#608=DIRECTION('',(-1.E0,0.E0,0.E0)); +#609=DIRECTION('',(0.E0,7.071067811865E-1,-7.071067811865E-1)); +#610=AXIS2_PLACEMENT_3D('',#607,#608,#609); +#611=PLANE('',#610); +#613=ORIENTED_EDGE('',*,*,#612,.T.); +#615=ORIENTED_EDGE('',*,*,#614,.F.); +#616=EDGE_LOOP('',(#613,#615)); +#617=FACE_OUTER_BOUND('',#616,.F.); +#618=ORIENTED_EDGE('',*,*,#587,.T.); +#619=ORIENTED_EDGE('',*,*,#601,.F.); +#620=EDGE_LOOP('',(#618,#619)); +#621=FACE_BOUND('',#620,.F.); +#622=ADVANCED_FACE('',(#617,#621),#611,.T.); +#623=CARTESIAN_POINT('',(2.568571504884E0,0.E0,0.E0)); +#624=DIRECTION('',(1.E0,0.E0,0.E0)); +#625=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#626=AXIS2_PLACEMENT_3D('',#623,#624,#625); +#627=CONICAL_SURFACE('',#626,2.7675E0,6.E1); +#629=ORIENTED_EDGE('',*,*,#628,.F.); +#631=ORIENTED_EDGE('',*,*,#630,.F.); +#632=ORIENTED_EDGE('',*,*,#612,.F.); +#634=ORIENTED_EDGE('',*,*,#633,.T.); +#636=ORIENTED_EDGE('',*,*,#635,.F.); +#638=ORIENTED_EDGE('',*,*,#637,.F.); +#640=ORIENTED_EDGE('',*,*,#639,.F.); +#642=ORIENTED_EDGE('',*,*,#641,.F.); +#643=EDGE_LOOP('',(#629,#631,#632,#634,#636,#638,#640,#642)); +#644=FACE_OUTER_BOUND('',#643,.F.); +#645=ADVANCED_FACE('',(#644),#627,.T.); +#646=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#647=DIRECTION('',(-1.E0,0.E0,0.E0)); +#648=DIRECTION('',(0.E0,0.E0,1.E0)); +#649=AXIS2_PLACEMENT_3D('',#646,#647,#648); +#650=CYLINDRICAL_SURFACE('',#649,3.25E0); +#652=ORIENTED_EDGE('',*,*,#651,.F.); +#654=ORIENTED_EDGE('',*,*,#653,.T.); +#656=ORIENTED_EDGE('',*,*,#655,.T.); +#657=ORIENTED_EDGE('',*,*,#628,.T.); +#659=ORIENTED_EDGE('',*,*,#658,.F.); +#660=EDGE_LOOP('',(#652,#654,#656,#657,#659)); +#661=FACE_OUTER_BOUND('',#660,.F.); +#662=ADVANCED_FACE('',(#661),#650,.T.); +#663=CARTESIAN_POINT('',(4.09E0,0.E0,0.E0)); +#664=DIRECTION('',(1.E0,0.E0,0.E0)); +#665=DIRECTION('',(0.E0,0.E0,-1.E0)); +#666=AXIS2_PLACEMENT_3D('',#663,#664,#665); +#667=PLANE('',#666); +#669=ORIENTED_EDGE('',*,*,#668,.T.); +#671=ORIENTED_EDGE('',*,*,#670,.F.); +#673=ORIENTED_EDGE('',*,*,#672,.F.); +#675=ORIENTED_EDGE('',*,*,#674,.F.); +#677=ORIENTED_EDGE('',*,*,#676,.T.); +#679=ORIENTED_EDGE('',*,*,#678,.F.); +#681=ORIENTED_EDGE('',*,*,#680,.T.); +#683=ORIENTED_EDGE('',*,*,#682,.F.); +#685=ORIENTED_EDGE('',*,*,#684,.T.); +#687=ORIENTED_EDGE('',*,*,#686,.F.); +#688=ORIENTED_EDGE('',*,*,#651,.T.); +#690=ORIENTED_EDGE('',*,*,#689,.F.); +#691=EDGE_LOOP('',(#669,#671,#673,#675,#677,#679,#681,#683,#685,#687,#688, +#690)); +#692=FACE_OUTER_BOUND('',#691,.F.); +#693=ADVANCED_FACE('',(#692),#667,.T.); +#694=CARTESIAN_POINT('',(4.09E0,-4.826E-1,2.5E0)); +#695=DIRECTION('',(0.E0,-1.E0,0.E0)); +#696=DIRECTION('',(0.E0,0.E0,-1.E0)); +#697=AXIS2_PLACEMENT_3D('',#694,#695,#696); +#698=PLANE('',#697); +#700=ORIENTED_EDGE('',*,*,#699,.T.); +#701=ORIENTED_EDGE('',*,*,#668,.F.); +#703=ORIENTED_EDGE('',*,*,#702,.T.); +#705=ORIENTED_EDGE('',*,*,#704,.T.); +#706=EDGE_LOOP('',(#700,#701,#703,#705)); +#707=FACE_OUTER_BOUND('',#706,.F.); +#708=ADVANCED_FACE('',(#707),#698,.F.); +#709=CARTESIAN_POINT('',(-2.045E-1,0.E0,0.E0)); +#710=DIRECTION('',(1.E0,0.E0,0.E0)); +#711=DIRECTION('',(0.E0,0.E0,1.E0)); +#712=AXIS2_PLACEMENT_3D('',#709,#710,#711); +#713=CYLINDRICAL_SURFACE('',#712,1.243828856249E0); +#714=ORIENTED_EDGE('',*,*,#670,.T.); +#715=ORIENTED_EDGE('',*,*,#699,.F.); +#717=ORIENTED_EDGE('',*,*,#716,.T.); +#719=ORIENTED_EDGE('',*,*,#718,.T.); +#720=EDGE_LOOP('',(#714,#715,#717,#719)); +#721=FACE_OUTER_BOUND('',#720,.F.); +#722=ADVANCED_FACE('',(#721),#713,.T.); +#723=CARTESIAN_POINT('',(3.1248E0,0.E0,0.E0)); +#724=DIRECTION('',(1.E0,0.E0,0.E0)); +#725=DIRECTION('',(0.E0,0.E0,-1.E0)); +#726=AXIS2_PLACEMENT_3D('',#723,#724,#725); +#727=PLANE('',#726); +#728=ORIENTED_EDGE('',*,*,#716,.F.); +#729=ORIENTED_EDGE('',*,*,#704,.F.); +#731=ORIENTED_EDGE('',*,*,#730,.T.); +#733=ORIENTED_EDGE('',*,*,#732,.F.); +#734=EDGE_LOOP('',(#728,#729,#731,#733)); +#735=FACE_OUTER_BOUND('',#734,.F.); +#736=ADVANCED_FACE('',(#735),#727,.T.); +#737=CARTESIAN_POINT('',(0.E0,2.5E0,2.5E0)); +#738=DIRECTION('',(0.E0,0.E0,1.E0)); +#739=DIRECTION('',(0.E0,-1.E0,0.E0)); +#740=AXIS2_PLACEMENT_3D('',#737,#738,#739); +#741=PLANE('',#740); +#742=ORIENTED_EDGE('',*,*,#658,.T.); +#743=ORIENTED_EDGE('',*,*,#641,.T.); +#745=ORIENTED_EDGE('',*,*,#744,.F.); +#746=ORIENTED_EDGE('',*,*,#674,.T.); +#748=ORIENTED_EDGE('',*,*,#747,.T.); +#749=ORIENTED_EDGE('',*,*,#730,.F.); +#750=ORIENTED_EDGE('',*,*,#702,.F.); +#751=ORIENTED_EDGE('',*,*,#689,.T.); +#752=EDGE_LOOP('',(#742,#743,#745,#746,#748,#749,#750,#751)); +#753=FACE_OUTER_BOUND('',#752,.F.); +#754=ADVANCED_FACE('',(#753),#741,.T.); +#755=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#756=DIRECTION('',(-1.E0,0.E0,0.E0)); +#757=DIRECTION('',(0.E0,0.E0,1.E0)); +#758=AXIS2_PLACEMENT_3D('',#755,#756,#757); +#759=CYLINDRICAL_SURFACE('',#758,3.25E0); +#760=ORIENTED_EDGE('',*,*,#676,.F.); +#761=ORIENTED_EDGE('',*,*,#744,.T.); +#762=ORIENTED_EDGE('',*,*,#639,.T.); +#764=ORIENTED_EDGE('',*,*,#763,.F.); +#765=EDGE_LOOP('',(#760,#761,#762,#764)); +#766=FACE_OUTER_BOUND('',#765,.F.); +#767=ADVANCED_FACE('',(#766),#759,.T.); +#768=CARTESIAN_POINT('',(0.E0,2.5E0,-2.5E0)); +#769=DIRECTION('',(0.E0,1.E0,0.E0)); +#770=DIRECTION('',(0.E0,0.E0,1.E0)); +#771=AXIS2_PLACEMENT_3D('',#768,#769,#770); +#772=PLANE('',#771); +#773=ORIENTED_EDGE('',*,*,#763,.T.); +#774=ORIENTED_EDGE('',*,*,#637,.T.); +#776=ORIENTED_EDGE('',*,*,#775,.F.); +#777=ORIENTED_EDGE('',*,*,#678,.T.); +#778=EDGE_LOOP('',(#773,#774,#776,#777)); +#779=FACE_OUTER_BOUND('',#778,.F.); +#780=ADVANCED_FACE('',(#779),#772,.T.); +#781=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#782=DIRECTION('',(-1.E0,0.E0,0.E0)); +#783=DIRECTION('',(0.E0,0.E0,1.E0)); +#784=AXIS2_PLACEMENT_3D('',#781,#782,#783); +#785=CYLINDRICAL_SURFACE('',#784,3.25E0); +#786=ORIENTED_EDGE('',*,*,#680,.F.); +#787=ORIENTED_EDGE('',*,*,#775,.T.); +#788=ORIENTED_EDGE('',*,*,#635,.T.); +#790=ORIENTED_EDGE('',*,*,#789,.T.); +#792=ORIENTED_EDGE('',*,*,#791,.F.); +#793=EDGE_LOOP('',(#786,#787,#788,#790,#792)); +#794=FACE_OUTER_BOUND('',#793,.F.); +#795=ADVANCED_FACE('',(#794),#785,.T.); +#796=CARTESIAN_POINT('',(2.568571504884E0,0.E0,0.E0)); +#797=DIRECTION('',(1.E0,0.E0,0.E0)); +#798=DIRECTION('',(0.E0,-7.071067811865E-1,7.071067811865E-1)); +#799=AXIS2_PLACEMENT_3D('',#796,#797,#798); +#800=CONICAL_SURFACE('',#799,2.7675E0,6.E1); +#802=ORIENTED_EDGE('',*,*,#801,.F.); +#804=ORIENTED_EDGE('',*,*,#803,.F.); +#805=ORIENTED_EDGE('',*,*,#789,.F.); +#806=ORIENTED_EDGE('',*,*,#633,.F.); +#807=ORIENTED_EDGE('',*,*,#614,.T.); +#808=ORIENTED_EDGE('',*,*,#630,.T.); +#809=ORIENTED_EDGE('',*,*,#655,.F.); +#811=ORIENTED_EDGE('',*,*,#810,.F.); +#812=EDGE_LOOP('',(#802,#804,#805,#806,#807,#808,#809,#811)); +#813=FACE_OUTER_BOUND('',#812,.F.); +#814=ADVANCED_FACE('',(#813),#800,.T.); +#815=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#816=DIRECTION('',(-1.E0,0.E0,0.E0)); +#817=DIRECTION('',(0.E0,0.E0,1.E0)); +#818=AXIS2_PLACEMENT_3D('',#815,#816,#817); +#819=CYLINDRICAL_SURFACE('',#818,3.25E0); +#820=ORIENTED_EDGE('',*,*,#684,.F.); +#822=ORIENTED_EDGE('',*,*,#821,.T.); +#823=ORIENTED_EDGE('',*,*,#801,.T.); +#825=ORIENTED_EDGE('',*,*,#824,.F.); +#826=EDGE_LOOP('',(#820,#822,#823,#825)); +#827=FACE_OUTER_BOUND('',#826,.F.); +#828=ADVANCED_FACE('',(#827),#819,.T.); +#829=CARTESIAN_POINT('',(0.E0,-2.5E0,-2.5E0)); +#830=DIRECTION('',(0.E0,0.E0,-1.E0)); +#831=DIRECTION('',(0.E0,1.E0,0.E0)); +#832=AXIS2_PLACEMENT_3D('',#829,#830,#831); +#833=PLANE('',#832); +#834=ORIENTED_EDGE('',*,*,#821,.F.); +#835=ORIENTED_EDGE('',*,*,#682,.T.); +#836=ORIENTED_EDGE('',*,*,#791,.T.); +#837=ORIENTED_EDGE('',*,*,#803,.T.); +#838=EDGE_LOOP('',(#834,#835,#836,#837)); +#839=FACE_OUTER_BOUND('',#838,.F.); +#840=ADVANCED_FACE('',(#839),#833,.T.); +#841=CARTESIAN_POINT('',(0.E0,-2.5E0,2.5E0)); +#842=DIRECTION('',(0.E0,-1.E0,0.E0)); +#843=DIRECTION('',(0.E0,0.E0,-1.E0)); +#844=AXIS2_PLACEMENT_3D('',#841,#842,#843); +#845=PLANE('',#844); +#846=ORIENTED_EDGE('',*,*,#824,.T.); +#847=ORIENTED_EDGE('',*,*,#810,.T.); +#848=ORIENTED_EDGE('',*,*,#653,.F.); +#849=ORIENTED_EDGE('',*,*,#686,.T.); +#850=EDGE_LOOP('',(#846,#847,#848,#849)); +#851=FACE_OUTER_BOUND('',#850,.F.); +#852=ADVANCED_FACE('',(#851),#845,.T.); +#853=CARTESIAN_POINT('',(4.09E0,4.826E-1,1.05E-1)); +#854=DIRECTION('',(0.E0,1.E0,0.E0)); +#855=DIRECTION('',(0.E0,0.E0,1.E0)); +#856=AXIS2_PLACEMENT_3D('',#853,#854,#855); +#857=PLANE('',#856); +#858=ORIENTED_EDGE('',*,*,#718,.F.); +#859=ORIENTED_EDGE('',*,*,#732,.T.); +#860=ORIENTED_EDGE('',*,*,#747,.F.); +#861=ORIENTED_EDGE('',*,*,#672,.T.); +#862=EDGE_LOOP('',(#858,#859,#860,#861)); +#863=FACE_OUTER_BOUND('',#862,.F.); +#864=ADVANCED_FACE('',(#863),#857,.F.); +#865=CARTESIAN_POINT('',(6.500052902778E-2,0.E0,0.E0)); +#866=DIRECTION('',(-1.E0,0.E0,0.E0)); +#867=DIRECTION('',(0.E0,0.E0,-1.E0)); +#868=AXIS2_PLACEMENT_3D('',#865,#866,#867); +#869=CONICAL_SURFACE('',#868,1.880000570735E0,4.500001675846E1); +#870=ORIENTED_EDGE('',*,*,#572,.T.); +#872=ORIENTED_EDGE('',*,*,#871,.T.); +#874=ORIENTED_EDGE('',*,*,#873,.F.); +#876=ORIENTED_EDGE('',*,*,#875,.F.); +#877=EDGE_LOOP('',(#870,#872,#874,#876)); +#878=FACE_OUTER_BOUND('',#877,.F.); +#879=ADVANCED_FACE('',(#878),#869,.F.); +#880=CARTESIAN_POINT('',(6.500052902778E-2,0.E0,0.E0)); +#881=DIRECTION('',(-1.E0,0.E0,0.E0)); +#882=DIRECTION('',(0.E0,0.E0,-1.E0)); +#883=AXIS2_PLACEMENT_3D('',#880,#881,#882); +#884=CONICAL_SURFACE('',#883,1.880000570735E0,4.500001675846E1); +#885=ORIENTED_EDGE('',*,*,#574,.T.); +#886=ORIENTED_EDGE('',*,*,#875,.T.); +#888=ORIENTED_EDGE('',*,*,#887,.F.); +#889=ORIENTED_EDGE('',*,*,#871,.F.); +#890=EDGE_LOOP('',(#885,#886,#888,#889)); +#891=FACE_OUTER_BOUND('',#890,.F.); +#892=ADVANCED_FACE('',(#891),#884,.F.); +#893=CARTESIAN_POINT('',(-6.961473670975E-2,0.E0,0.E0)); +#894=DIRECTION('',(1.E0,0.E0,0.E0)); +#895=DIRECTION('',(0.E0,-1.E0,0.E0)); +#896=AXIS2_PLACEMENT_3D('',#893,#894,#895); +#897=CYLINDRICAL_SURFACE('',#896,1.815E0); +#898=ORIENTED_EDGE('',*,*,#887,.T.); +#900=ORIENTED_EDGE('',*,*,#899,.F.); +#902=ORIENTED_EDGE('',*,*,#901,.T.); +#904=ORIENTED_EDGE('',*,*,#903,.T.); +#905=EDGE_LOOP('',(#898,#900,#902,#904)); +#906=FACE_OUTER_BOUND('',#905,.F.); +#907=ADVANCED_FACE('',(#906),#897,.F.); +#908=CARTESIAN_POINT('',(-6.961473670975E-2,0.E0,0.E0)); +#909=DIRECTION('',(1.E0,0.E0,0.E0)); +#910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#911=AXIS2_PLACEMENT_3D('',#908,#909,#910); +#912=CYLINDRICAL_SURFACE('',#911,1.815E0); +#913=ORIENTED_EDGE('',*,*,#873,.T.); +#914=ORIENTED_EDGE('',*,*,#903,.F.); +#916=ORIENTED_EDGE('',*,*,#915,.F.); +#917=ORIENTED_EDGE('',*,*,#899,.T.); +#918=EDGE_LOOP('',(#913,#914,#916,#917)); +#919=FACE_OUTER_BOUND('',#918,.F.); +#920=ADVANCED_FACE('',(#919),#912,.F.); +#921=CARTESIAN_POINT('',(1.141147367097E0,0.E0,0.E0)); +#922=DIRECTION('',(-1.E0,0.E0,0.E0)); +#923=DIRECTION('',(0.E0,1.E0,0.E0)); +#924=AXIS2_PLACEMENT_3D('',#921,#922,#923); +#925=CONICAL_SURFACE('',#924,1.67E0,3.E1); +#927=ORIENTED_EDGE('',*,*,#926,.T.); +#929=ORIENTED_EDGE('',*,*,#928,.T.); +#930=ORIENTED_EDGE('',*,*,#915,.T.); +#932=ORIENTED_EDGE('',*,*,#931,.F.); +#933=EDGE_LOOP('',(#927,#929,#930,#932)); +#934=FACE_OUTER_BOUND('',#933,.F.); +#935=ADVANCED_FACE('',(#934),#925,.F.); +#936=CARTESIAN_POINT('',(4.2945E0,0.E0,0.E0)); +#937=DIRECTION('',(-1.E0,0.E0,0.E0)); +#938=DIRECTION('',(0.E0,1.E0,0.E0)); +#939=AXIS2_PLACEMENT_3D('',#936,#937,#938); +#940=CYLINDRICAL_SURFACE('',#939,1.525E0); +#942=ORIENTED_EDGE('',*,*,#941,.T.); +#944=ORIENTED_EDGE('',*,*,#943,.T.); +#945=ORIENTED_EDGE('',*,*,#926,.F.); +#947=ORIENTED_EDGE('',*,*,#946,.F.); +#948=EDGE_LOOP('',(#942,#944,#945,#947)); +#949=FACE_OUTER_BOUND('',#948,.F.); +#950=ADVANCED_FACE('',(#949),#940,.F.); +#951=CARTESIAN_POINT('',(2.183708348754E0,0.E0,0.E0)); +#952=DIRECTION('',(1.E0,0.E0,0.E0)); +#953=DIRECTION('',(0.E0,-1.E0,0.E0)); +#954=AXIS2_PLACEMENT_3D('',#951,#952,#953); +#955=CONICAL_SURFACE('',#954,1.5575E0,3.E1); +#956=ORIENTED_EDGE('',*,*,#941,.F.); +#958=ORIENTED_EDGE('',*,*,#957,.F.); +#960=ORIENTED_EDGE('',*,*,#959,.F.); +#962=ORIENTED_EDGE('',*,*,#961,.T.); +#963=EDGE_LOOP('',(#956,#958,#960,#962)); +#964=FACE_OUTER_BOUND('',#963,.F.); +#965=ADVANCED_FACE('',(#964),#955,.F.); +#966=CARTESIAN_POINT('',(2.183708348754E0,0.E0,0.E0)); +#967=DIRECTION('',(1.E0,0.E0,0.E0)); +#968=DIRECTION('',(0.E0,-1.E0,0.E0)); +#969=AXIS2_PLACEMENT_3D('',#966,#967,#968); +#970=CONICAL_SURFACE('',#969,1.5575E0,3.E1); +#972=ORIENTED_EDGE('',*,*,#971,.F.); +#973=ORIENTED_EDGE('',*,*,#961,.F.); +#975=ORIENTED_EDGE('',*,*,#974,.T.); +#976=ORIENTED_EDGE('',*,*,#957,.T.); +#977=EDGE_LOOP('',(#972,#973,#975,#976)); +#978=FACE_OUTER_BOUND('',#977,.F.); +#979=ADVANCED_FACE('',(#978),#970,.F.); +#980=CARTESIAN_POINT('',(4.2945E0,0.E0,0.E0)); +#981=DIRECTION('',(-1.E0,0.E0,0.E0)); +#982=DIRECTION('',(0.E0,1.E0,0.E0)); +#983=AXIS2_PLACEMENT_3D('',#980,#981,#982); +#984=CYLINDRICAL_SURFACE('',#983,1.525E0); +#985=ORIENTED_EDGE('',*,*,#971,.T.); +#986=ORIENTED_EDGE('',*,*,#946,.T.); +#988=ORIENTED_EDGE('',*,*,#987,.F.); +#989=ORIENTED_EDGE('',*,*,#943,.F.); +#990=EDGE_LOOP('',(#985,#986,#988,#989)); +#991=FACE_OUTER_BOUND('',#990,.F.); +#992=ADVANCED_FACE('',(#991),#984,.F.); +#993=CARTESIAN_POINT('',(1.141147367097E0,0.E0,0.E0)); +#994=DIRECTION('',(-1.E0,0.E0,0.E0)); +#995=DIRECTION('',(0.E0,1.E0,0.E0)); +#996=AXIS2_PLACEMENT_3D('',#993,#994,#995); +#997=CONICAL_SURFACE('',#996,1.67E0,3.E1); +#998=ORIENTED_EDGE('',*,*,#987,.T.); +#999=ORIENTED_EDGE('',*,*,#931,.T.); +#1000=ORIENTED_EDGE('',*,*,#901,.F.); +#1001=ORIENTED_EDGE('',*,*,#928,.F.); +#1002=EDGE_LOOP('',(#998,#999,#1000,#1001)); +#1003=FACE_OUTER_BOUND('',#1002,.F.); +#1004=ADVANCED_FACE('',(#1003),#997,.F.); +#1005=CARTESIAN_POINT('',(2.093787532383E0,0.E0,0.E0)); +#1006=DIRECTION('',(1.E0,0.E0,0.E0)); +#1007=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1008=AXIS2_PLACEMENT_3D('',#1005,#1006,#1007); +#1009=CYLINDRICAL_SURFACE('',#1008,1.59E0); +#1011=ORIENTED_EDGE('',*,*,#1010,.F.); +#1013=ORIENTED_EDGE('',*,*,#1012,.T.); +#1015=ORIENTED_EDGE('',*,*,#1014,.T.); +#1016=ORIENTED_EDGE('',*,*,#974,.F.); +#1017=EDGE_LOOP('',(#1011,#1013,#1015,#1016)); +#1018=FACE_OUTER_BOUND('',#1017,.F.); +#1019=ADVANCED_FACE('',(#1018),#1009,.F.); +#1020=CARTESIAN_POINT('',(2.093787532383E0,0.E0,0.E0)); +#1021=DIRECTION('',(1.E0,0.E0,0.E0)); +#1022=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1023=AXIS2_PLACEMENT_3D('',#1020,#1021,#1022); +#1024=CYLINDRICAL_SURFACE('',#1023,1.59E0); +#1025=ORIENTED_EDGE('',*,*,#1010,.T.); +#1026=ORIENTED_EDGE('',*,*,#959,.T.); +#1027=ORIENTED_EDGE('',*,*,#1014,.F.); +#1029=ORIENTED_EDGE('',*,*,#1028,.F.); +#1030=EDGE_LOOP('',(#1025,#1026,#1027,#1029)); +#1031=FACE_OUTER_BOUND('',#1030,.F.); +#1032=ADVANCED_FACE('',(#1031),#1024,.F.); +#1033=CARTESIAN_POINT('',(2.8E0,0.E0,0.E0)); +#1034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1035=DIRECTION('',(0.E0,1.E0,0.E0)); +#1036=AXIS2_PLACEMENT_3D('',#1033,#1034,#1035); +#1037=PLANE('',#1036); +#1038=ORIENTED_EDGE('',*,*,#1028,.T.); +#1039=ORIENTED_EDGE('',*,*,#1012,.F.); +#1040=EDGE_LOOP('',(#1038,#1039)); +#1041=FACE_OUTER_BOUND('',#1040,.F.); +#1043=ORIENTED_EDGE('',*,*,#1042,.T.); +#1045=ORIENTED_EDGE('',*,*,#1044,.T.); +#1046=EDGE_LOOP('',(#1043,#1045)); +#1047=FACE_BOUND('',#1046,.F.); +#1048=ADVANCED_FACE('',(#1041,#1047),#1037,.T.); +#1049=CARTESIAN_POINT('',(1.53E0,0.E0,0.E0)); +#1050=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1051=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1052=AXIS2_PLACEMENT_3D('',#1049,#1050,#1051); +#1053=CYLINDRICAL_SURFACE('',#1052,1.9E-1); +#1054=ORIENTED_EDGE('',*,*,#1042,.F.); +#1056=ORIENTED_EDGE('',*,*,#1055,.T.); +#1058=ORIENTED_EDGE('',*,*,#1057,.T.); +#1060=ORIENTED_EDGE('',*,*,#1059,.F.); +#1061=EDGE_LOOP('',(#1054,#1056,#1058,#1060)); +#1062=FACE_OUTER_BOUND('',#1061,.F.); +#1063=ADVANCED_FACE('',(#1062),#1053,.T.); +#1064=CARTESIAN_POINT('',(1.53E0,0.E0,0.E0)); +#1065=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1066=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1067=AXIS2_PLACEMENT_3D('',#1064,#1065,#1066); +#1068=CYLINDRICAL_SURFACE('',#1067,1.9E-1); +#1069=ORIENTED_EDGE('',*,*,#1044,.F.); +#1070=ORIENTED_EDGE('',*,*,#1059,.T.); +#1072=ORIENTED_EDGE('',*,*,#1071,.F.); +#1073=ORIENTED_EDGE('',*,*,#1055,.F.); +#1074=EDGE_LOOP('',(#1069,#1070,#1072,#1073)); +#1075=FACE_OUTER_BOUND('',#1074,.F.); +#1076=ADVANCED_FACE('',(#1075),#1068,.T.); +#1077=CARTESIAN_POINT('',(1.72E0,0.E0,0.E0)); +#1078=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1079=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1080=AXIS2_PLACEMENT_3D('',#1077,#1078,#1079); +#1081=SPHERICAL_SURFACE('',#1080,1.9E-1); +#1083=ORIENTED_EDGE('',*,*,#1082,.F.); +#1084=ORIENTED_EDGE('',*,*,#1071,.T.); +#1086=ORIENTED_EDGE('',*,*,#1085,.T.); +#1087=EDGE_LOOP('',(#1083,#1084,#1086)); +#1088=FACE_OUTER_BOUND('',#1087,.F.); +#1089=ADVANCED_FACE('',(#1088),#1081,.T.); +#1090=CARTESIAN_POINT('',(1.72E0,0.E0,0.E0)); +#1091=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1092=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1093=AXIS2_PLACEMENT_3D('',#1090,#1091,#1092); +#1094=SPHERICAL_SURFACE('',#1093,1.9E-1); +#1095=ORIENTED_EDGE('',*,*,#1082,.T.); +#1096=ORIENTED_EDGE('',*,*,#1085,.F.); +#1097=ORIENTED_EDGE('',*,*,#1057,.F.); +#1098=EDGE_LOOP('',(#1095,#1096,#1097)); +#1099=FACE_OUTER_BOUND('',#1098,.F.); +#1100=ADVANCED_FACE('',(#1099),#1094,.T.); +#1101=CLOSED_SHELL('',(#578,#593,#606,#622,#645,#662,#693,#708,#722,#736,#754, +#767,#780,#795,#814,#828,#840,#852,#864,#879,#892,#907,#920,#935,#950,#965,#979, +#992,#1004,#1019,#1032,#1048,#1063,#1076,#1089,#1100)); +#1102=MANIFOLD_SOLID_BREP('',#1101); +#1104=DIMENSIONAL_EXPONENTS(0.E0,0.E0,0.E0,0.E0,0.E0,0.E0,0.E0); +#1106=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#1105); +#1107=(CONVERSION_BASED_UNIT('DEGREE',#1106)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#1109=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(2.750250689189E-4),#1103, +'closure', +'Maximum model space distance between geometric entities at asserted connectivities'); +#1110=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#1109))GLOBAL_UNIT_ASSIGNED_CONTEXT((#1103,#1107,#1108))REPRESENTATION_CONTEXT( +'ID1','3')); +#1112=APPLICATION_CONTEXT( +'CONFIGURATION CONTROLLED 3D DESIGNS OF MECHANICAL PARTS AND ASSEMBLIES'); +#1113=APPLICATION_PROTOCOL_DEFINITION('international standard', +'config_control_design',1994,#1112); +#1114=DESIGN_CONTEXT('',#1112,'design'); +#1115=MECHANICAL_CONTEXT('',#1112,'mechanical'); +#1116=PRODUCT('SMP-MSLD-PCS-18_SW0001','SMP-MSLD-PCS-18_SW0001','NOT SPECIFIED', +(#1115)); +#1117=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('1','LAST_VERSION', +#1116,.MADE.); +#1121=PRODUCT_CATEGORY('part',''); +#1122=PRODUCT_RELATED_PRODUCT_CATEGORY('detail','',(#1116)); +#1123=PRODUCT_CATEGORY_RELATIONSHIP('','',#1121,#1122); +#1124=SECURITY_CLASSIFICATION_LEVEL('unclassified'); +#1125=SECURITY_CLASSIFICATION('','',#1124); +#1126=CC_DESIGN_SECURITY_CLASSIFICATION(#1125,(#1117)); +#1127=APPROVAL_STATUS('approved'); +#1128=APPROVAL(#1127,''); +#1129=CC_DESIGN_APPROVAL(#1128,(#1125,#1117,#1118)); +#1130=CALENDAR_DATE(119,16,8); +#1131=COORDINATED_UNIVERSAL_TIME_OFFSET(5,30,.AHEAD.); +#1132=LOCAL_TIME(16,42,3.E0,#1131); +#1133=DATE_AND_TIME(#1130,#1132); +#1134=APPROVAL_DATE_TIME(#1133,#1128); +#1135=DATE_TIME_ROLE('creation_date'); +#1136=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#1133,#1135,(#1118)); +#1137=DATE_TIME_ROLE('classification_date'); +#1138=CC_DESIGN_DATE_AND_TIME_ASSIGNMENT(#1133,#1137,(#1125)); +#1139=PERSON('UNSPECIFIED','UNSPECIFIED',$,$,$,$); +#1140=ORGANIZATION('UNSPECIFIED','UNSPECIFIED','UNSPECIFIED'); +#1141=PERSON_AND_ORGANIZATION(#1139,#1140); +#1142=APPROVAL_ROLE('approver'); +#1143=APPROVAL_PERSON_ORGANIZATION(#1141,#1128,#1142); +#1144=PERSON_AND_ORGANIZATION_ROLE('creator'); +#1145=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1141,#1144,(#1117,#1118)); +#1146=PERSON_AND_ORGANIZATION_ROLE('design_supplier'); +#1147=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1141,#1146,(#1117)); +#1148=PERSON_AND_ORGANIZATION_ROLE('classification_officer'); +#1149=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1141,#1148,(#1125)); +#1150=PERSON_AND_ORGANIZATION_ROLE('design_owner'); +#1151=CC_DESIGN_PERSON_AND_ORGANIZATION_ASSIGNMENT(#1141,#1150,(#1116)); +#5=CIRCLE('',#4,2.095E0); +#10=CIRCLE('',#9,2.095E0); +#15=CIRCLE('',#14,1.945001137787E0); +#20=CIRCLE('',#19,1.945001137787E0); +#33=CIRCLE('',#32,2.095E0); +#38=CIRCLE('',#37,2.095E0); +#43=CIRCLE('',#42,3.25E0); +#52=CIRCLE('',#51,2.285E0); +#61=CIRCLE('',#60,3.25E0); +#66=CIRCLE('',#65,3.25E0); +#83=CIRCLE('',#82,3.25E0); +#92=CIRCLE('',#91,3.25E0); +#101=CIRCLE('',#100,3.25E0); +#110=CIRCLE('',#109,3.25E0); +#123=CIRCLE('',#122,1.243828856249E0); +#128=CIRCLE('',#127,1.243828856249E0); +#167=B_SPLINE_CURVE_WITH_KNOTS('',3,(#141,#142,#143,#144,#145,#146,#147,#148, +#149,#150,#151,#152,#153,#154,#155,#156,#157,#158,#159,#160,#161,#162,#163,#164, +#165,#166),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,4.347826086957E-2,8.695652173913E-2,1.304347826087E-1, +1.739130434783E-1,2.173913043478E-1,2.608695652174E-1,3.043478260870E-1, +3.478260869565E-1,3.913043478261E-1,4.347826086957E-1,4.782608695652E-1, +5.217391304348E-1,5.652173913043E-1,6.086956521739E-1,6.521739130435E-1, +6.956521739130E-1,7.391304347826E-1,7.826086956522E-1,8.260869565217E-1, +8.695652173913E-1,9.130434782609E-1,9.565217391304E-1,1.E0),.UNSPECIFIED.); +#214=B_SPLINE_CURVE_WITH_KNOTS('',3,(#188,#189,#190,#191,#192,#193,#194,#195, +#196,#197,#198,#199,#200,#201,#202,#203,#204,#205,#206,#207,#208,#209,#210,#211, +#212,#213),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,4.347826086957E-2,8.695652173913E-2,1.304347826087E-1, +1.739130434783E-1,2.173913043478E-1,2.608695652174E-1,3.043478260870E-1, +3.478260869565E-1,3.913043478261E-1,4.347826086957E-1,4.782608695652E-1, +5.217391304348E-1,5.652173913043E-1,6.086956521739E-1,6.521739130435E-1, +6.956521739130E-1,7.391304347826E-1,7.826086956522E-1,8.260869565217E-1, +8.695652173913E-1,9.130434782609E-1,9.565217391304E-1,1.E0),.UNSPECIFIED.); +#223=CIRCLE('',#222,3.25E0); +#228=CIRCLE('',#227,3.25E0); +#233=CIRCLE('',#232,2.285E0); +#238=CIRCLE('',#237,3.25E0); +#273=B_SPLINE_CURVE_WITH_KNOTS('',3,(#247,#248,#249,#250,#251,#252,#253,#254, +#255,#256,#257,#258,#259,#260,#261,#262,#263,#264,#265,#266,#267,#268,#269,#270, +#271,#272),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,4.347826086957E-2,8.695652173913E-2,1.304347826087E-1, +1.739130434783E-1,2.173913043478E-1,2.608695652174E-1,3.043478260870E-1, +3.478260869565E-1,3.913043478261E-1,4.347826086957E-1,4.782608695652E-1, +5.217391304348E-1,5.652173913043E-1,6.086956521739E-1,6.521739130435E-1, +6.956521739130E-1,7.391304347826E-1,7.826086956522E-1,8.260869565217E-1, +8.695652173913E-1,9.130434782609E-1,9.565217391304E-1,1.E0),.UNSPECIFIED.); +#304=B_SPLINE_CURVE_WITH_KNOTS('',3,(#278,#279,#280,#281,#282,#283,#284,#285, +#286,#287,#288,#289,#290,#291,#292,#293,#294,#295,#296,#297,#298,#299,#300,#301, +#302,#303),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, +4),(0.E0,4.347826086957E-2,8.695652173913E-2,1.304347826087E-1, +1.739130434783E-1,2.173913043478E-1,2.608695652174E-1,3.043478260870E-1, +3.478260869565E-1,3.913043478261E-1,4.347826086957E-1,4.782608695652E-1, +5.217391304348E-1,5.652173913043E-1,6.086956521739E-1,6.521739130435E-1, +6.956521739130E-1,7.391304347826E-1,7.826086956522E-1,8.260869565217E-1, +8.695652173913E-1,9.130434782609E-1,9.565217391304E-1,1.E0),.UNSPECIFIED.); +#325=CIRCLE('',#324,1.815E0); +#334=CIRCLE('',#333,1.815E0); +#343=CIRCLE('',#342,1.815E0); +#348=CIRCLE('',#347,1.815E0); +#353=CIRCLE('',#352,1.525E0); +#362=CIRCLE('',#361,1.525E0); +#371=CIRCLE('',#370,1.59E0); +#380=CIRCLE('',#379,1.59E0); +#389=CIRCLE('',#388,1.525E0); +#394=CIRCLE('',#393,1.525E0); +#411=CIRCLE('',#410,1.59E0); +#420=CIRCLE('',#419,1.59E0); +#425=CIRCLE('',#424,1.9E-1); +#430=CIRCLE('',#429,1.9E-1); +#443=CIRCLE('',#442,1.9E-1); +#448=CIRCLE('',#447,1.9E-1); +#453=CIRCLE('',#452,1.9E-1); +#458=CIRCLE('',#457,1.9E-1); +#566=EDGE_CURVE('',#461,#462,#5,.T.); +#568=EDGE_CURVE('',#462,#461,#10,.T.); +#572=EDGE_CURVE('',#529,#530,#15,.T.); +#574=EDGE_CURVE('',#530,#529,#20,.T.); +#585=EDGE_CURVE('',#462,#466,#28,.T.); +#587=EDGE_CURVE('',#464,#466,#33,.T.); +#589=EDGE_CURVE('',#461,#464,#24,.T.); +#601=EDGE_CURVE('',#464,#466,#38,.T.); +#612=EDGE_CURVE('',#537,#538,#52,.T.); +#614=EDGE_CURVE('',#537,#538,#233,.T.); +#628=EDGE_CURVE('',#540,#500,#43,.T.); +#630=EDGE_CURVE('',#538,#540,#47,.T.); +#633=EDGE_CURVE('',#537,#542,#56,.T.); +#635=EDGE_CURVE('',#498,#542,#61,.T.); +#637=EDGE_CURVE('',#496,#498,#214,.T.); +#639=EDGE_CURVE('',#502,#496,#66,.T.); +#641=EDGE_CURVE('',#500,#502,#167,.T.); +#651=EDGE_CURVE('',#477,#478,#110,.T.); +#653=EDGE_CURVE('',#477,#490,#308,.T.); +#655=EDGE_CURVE('',#490,#540,#238,.T.); +#658=EDGE_CURVE('',#478,#500,#140,.T.); +#668=EDGE_CURVE('',#506,#550,#70,.T.); +#670=EDGE_CURVE('',#546,#550,#123,.T.); +#672=EDGE_CURVE('',#504,#546,#74,.T.); +#674=EDGE_CURVE('',#481,#504,#78,.T.); +#676=EDGE_CURVE('',#481,#482,#83,.T.); +#678=EDGE_CURVE('',#485,#482,#87,.T.); +#680=EDGE_CURVE('',#485,#486,#92,.T.); +#682=EDGE_CURVE('',#473,#486,#96,.T.); +#684=EDGE_CURVE('',#473,#474,#101,.T.); +#686=EDGE_CURVE('',#477,#474,#105,.T.); +#689=EDGE_CURVE('',#506,#478,#114,.T.); +#699=EDGE_CURVE('',#549,#550,#118,.T.); +#702=EDGE_CURVE('',#506,#469,#183,.T.); +#704=EDGE_CURVE('',#469,#549,#132,.T.); +#716=EDGE_CURVE('',#549,#545,#128,.T.); +#718=EDGE_CURVE('',#545,#546,#312,.T.); +#730=EDGE_CURVE('',#469,#470,#179,.T.); +#732=EDGE_CURVE('',#545,#470,#136,.T.); +#744=EDGE_CURVE('',#481,#502,#171,.T.); +#747=EDGE_CURVE('',#504,#470,#175,.T.); +#763=EDGE_CURVE('',#482,#496,#187,.T.); +#775=EDGE_CURVE('',#485,#498,#218,.T.); +#789=EDGE_CURVE('',#542,#494,#228,.T.); +#791=EDGE_CURVE('',#486,#494,#246,.T.); +#801=EDGE_CURVE('',#492,#488,#223,.T.); +#803=EDGE_CURVE('',#494,#492,#273,.T.); +#810=EDGE_CURVE('',#488,#490,#304,.T.); +#821=EDGE_CURVE('',#473,#492,#242,.T.); +#824=EDGE_CURVE('',#474,#488,#277,.T.); +#871=EDGE_CURVE('',#530,#534,#320,.T.); +#873=EDGE_CURVE('',#533,#534,#343,.T.); +#875=EDGE_CURVE('',#529,#533,#316,.T.); +#887=EDGE_CURVE('',#534,#533,#325,.T.); +#899=EDGE_CURVE('',#509,#533,#329,.T.); +#901=EDGE_CURVE('',#509,#510,#334,.T.); +#903=EDGE_CURVE('',#510,#534,#338,.T.); +#915=EDGE_CURVE('',#509,#510,#348,.T.); +#926=EDGE_CURVE('',#514,#513,#362,.T.); +#928=EDGE_CURVE('',#513,#509,#402,.T.); +#931=EDGE_CURVE('',#514,#510,#398,.T.); +#941=EDGE_CURVE('',#526,#525,#353,.T.); +#943=EDGE_CURVE('',#525,#513,#357,.T.); +#946=EDGE_CURVE('',#526,#514,#366,.T.); +#957=EDGE_CURVE('',#522,#526,#384,.T.); +#959=EDGE_CURVE('',#518,#522,#371,.T.); +#961=EDGE_CURVE('',#518,#525,#375,.T.); +#971=EDGE_CURVE('',#525,#526,#389,.T.); +#974=EDGE_CURVE('',#518,#522,#380,.T.); +#987=EDGE_CURVE('',#513,#514,#394,.T.); +#1010=EDGE_CURVE('',#517,#518,#406,.T.); +#1012=EDGE_CURVE('',#517,#521,#411,.T.); +#1014=EDGE_CURVE('',#521,#522,#415,.T.); +#1028=EDGE_CURVE('',#517,#521,#420,.T.); +#1042=EDGE_CURVE('',#559,#560,#425,.T.); +#1044=EDGE_CURVE('',#560,#559,#430,.T.); +#1055=EDGE_CURVE('',#559,#553,#438,.T.); +#1057=EDGE_CURVE('',#553,#556,#458,.T.); +#1059=EDGE_CURVE('',#560,#556,#434,.T.); +#1071=EDGE_CURVE('',#553,#556,#448,.T.); +#1082=EDGE_CURVE('',#553,#554,#443,.T.); +#1085=EDGE_CURVE('',#556,#554,#453,.T.); +#1103=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#1105=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#1108=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#1111=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#1102),#1110); +#1118=PRODUCT_DEFINITION('design','',#1117,#1114); +#1119=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR SMP-MSLD-PCS-18_SW0001.',#1118); +#1120=SHAPE_DEFINITION_REPRESENTATION(#1119,#1111); +ENDSEC; +END-ISO-10303-21; diff --git a/common.pretty/TRANSITION_GENERIC.kicad_mod b/common.pretty/TRANSITION_GENERIC.kicad_mod old mode 100755 new mode 100644 index ad7d827..e494f3e --- a/common.pretty/TRANSITION_GENERIC.kicad_mod +++ b/common.pretty/TRANSITION_GENERIC.kicad_mod @@ -1,28 +1,31 @@ -(footprint "TRANSITION_GENERIC" (version 20210606) (generator pcbnew) (layer "F.Cu") - (tedit 60DAB296) +(footprint "TRANSITION_GENERIC" (version 20220914) (generator pcbnew) + (layer "F.Cu") (descr "RF, Transition") - (attr exclude_from_bom) - (fp_text reference "REF**" (at 0 -1.778 unlocked) (layer "F.SilkS") - (effects (font (size 0.635 0.635) (thickness 0.127))) + (zone_connect 2) + (attr exclude_from_bom allow_missing_courtyard) + (fp_text reference "REF**" (at 0 -1.524 unlocked) (layer "F.SilkS") hide + (effects (font (size 0.635 0.635) (thickness 0.127))) (tstamp ee2c3d54-5bad-4fa4-8849-dada422e190d) ) (fp_text value "TRANSITION_GENERIC" (at 0 0.635 unlocked) (layer "F.Fab") hide - (effects (font (size 0.635 0.635) (thickness 0.127))) + (effects (font (size 0.635 0.635) (thickness 0.127))) (tstamp 5bca06c8-8c9c-4415-b505-1b2c18dd2983) ) (fp_text user "${REFERENCE}" (at 0 1.5875 unlocked) (layer "F.Fab") hide - (effects (font (size 0.635 0.635) (thickness 0.127))) + (effects (font (size 0.635 0.635) (thickness 0.127))) (tstamp 7e44c592-a69f-42bd-8907-ec2d7e327110) ) - (pad "0" thru_hole circle (at -1.0668 1.0668) (size 0.508 0.508) (drill 0.304546) (layers *.Cu) (remove_unused_layers) (keep_end_layers) (tstamp 01ea499f-5f73-49fe-82a5-a86e79c48427)) - (pad "0" thru_hole circle (at 1.0668 1.0668) (size 0.508 0.508) (drill 0.304546) (layers *.Cu) (remove_unused_layers) (keep_end_layers) (tstamp 0e294e5c-20bd-4ab1-a065-a5a85a7f751e)) - (pad "0" thru_hole circle (at -1.0668 -1.0668) (size 0.508 0.508) (drill 0.304546) (layers *.Cu) (remove_unused_layers) (keep_end_layers) (tstamp 186679ae-b2e7-43ff-811c-572bb5055356)) - (pad "0" thru_hole circle (at 1.0668 -1.0668) (size 0.508 0.508) (drill 0.304546) (layers *.Cu) (remove_unused_layers) (keep_end_layers) (tstamp 30da44d5-c662-4cd6-b127-42c74c546b43)) + (fp_circle (center 0 0) (end 0.7366 0) + (stroke (width 0.127) (type default)) (fill none) (layer "F.Fab") (tstamp f230f165-9ea5-479e-bc9e-f190a7e28aa2)) + (pad "0" thru_hole circle (at -0.762 -0.762) (size 0.508 0.508) (drill 0.304546) (layers *.Cu) (remove_unused_layers) (keep_end_layers) (tstamp 186679ae-b2e7-43ff-811c-572bb5055356)) + (pad "0" thru_hole circle (at -0.762 0.762) (size 0.508 0.508) (drill 0.304546) (layers *.Cu) (remove_unused_layers) (keep_end_layers) (tstamp 01ea499f-5f73-49fe-82a5-a86e79c48427)) + (pad "0" thru_hole circle (at 0.762 -0.762) (size 0.508 0.508) (drill 0.304546) (layers *.Cu) (remove_unused_layers) (keep_end_layers) (tstamp 30da44d5-c662-4cd6-b127-42c74c546b43)) + (pad "0" thru_hole circle (at 0.762 0.762) (size 0.508 0.508) (drill 0.304546) (layers *.Cu) (remove_unused_layers) (keep_end_layers) (tstamp 0e294e5c-20bd-4ab1-a065-a5a85a7f751e)) (pad "1" thru_hole circle (at 0 0) (size 0.6604 0.6604) (drill 0.304546) (layers *.Cu *.Mask) (remove_unused_layers) (keep_end_layers) (tstamp 457bc951-0fd4-4e8a-82b0-4d2fa5cb65f1)) (zone (net 0) (net_name "") (layers *.Cu) (tstamp bddf79e7-78f2-45c3-b650-3a1b740405a1) (name "TRANSITION_KEEPOUT") (hatch edge 0.508) (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias not_allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) (polygon (pts