From d850556bb719accce9a8abf065c7608deae412e1 Mon Sep 17 00:00:00 2001 From: Brendan Haines Date: Fri, 2 Jul 2021 00:58:43 -0600 Subject: [PATCH] use separate build directory --- .gitignore | 1 + hdl/Makefile => Makefile | 20 +- hdl/pins.pcf => pins.pcf | 0 tb.vcd | 1834 ++++++++++++++++++++++++++++++++++++++ 4 files changed, 1847 insertions(+), 8 deletions(-) create mode 100644 .gitignore rename hdl/Makefile => Makefile (60%) rename hdl/pins.pcf => pins.pcf (100%) create mode 100644 tb.vcd diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..c795b05 --- /dev/null +++ b/.gitignore @@ -0,0 +1 @@ +build \ No newline at end of file diff --git a/hdl/Makefile b/Makefile similarity index 60% rename from hdl/Makefile rename to Makefile index 697f18f..98adb54 100644 --- a/hdl/Makefile +++ b/Makefile @@ -2,13 +2,14 @@ PROJ = top PIN_DEF = pins.pcf DEVICE = hx8k PACKAGE = ct256 +BUILD_DIR = build -SOURCE_V = $(wildcard *.v) -TESTBENCH_V = $(wildcard tb/*.v) +SOURCE_V = $(wildcard hdl/*.v) +TESTBENCH_V = $(wildcard hdl/tb/*.v) -all: $(PROJ).rpt $(PROJ).bin +all: $(BUILD_DIR)/$(PROJ).rpt $(BUILD_DIR)/$(PROJ).bin -%.blif: %.v +$(BUILD_DIR)/%.blif: hdl/%.v yosys -p 'synth_ice40 -top top -blif $@' $(SOURCE_V) # yosys -p 'synth_ice40 -top top -blif $@' $< @@ -28,14 +29,17 @@ sudo-prog: $(PROJ).bin @echo 'Executing prog as root!!!' sudo iceprog $< -tb.out: $(SOURCE_V) $(TESTBENCH_V) +$(BUILD_DIR): + mkdir $(BUILD_DIR) + +$(BUILD_DIR)/tb.out: $(BUILD_DIR) $(SOURCE_V) $(TESTBENCH_V) iverilog $^ -o $@ -sim: tb.out - ./tb.out +sim: $(BUILD_DIR)/tb.out + $(BUILD_DIR)/tb.out clean: - rm -rf $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin tb.out tb.vcd + rm -rf $(BUILD_DIR) .SECONDARY: .PHONY: all prog clean sim diff --git a/hdl/pins.pcf b/pins.pcf similarity index 100% rename from hdl/pins.pcf rename to pins.pcf diff --git a/tb.vcd b/tb.vcd new file mode 100644 index 0000000..8cc9308 --- /dev/null +++ b/tb.vcd @@ -0,0 +1,1834 @@ +$date + Fri Jul 2 00:56:42 2021 +$end +$version + Icarus Verilog +$end +$timescale + 1ps +$end +$scope module tb $end +$var wire 8 ! led [7:0] $end +$var reg 1 " clk $end +$var reg 1 # reset $end +$scope module top $end +$var wire 1 " clk $end +$var wire 1 $ n_reset $end +$var wire 8 % led [7:0] $end +$scope module count $end +$var wire 1 " clk $end +$var wire 1 & reset $end +$var reg 8 ' y [7:0] $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +b0 ' +1& +b0 % +0$ +1# +0" +b0 ! +$end +#5000 +1" +#10000 +0& +1$ +0" +0# +#15000 +b1 ! +b1 % +b1 ' +1" +#20000 +0" +#25000 +b10 ! +b10 % +b10 ' +1" +#30000 +0" +#35000 +b11 ! +b11 % +b11 ' +1" +#40000 +0" +#45000 +b100 ! +b100 % +b100 ' +1" +#50000 +0" +#55000 +b101 ! +b101 % +b101 ' +1" +#60000 +0" +#65000 +b110 ! +b110 % +b110 ' +1" +#70000 +0" +#75000 +b111 ! +b111 % +b111 ' +1" +#80000 +0" +#85000 +b1000 ! +b1000 % +b1000 ' +1" +#90000 +0" +#95000 +b1001 ! +b1001 % +b1001 ' +1" +#100000 +0" +#105000 +b1010 ! +b1010 % +b1010 ' +1" +#110000 +0" +#115000 +b1011 ! +b1011 % +b1011 ' +1" +#120000 +0" +#125000 +b1100 ! +b1100 % +b1100 ' +1" +#130000 +0" +#135000 +b1101 ! +b1101 % +b1101 ' +1" +#140000 +0" +#145000 +b1110 ! +b1110 % +b1110 ' +1" +#150000 +0" +#155000 +b1111 ! +b1111 % +b1111 ' +1" +#160000 +0" +#165000 +b10000 ! +b10000 % +b10000 ' +1" +#170000 +0" +#175000 +b10001 ! +b10001 % +b10001 ' +1" +#180000 +0" +#185000 +b10010 ! +b10010 % +b10010 ' +1" +#190000 +0" +#195000 +b10011 ! +b10011 % +b10011 ' +1" +#200000 +0" +#205000 +b10100 ! +b10100 % +b10100 ' +1" +#210000 +0" +#215000 +b10101 ! +b10101 % +b10101 ' +1" +#220000 +0" +#225000 +b10110 ! +b10110 % +b10110 ' +1" +#230000 +0" +#235000 +b10111 ! +b10111 % +b10111 ' +1" +#240000 +0" +#245000 +b11000 ! +b11000 % +b11000 ' +1" +#250000 +0" +#255000 +b11001 ! +b11001 % +b11001 ' +1" +#260000 +0" +#265000 +b11010 ! +b11010 % +b11010 ' +1" +#270000 +0" +#275000 +b11011 ! +b11011 % +b11011 ' +1" +#280000 +0" +#285000 +b11100 ! +b11100 % +b11100 ' +1" +#290000 +0" +#295000 +b11101 ! +b11101 % +b11101 ' +1" +#300000 +0" +#305000 +b11110 ! +b11110 % +b11110 ' +1" +#310000 +0" +#315000 +b11111 ! +b11111 % +b11111 ' +1" +#320000 +0" +#325000 +b100000 ! +b100000 % +b100000 ' +1" +#330000 +0" +#335000 +b100001 ! +b100001 % +b100001 ' +1" +#340000 +0" +#345000 +b100010 ! +b100010 % +b100010 ' +1" +#350000 +0" +#355000 +b100011 ! +b100011 % +b100011 ' +1" +#360000 +0" +#365000 +b100100 ! +b100100 % +b100100 ' +1" +#370000 +0" +#375000 +b100101 ! +b100101 % +b100101 ' +1" +#380000 +0" +#385000 +b100110 ! +b100110 % +b100110 ' +1" +#390000 +0" +#395000 +b100111 ! +b100111 % +b100111 ' +1" +#400000 +0" +#405000 +b101000 ! +b101000 % +b101000 ' +1" +#410000 +0" +#415000 +b101001 ! +b101001 % +b101001 ' +1" +#420000 +0" +#425000 +b101010 ! +b101010 % +b101010 ' +1" +#430000 +0" +#435000 +b101011 ! +b101011 % +b101011 ' +1" +#440000 +0" +#445000 +b101100 ! +b101100 % +b101100 ' +1" +#450000 +0" +#455000 +b101101 ! +b101101 % +b101101 ' +1" +#460000 +0" +#465000 +b101110 ! +b101110 % +b101110 ' +1" +#470000 +0" +#475000 +b101111 ! +b101111 % +b101111 ' +1" +#480000 +0" +#485000 +b110000 ! +b110000 % +b110000 ' +1" +#490000 +0" +#495000 +b110001 ! +b110001 % +b110001 ' +1" +#500000 +0" +#505000 +b110010 ! +b110010 % +b110010 ' +1" +#510000 +0" +#515000 +b110011 ! +b110011 % +b110011 ' +1" +#520000 +0" +#525000 +b110100 ! +b110100 % +b110100 ' +1" +#530000 +0" +#535000 +b110101 ! +b110101 % +b110101 ' +1" +#540000 +0" +#545000 +b110110 ! +b110110 % +b110110 ' +1" +#550000 +0" +#555000 +b110111 ! +b110111 % +b110111 ' +1" +#560000 +0" +#565000 +b111000 ! +b111000 % +b111000 ' +1" +#570000 +0" +#575000 +b111001 ! +b111001 % +b111001 ' +1" +#580000 +0" +#585000 +b111010 ! +b111010 % +b111010 ' +1" +#590000 +0" +#595000 +b111011 ! +b111011 % +b111011 ' +1" +#600000 +0" +#605000 +b111100 ! +b111100 % +b111100 ' +1" +#610000 +0" +#615000 +b111101 ! +b111101 % +b111101 ' +1" +#620000 +0" +#625000 +b111110 ! +b111110 % +b111110 ' +1" +#630000 +0" +#635000 +b111111 ! +b111111 % +b111111 ' +1" +#640000 +0" +#645000 +b1000000 ! +b1000000 % +b1000000 ' +1" +#650000 +0" +#655000 +b1000001 ! +b1000001 % +b1000001 ' +1" +#660000 +0" +#665000 +b1000010 ! +b1000010 % +b1000010 ' +1" +#670000 +0" +#675000 +b1000011 ! +b1000011 % +b1000011 ' +1" +#680000 +0" +#685000 +b1000100 ! +b1000100 % +b1000100 ' +1" +#690000 +0" +#695000 +b1000101 ! +b1000101 % +b1000101 ' +1" +#700000 +0" +#705000 +b1000110 ! +b1000110 % +b1000110 ' +1" +#710000 +0" +#715000 +b1000111 ! +b1000111 % +b1000111 ' +1" +#720000 +0" +#725000 +b1001000 ! +b1001000 % +b1001000 ' +1" +#730000 +0" +#735000 +b1001001 ! +b1001001 % +b1001001 ' +1" +#740000 +0" +#745000 +b1001010 ! +b1001010 % +b1001010 ' +1" +#750000 +0" +#755000 +b1001011 ! +b1001011 % +b1001011 ' +1" +#760000 +0" +#765000 +b1001100 ! +b1001100 % +b1001100 ' +1" +#770000 +0" +#775000 +b1001101 ! +b1001101 % +b1001101 ' +1" +#780000 +0" +#785000 +b1001110 ! +b1001110 % +b1001110 ' +1" +#790000 +0" +#795000 +b1001111 ! +b1001111 % +b1001111 ' +1" +#800000 +0" +#805000 +b1010000 ! +b1010000 % +b1010000 ' +1" +#810000 +0" +#815000 +b1010001 ! +b1010001 % +b1010001 ' +1" +#820000 +0" +#825000 +b1010010 ! +b1010010 % +b1010010 ' +1" +#830000 +0" +#835000 +b1010011 ! +b1010011 % +b1010011 ' +1" +#840000 +0" +#845000 +b1010100 ! +b1010100 % +b1010100 ' +1" +#850000 +0" +#855000 +b1010101 ! +b1010101 % +b1010101 ' +1" +#860000 +0" +#865000 +b1010110 ! +b1010110 % +b1010110 ' +1" +#870000 +0" +#875000 +b1010111 ! +b1010111 % +b1010111 ' +1" +#880000 +0" +#885000 +b1011000 ! +b1011000 % +b1011000 ' +1" +#890000 +0" +#895000 +b1011001 ! +b1011001 % +b1011001 ' +1" +#900000 +0" +#905000 +b1011010 ! +b1011010 % +b1011010 ' +1" +#910000 +0" +#915000 +b1011011 ! +b1011011 % +b1011011 ' +1" +#920000 +0" +#925000 +b1011100 ! +b1011100 % +b1011100 ' +1" +#930000 +0" +#935000 +b1011101 ! +b1011101 % +b1011101 ' +1" +#940000 +0" +#945000 +b1011110 ! +b1011110 % +b1011110 ' +1" +#950000 +0" +#955000 +b1011111 ! +b1011111 % +b1011111 ' +1" +#960000 +0" +#965000 +b1100000 ! +b1100000 % +b1100000 ' +1" +#970000 +0" +#975000 +b1100001 ! +b1100001 % +b1100001 ' +1" +#980000 +0" +#985000 +b1100010 ! +b1100010 % +b1100010 ' +1" +#990000 +0" +#995000 +b1100011 ! +b1100011 % +b1100011 ' +1" +#1000000 +0" +#1005000 +b1100100 ! +b1100100 % +b1100100 ' +1" +#1010000 +0" +#1015000 +b1100101 ! +b1100101 % +b1100101 ' +1" +#1020000 +0" +#1025000 +b1100110 ! +b1100110 % +b1100110 ' +1" +#1030000 +0" +#1035000 +b1100111 ! +b1100111 % +b1100111 ' +1" +#1040000 +0" +#1045000 +b1101000 ! +b1101000 % +b1101000 ' +1" +#1050000 +0" +#1055000 +b1101001 ! +b1101001 % +b1101001 ' +1" +#1060000 +0" +#1065000 +b1101010 ! +b1101010 % +b1101010 ' +1" +#1070000 +0" +#1075000 +b1101011 ! +b1101011 % +b1101011 ' +1" +#1080000 +0" +#1085000 +b1101100 ! +b1101100 % +b1101100 ' +1" +#1090000 +0" +#1095000 +b1101101 ! +b1101101 % +b1101101 ' +1" +#1100000 +0" +#1105000 +b1101110 ! +b1101110 % +b1101110 ' +1" +#1110000 +0" +#1115000 +b1101111 ! +b1101111 % +b1101111 ' +1" +#1120000 +0" +#1125000 +b1110000 ! +b1110000 % +b1110000 ' +1" +#1130000 +0" +#1135000 +b1110001 ! +b1110001 % +b1110001 ' +1" +#1140000 +0" +#1145000 +b1110010 ! +b1110010 % +b1110010 ' +1" +#1150000 +0" +#1155000 +b1110011 ! +b1110011 % +b1110011 ' +1" +#1160000 +0" +#1165000 +b1110100 ! +b1110100 % +b1110100 ' +1" +#1170000 +0" +#1175000 +b1110101 ! +b1110101 % +b1110101 ' +1" +#1180000 +0" +#1185000 +b1110110 ! +b1110110 % +b1110110 ' +1" +#1190000 +0" +#1195000 +b1110111 ! +b1110111 % +b1110111 ' +1" +#1200000 +0" +#1205000 +b1111000 ! +b1111000 % +b1111000 ' +1" +#1210000 +0" +#1215000 +b1111001 ! +b1111001 % +b1111001 ' +1" +#1220000 +0" +#1225000 +b1111010 ! +b1111010 % +b1111010 ' +1" +#1230000 +0" +#1235000 +b1111011 ! +b1111011 % +b1111011 ' +1" +#1240000 +0" +#1245000 +b1111100 ! +b1111100 % +b1111100 ' +1" +#1250000 +0" +#1255000 +b1111101 ! +b1111101 % +b1111101 ' +1" +#1260000 +0" +#1265000 +b1111110 ! +b1111110 % +b1111110 ' +1" +#1270000 +0" +#1275000 +b1111111 ! +b1111111 % +b1111111 ' +1" +#1280000 +0" +#1285000 +b10000000 ! +b10000000 % +b10000000 ' +1" +#1290000 +0" +#1295000 +b10000001 ! +b10000001 % +b10000001 ' +1" +#1300000 +0" +#1305000 +b10000010 ! +b10000010 % +b10000010 ' +1" +#1310000 +0" +#1315000 +b10000011 ! +b10000011 % +b10000011 ' +1" +#1320000 +0" +#1325000 +b10000100 ! +b10000100 % +b10000100 ' +1" +#1330000 +0" +#1335000 +b10000101 ! +b10000101 % +b10000101 ' +1" +#1340000 +0" +#1345000 +b10000110 ! +b10000110 % +b10000110 ' +1" +#1350000 +0" +#1355000 +b10000111 ! +b10000111 % +b10000111 ' +1" +#1360000 +0" +#1365000 +b10001000 ! +b10001000 % +b10001000 ' +1" +#1370000 +0" +#1375000 +b10001001 ! +b10001001 % +b10001001 ' +1" +#1380000 +0" +#1385000 +b10001010 ! +b10001010 % +b10001010 ' +1" +#1390000 +0" +#1395000 +b10001011 ! +b10001011 % +b10001011 ' +1" +#1400000 +0" +#1405000 +b10001100 ! +b10001100 % +b10001100 ' +1" +#1410000 +0" +#1415000 +b10001101 ! +b10001101 % +b10001101 ' +1" +#1420000 +0" +#1425000 +b10001110 ! +b10001110 % +b10001110 ' +1" +#1430000 +0" +#1435000 +b10001111 ! +b10001111 % +b10001111 ' +1" +#1440000 +0" +#1445000 +b10010000 ! +b10010000 % +b10010000 ' +1" +#1450000 +0" +#1455000 +b10010001 ! +b10010001 % +b10010001 ' +1" +#1460000 +0" +#1465000 +b10010010 ! +b10010010 % +b10010010 ' +1" +#1470000 +0" +#1475000 +b10010011 ! +b10010011 % +b10010011 ' +1" +#1480000 +0" +#1485000 +b10010100 ! +b10010100 % +b10010100 ' +1" +#1490000 +0" +#1495000 +b10010101 ! +b10010101 % +b10010101 ' +1" +#1500000 +0" +#1505000 +b10010110 ! +b10010110 % +b10010110 ' +1" +#1510000 +0" +#1515000 +b10010111 ! +b10010111 % +b10010111 ' +1" +#1520000 +0" +#1525000 +b10011000 ! +b10011000 % +b10011000 ' +1" +#1530000 +0" +#1535000 +b10011001 ! +b10011001 % +b10011001 ' +1" +#1540000 +0" +#1545000 +b10011010 ! +b10011010 % +b10011010 ' +1" +#1550000 +0" +#1555000 +b10011011 ! +b10011011 % +b10011011 ' +1" +#1560000 +0" +#1565000 +b10011100 ! +b10011100 % +b10011100 ' +1" +#1570000 +0" +#1575000 +b10011101 ! +b10011101 % +b10011101 ' +1" +#1580000 +0" +#1585000 +b10011110 ! +b10011110 % +b10011110 ' +1" +#1590000 +0" +#1595000 +b10011111 ! +b10011111 % +b10011111 ' +1" +#1600000 +0" +#1605000 +b10100000 ! +b10100000 % +b10100000 ' +1" +#1610000 +0" +#1615000 +b10100001 ! +b10100001 % +b10100001 ' +1" +#1620000 +0" +#1625000 +b10100010 ! +b10100010 % +b10100010 ' +1" +#1630000 +0" +#1635000 +b10100011 ! +b10100011 % +b10100011 ' +1" +#1640000 +0" +#1645000 +b10100100 ! +b10100100 % +b10100100 ' +1" +#1650000 +0" +#1655000 +b10100101 ! +b10100101 % +b10100101 ' +1" +#1660000 +0" +#1665000 +b10100110 ! +b10100110 % +b10100110 ' +1" +#1670000 +0" +#1675000 +b10100111 ! +b10100111 % +b10100111 ' +1" +#1680000 +0" +#1685000 +b10101000 ! +b10101000 % +b10101000 ' +1" +#1690000 +0" +#1695000 +b10101001 ! +b10101001 % +b10101001 ' +1" +#1700000 +0" +#1705000 +b10101010 ! +b10101010 % +b10101010 ' +1" +#1710000 +0" +#1715000 +b10101011 ! +b10101011 % +b10101011 ' +1" +#1720000 +0" +#1725000 +b10101100 ! +b10101100 % +b10101100 ' +1" +#1730000 +0" +#1735000 +b10101101 ! +b10101101 % +b10101101 ' +1" +#1740000 +0" +#1745000 +b10101110 ! +b10101110 % +b10101110 ' +1" +#1750000 +0" +#1755000 +b10101111 ! +b10101111 % +b10101111 ' +1" +#1760000 +0" +#1765000 +b10110000 ! +b10110000 % +b10110000 ' +1" +#1770000 +0" +#1775000 +b10110001 ! +b10110001 % +b10110001 ' +1" +#1780000 +0" +#1785000 +b10110010 ! +b10110010 % +b10110010 ' +1" +#1790000 +0" +#1795000 +b10110011 ! +b10110011 % +b10110011 ' +1" +#1800000 +0" +#1805000 +b10110100 ! +b10110100 % +b10110100 ' +1" +#1810000 +0" +#1815000 +b10110101 ! +b10110101 % +b10110101 ' +1" +#1820000 +0" +#1825000 +b10110110 ! +b10110110 % +b10110110 ' +1" +#1830000 +0" +#1835000 +b10110111 ! +b10110111 % +b10110111 ' +1" +#1840000 +0" +#1845000 +b10111000 ! +b10111000 % +b10111000 ' +1" +#1850000 +0" +#1855000 +b10111001 ! +b10111001 % +b10111001 ' +1" +#1860000 +0" +#1865000 +b10111010 ! +b10111010 % +b10111010 ' +1" +#1870000 +0" +#1875000 +b10111011 ! +b10111011 % +b10111011 ' +1" +#1880000 +0" +#1885000 +b10111100 ! +b10111100 % +b10111100 ' +1" +#1890000 +0" +#1895000 +b10111101 ! +b10111101 % +b10111101 ' +1" +#1900000 +0" +#1905000 +b10111110 ! +b10111110 % +b10111110 ' +1" +#1910000 +0" +#1915000 +b10111111 ! +b10111111 % +b10111111 ' +1" +#1920000 +0" +#1925000 +b11000000 ! +b11000000 % +b11000000 ' +1" +#1930000 +0" +#1935000 +b11000001 ! +b11000001 % +b11000001 ' +1" +#1940000 +0" +#1945000 +b11000010 ! +b11000010 % +b11000010 ' +1" +#1950000 +0" +#1955000 +b11000011 ! +b11000011 % +b11000011 ' +1" +#1960000 +0" +#1965000 +b11000100 ! +b11000100 % +b11000100 ' +1" +#1970000 +0" +#1975000 +b11000101 ! +b11000101 % +b11000101 ' +1" +#1980000 +0" +#1985000 +b11000110 ! +b11000110 % +b11000110 ' +1" +#1990000 +0" +#1995000 +b11000111 ! +b11000111 % +b11000111 ' +1" +#2000000 +0" +#2005000 +b11001000 ! +b11001000 % +b11001000 ' +1" +#2010000 +0" +#2015000 +b11001001 ! +b11001001 % +b11001001 ' +1" +#2020000 +0" +#2025000 +b11001010 ! +b11001010 % +b11001010 ' +1" +#2030000 +0" +#2035000 +b11001011 ! +b11001011 % +b11001011 ' +1" +#2040000 +0" +#2045000 +b11001100 ! +b11001100 % +b11001100 ' +1" +#2050000 +0" +#2055000 +b11001101 ! +b11001101 % +b11001101 ' +1" +#2060000 +0" +#2065000 +b11001110 ! +b11001110 % +b11001110 ' +1" +#2070000 +0" +#2075000 +b11001111 ! +b11001111 % +b11001111 ' +1" +#2080000 +0" +#2085000 +b11010000 ! +b11010000 % +b11010000 ' +1" +#2090000 +0" +#2095000 +b11010001 ! +b11010001 % +b11010001 ' +1" +#2100000 +0" +#2105000 +b11010010 ! +b11010010 % +b11010010 ' +1" +#2110000 +0" +#2115000 +b11010011 ! +b11010011 % +b11010011 ' +1" +#2120000 +0" +#2125000 +b11010100 ! +b11010100 % +b11010100 ' +1" +#2130000 +0" +#2135000 +b11010101 ! +b11010101 % +b11010101 ' +1" +#2140000 +0" +#2145000 +b11010110 ! +b11010110 % +b11010110 ' +1" +#2150000 +0" +#2155000 +b11010111 ! +b11010111 % +b11010111 ' +1" +#2160000 +0" +#2165000 +b11011000 ! +b11011000 % +b11011000 ' +1" +#2170000 +0" +#2175000 +b11011001 ! +b11011001 % +b11011001 ' +1" +#2180000 +0" +#2185000 +b11011010 ! +b11011010 % +b11011010 ' +1" +#2190000 +0" +#2195000 +b11011011 ! +b11011011 % +b11011011 ' +1" +#2200000 +0" +#2205000 +b11011100 ! +b11011100 % +b11011100 ' +1" +#2210000 +0" +#2215000 +b11011101 ! +b11011101 % +b11011101 ' +1" +#2220000 +0" +#2225000 +b11011110 ! +b11011110 % +b11011110 ' +1" +#2230000 +0" +#2235000 +b11011111 ! +b11011111 % +b11011111 ' +1" +#2240000 +0" +#2245000 +b11100000 ! +b11100000 % +b11100000 ' +1" +#2250000 +0" +#2255000 +b11100001 ! +b11100001 % +b11100001 ' +1" +#2260000 +0" +#2265000 +b11100010 ! +b11100010 % +b11100010 ' +1" +#2270000 +0" +#2275000 +b11100011 ! +b11100011 % +b11100011 ' +1" +#2280000 +0" +#2285000 +b11100100 ! +b11100100 % +b11100100 ' +1" +#2290000 +0" +#2295000 +b11100101 ! +b11100101 % +b11100101 ' +1" +#2300000 +0" +#2305000 +b11100110 ! +b11100110 % +b11100110 ' +1" +#2310000 +0" +#2315000 +b11100111 ! +b11100111 % +b11100111 ' +1" +#2320000 +0" +#2325000 +b11101000 ! +b11101000 % +b11101000 ' +1" +#2330000 +0" +#2335000 +b11101001 ! +b11101001 % +b11101001 ' +1" +#2340000 +0" +#2345000 +b11101010 ! +b11101010 % +b11101010 ' +1" +#2350000 +0" +#2355000 +b11101011 ! +b11101011 % +b11101011 ' +1" +#2360000 +0" +#2365000 +b11101100 ! +b11101100 % +b11101100 ' +1" +#2370000 +0" +#2375000 +b11101101 ! +b11101101 % +b11101101 ' +1" +#2380000 +0" +#2385000 +b11101110 ! +b11101110 % +b11101110 ' +1" +#2390000 +0" +#2395000 +b11101111 ! +b11101111 % +b11101111 ' +1" +#2400000 +0" +#2405000 +b11110000 ! +b11110000 % +b11110000 ' +1" +#2410000 +0" +#2415000 +b11110001 ! +b11110001 % +b11110001 ' +1" +#2420000 +0" +#2425000 +b11110010 ! +b11110010 % +b11110010 ' +1" +#2430000 +0" +#2435000 +b11110011 ! +b11110011 % +b11110011 ' +1" +#2440000 +0" +#2445000 +b11110100 ! +b11110100 % +b11110100 ' +1" +#2450000 +0" +#2455000 +b11110101 ! +b11110101 % +b11110101 ' +1" +#2460000 +0" +#2465000 +b11110110 ! +b11110110 % +b11110110 ' +1" +#2470000 +0" +#2475000 +b11110111 ! +b11110111 % +b11110111 ' +1" +#2480000 +0" +#2485000 +b11111000 ! +b11111000 % +b11111000 ' +1" +#2490000 +0" +#2495000 +b11111001 ! +b11111001 % +b11111001 ' +1" +#2500000 +0" +#2505000 +b11111010 ! +b11111010 % +b11111010 ' +1" +#2510000 +0" +#2515000 +b11111011 ! +b11111011 % +b11111011 ' +1" +#2520000 +0" +#2525000 +b11111100 ! +b11111100 % +b11111100 ' +1" +#2530000 +0" +#2535000 +b11111101 ! +b11111101 % +b11111101 ' +1" +#2540000 +0" +#2545000 +b11111110 ! +b11111110 % +b11111110 ' +1" +#2550000 +0" +#2555000 +b11111111 ! +b11111111 % +b11111111 ' +1" +#2560000 +0" +#2565000 +b0 ! +b0 % +b0 ' +1" +#2570000 +0"