diff --git a/hdl/Makefile b/hdl/Makefile index 76a5431..697f18f 100644 --- a/hdl/Makefile +++ b/hdl/Makefile @@ -3,10 +3,13 @@ PIN_DEF = pins.pcf DEVICE = hx8k PACKAGE = ct256 +SOURCE_V = $(wildcard *.v) +TESTBENCH_V = $(wildcard tb/*.v) + all: $(PROJ).rpt $(PROJ).bin %.blif: %.v - yosys -p 'synth_ice40 -top top -blif $@' $(wildcard *.v) + yosys -p 'synth_ice40 -top top -blif $@' $(SOURCE_V) # yosys -p 'synth_ice40 -top top -blif $@' $< %.asc: $(PIN_DEF) %.blif @@ -25,7 +28,7 @@ sudo-prog: $(PROJ).bin @echo 'Executing prog as root!!!' sudo iceprog $< -tb.out: $(wildcard *.v) $(wildcard tb/*.v) +tb.out: $(SOURCE_V) $(TESTBENCH_V) iverilog $^ -o $@ sim: tb.out