From 625001152d3d7b7638fd57ae9f275b15c2be108d Mon Sep 17 00:00:00 2001 From: Brendan Haines Date: Thu, 9 Sep 2021 00:06:15 -0600 Subject: [PATCH] update tests --- testbench/test_basic/Makefile | 1 - testbench/test_basic/tb.sv | 2 +- testbench/test_c/Makefile | 8 +++++--- testbench/test_c/tb.sv | 2 +- 4 files changed, 7 insertions(+), 6 deletions(-) diff --git a/testbench/test_basic/Makefile b/testbench/test_basic/Makefile index 1583c35..045d55b 100644 --- a/testbench/test_basic/Makefile +++ b/testbench/test_basic/Makefile @@ -12,7 +12,6 @@ OBJ += $(notdir $(SOURCE_C:.c=.o)) CC = riscv64-linux-gnu-gcc CFLAGS = -march=rv32i -mabi=ilp32 -# -static -ffreestanding CPPFLAGS = diff --git a/testbench/test_basic/tb.sv b/testbench/test_basic/tb.sv index 6018159..37c1bc3 100644 --- a/testbench/test_basic/tb.sv +++ b/testbench/test_basic/tb.sv @@ -13,7 +13,7 @@ initial begin: dump // for (i=0; i<32; i=i+1) begin // $dumpvars(0, dut.regfile[i]); // end - $dumpvars(0, mem[ADDR_FAILCODE]); + // $dumpvars(0, mem[ADDR_FAILCODE]); end reg clk, reset; diff --git a/testbench/test_c/Makefile b/testbench/test_c/Makefile index 8b65058..4641d8c 100644 --- a/testbench/test_c/Makefile +++ b/testbench/test_c/Makefile @@ -6,22 +6,24 @@ SOURCE_V += $(wildcard ../common/*.v) $(wildcard ../common/*.sv) LOGS = $(TESTBENCH_V:.sv=.log) SOURCE_C = $(wildcard *.c) -# SOURCE_C = SOURCE_AS = $(wildcard *.S) OBJ = $(notdir $(SOURCE_AS:.S=.o)) OBJ += $(notdir $(SOURCE_C:.c=.o)) CC = riscv64-linux-gnu-gcc CFLAGS = -march=rv32i -mabi=ilp32 -# -static -ffreestanding +# CFLAGS = -march=rv64i -mabi=lp64 +# CFLAGS += -nostdlib -lgcc CPPFLAGS = AS = riscv64-linux-gnu-as ASFLAGS = -march=rv32i -mabi=ilp32 +# ASFLAGS = -march=rv64i -mabi=lp64 LD = riscv64-linux-gnu-ld LDFLAGS = -melf32lriscv_ilp32 +# LDFLAGS = # $(info $$TESTBENCH_V is [${TESTBENCH_V}]) @@ -37,7 +39,7 @@ LDFLAGS = -melf32lriscv_ilp32 %.o: %.c %.s: %.c - $(CC) $(CPPFLAGS) $(CFLAGS) -S $^ -o $@ + $(CC) $(CFLAGS) -S $^ -o $@ %.elf: %.ld $(OBJ) $(LD) $(LDFLAGS) -T $^ -o $@ diff --git a/testbench/test_c/tb.sv b/testbench/test_c/tb.sv index 6018159..37c1bc3 100644 --- a/testbench/test_c/tb.sv +++ b/testbench/test_c/tb.sv @@ -13,7 +13,7 @@ initial begin: dump // for (i=0; i<32; i=i+1) begin // $dumpvars(0, dut.regfile[i]); // end - $dumpvars(0, mem[ADDR_FAILCODE]); + // $dumpvars(0, mem[ADDR_FAILCODE]); end reg clk, reset;