From 17a95b58c8705022857b6d3323bab2dc5d0a7afd Mon Sep 17 00:00:00 2001 From: Brendan Haines Date: Fri, 2 Jul 2021 02:39:43 -0600 Subject: [PATCH] fix the code issues I just introduced --- hdl/tb/core_tb.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/hdl/tb/core_tb.v b/hdl/tb/core_tb.v index 45588bb..1000770 100644 --- a/hdl/tb/core_tb.v +++ b/hdl/tb/core_tb.v @@ -72,7 +72,7 @@ always #2 clk = !clk; core dut( .clk(clk), - .reset(reset) + .reset(reset), .mem_inst_addr(mem_inst_addr), .mem_inst_data(mem_inst_data),